US10522414B2 - Method and structure for FinFET isolation - Google Patents

Method and structure for FinFET isolation Download PDF

Info

Publication number
US10522414B2
US10522414B2 US16/222,837 US201816222837A US10522414B2 US 10522414 B2 US10522414 B2 US 10522414B2 US 201816222837 A US201816222837 A US 201816222837A US 10522414 B2 US10522414 B2 US 10522414B2
Authority
US
United States
Prior art keywords
fin
forming
isolation structure
over
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/222,837
Other versions
US20190122934A1 (en
Inventor
Che-Cheng Chang
Chih-Han Lin
Jr-Jung LIN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/222,837 priority Critical patent/US10522414B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHE-CHENG, LIN, CHIH-HAN, LIN, JR-JUNG
Publication of US20190122934A1 publication Critical patent/US20190122934A1/en
Priority to US16/725,227 priority patent/US10867865B2/en
Application granted granted Critical
Publication of US10522414B2 publication Critical patent/US10522414B2/en
Priority to US17/120,942 priority patent/US11605564B2/en
Priority to US18/157,352 priority patent/US20230154800A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate

Definitions

  • double patterning lithography is generally used in fin field effect transistor (FinFET) fabrication processes.
  • a conventional DPL process uses two mask patterns, a mandrel pattern and a cut pattern that removes unwanted portions of the mandrel pattern, a derivative, or both.
  • the DPL process forms a fin using the mandrel pattern and then cuts the fin into two or more sections using the cut pattern. Each section of the fin is used for forming one or more FinFETs. Different sections of the fin must be properly isolated.
  • a conventional fin isolation process uses another patterning process to form an isolation structure between two sections of the fin. Various issues arise from these conventional processes.
  • the fin cut process may undesirably over-etch or under-etch the fin due to etching critical dimension (CD) loading and/or etching depth loading problems.
  • Fin over-etching would reduce process window for FinFET fabrication, such as source/drain contact landing, while fin under-etching would fail to create effective fin isolation.
  • a fin cut patterning process and an isolation patterning process may not be properly aligned, resulting in both ineffective isolation and reduced process window for FinFET fabrication. Accordingly, what is needed is a method for effectively isolating the fins while providing sufficient CD and overlay process windows for FinFET fabrication.
  • FIGS. 1A and 1B show a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.
  • FIGS. 2A, 2B, 2C, 3A, 3B, 3C, 4, 5A, 5B, 6A, 6B, 7, 8, and 9 are perspective and cross sectional views of forming a semiconductor device according to the method of FIGS. 1A and 1B , in accordance with some embodiments.
  • FIG. 10 is a cross sectional view of a semiconductor device fabricated using the method of FIGS. 1A and 1B , in accordance with some embodiments.
  • FIGS. 11A and 11B are top and cross sectional views of a semiconductor device fabricated using the method of FIGS. 1A and 1B , in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the present disclosure is generally related to semiconductor devices, and more particularly to semiconductor devices having FinFETs. It is an objective of the present disclosure to provide methods and structures for effectively isolating fins while providing sufficient process windows for FinFET fabrication.
  • FIGS. 1A and 1B a flow chart of a method 10 of forming a semiconductor device is illustrated according to various aspects of the present disclosure.
  • the method 10 is merely an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after the method 10 , and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method.
  • the method 10 is described below in conjunction with FIGS. 2A-9 that illustrate a portion of a semiconductor device 100 at various fabrication stages.
  • the device 100 may be an intermediate device fabricated during processing of an IC, or a portion thereof, that may comprise SRAM and/or other logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as p-type FETs (PFETs), n-type FETs (NFETs), FinFETs, metal-oxide semiconductor field effect transistors (MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
  • PFETs p-type FETs
  • NFETs n-type FETs
  • FinFETs FinFETs
  • MOSFET metal-oxide semiconductor field effect transistors
  • CMOS complementary metal-oxide semiconductor
  • FIG. 2A is a perspective schematic view of the semiconductor device 100
  • FIGS. 2B and 2C are cross sectional views of the semiconductor device 100 along the “ 1 - 1 ” line and the “ 2 - 2 ” line of FIG. 2A respectively.
  • the device 100 includes the substrate 102 which has two active fins 104 .
  • the fins 104 project upwardly from the substrate 102 and are oriented side by side longitudinally.
  • the device 100 further includes an isolation structure 106 isolating the fins 104 laterally.
  • the device 100 further includes a plurality of dummy gate stacks with three of them shown as dummy gate stacks 120 a , 120 b , and 120 c .
  • the dummy gate stacks 120 a - c are formed over a surface 107 of the isolation structure 106 , engaging the fins 104 along a width direction of the fins.
  • the device 100 further includes spacer features 112 over sidewalls of the dummy gate stacks 120 a - c , and first dielectric features 114 over the surface 107 and between the spacer features.
  • FIGS. 1A-1C show three dummy gate stacks over two fins, the present disclosure is not limited by specific configurations of the device 100 .
  • Embodiments of the present disclosure may include different types of devices, different number of devices, and/or different configuration of structures. The various aforementioned structures of the device 100 will be further described below.
  • the substrate 102 is a silicon substrate in the present embodiment.
  • the substrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • the substrate 102 is a semiconductor-on-insulator (SOI) such as a buried dielectric layer.
  • SOI semiconductor-on-insulator
  • each fin 104 includes three portions (or sections), 104 a , 104 b , and 104 c .
  • the three dummy gate stacks 120 a , 120 b , and 120 c engage the three portions 104 a , 104 b , and 104 c respectively.
  • the dummy gate stacks 120 a and 120 c engage the fin portions 104 a and 104 c adjacent to channel regions 110 of the respective fin portions.
  • S/D regions 108 are source/drain regions 108 disposed on both sides of the dummy gate stacks 120 a and 120 c , sandwiching the respective channel regions 110 . It is notable that a channel region is not shown underneath the dummy gate stack 120 b in the fin portion 104 b . As will be explained later, the fin portion 104 b will be removed and replaced with an isolation structure so as to isolate the fin portions 104 a and 104 c as well as the FinFETs formed thereon.
  • the S/D regions 108 may include halo or lightly doped source/drain (LDD) implantation. In some embodiments, the S/D regions 108 may include raised source/drain regions, strained regions, epitaxially grown regions, and/or other suitable S/D features.
  • LDD lightly doped source/drain
  • the fins 104 may be fabricated using suitable processes including photolithography and etch processes.
  • the photolithography process may include forming a photoresist layer (resist) overlying the substrate 102 , exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist. The masking element is then used for etching recesses into the substrate 102 , leaving the fins 104 on the substrate 102 .
  • the etching process can include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.
  • the fins 104 may be formed using mandrel-spacer double patterning lithography. Numerous other embodiments of methods to form the fins 104 may be suitable.
  • the various features in the S/D regions 108 may be formed after the dummy gate stacks 120 a - c and spacer features 112 have been formed, which will be discussed below.
  • the isolation structure 106 may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material.
  • the isolation structure 106 may be shallow trench isolation (STI) features.
  • the isolation structure 106 is formed by etching trenches in the substrate 102 , e.g., as part of the fins 104 formation process. The trenches may then be filled with isolating material, followed by a chemical mechanical planarization (CMP) process.
  • CMP chemical mechanical planarization
  • Other isolation structure such as field oxide, LOCal Oxidation of Silicon (LOCOS), and/or other suitable structures are possible.
  • the isolation structure 106 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
  • the dummy gate stacks 120 a - c engage the fins 104 on three sides of the fins in the present embodiment. Alternatively, they may engage the fins 104 on only two sides (not on top side) of the fins. They are termed “dummy” because they will be removed in a later step and will be replaced with a “real” gate stack or other suitable structure (e.g., an isolation structure). In the present embodiment, the dummy gate stacks 120 a and 120 c will be replaced with a high-k metal gate in a “gate-last” process, while the dummy gate stack 120 b will be replaced with an isolation structure.
  • the dummy gate stacks 120 a - c may each include one or more material layers.
  • the dummy oxide layer may include a dielectric material such as silicon oxide (SiO 2 ) or nitrogen (N) doped SiO 2 , and may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable methods.
  • the dummy gate electrode may comprise a single layer or multilayer structure. In an embodiment, the dummy gate electrode comprises poly-silicon.
  • the dummy gate electrode may be formed by suitable deposition processes such as low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced CVD (PECVD).
  • the dummy oxide layer and the dummy gate electrode are first deposited as blanket layers over the substrate 102 . Then the blanket layers are patterned through a process including photolithography processes and etching processes thereby removing portions of the blanket layers and keeping the remaining portions over the substrate 102 as the dummy oxide layer and the dummy gate electrode.
  • the dummy gate stacks 120 a - c may each include additional dielectric layers and/or conductive layers, such as hard mask layers, interfacial layers, capping layers, diffusion/barrier layers, other suitable layers, and/or combinations thereof.
  • the spacer features 112 are formed on vertical sidewalls of the dummy gate stacks 120 a - c .
  • the spacer features 112 include a material different from those of the dummy gate stacks.
  • the spacer features 112 include a dielectric material, such as silicon nitride or silicon oxynitride.
  • the spacer features 112 each include multiple layers.
  • one or more spacer layers are formed by blanket depositing spacer materials over the device 100 . Then, an anisotropic etching process is performed to remove portions of the spacer layers to form the spacer features 112 as illustrated in FIGS. 2A and 2B .
  • the first dielectric features 114 may include one or more dielectric layers.
  • the first dielectric features 114 each include an inter-layer dielectric (ILD) layer over a contact etch stop layer (CESL).
  • the CESL may include a layer of silicon nitride, silicon oxide, silicon oxynitride, and/or other materials.
  • the CESL may be formed by PECVD process and/or other suitable deposition or oxidation processes.
  • the ILD layer may include materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials.
  • TEOS tetraethylorthosilicate
  • BPSG borophosphosilicate glass
  • FSG fused silica glass
  • PSG phosphosilicate glass
  • BSG boron doped silicon glass
  • the ILD layer may include a high density plasma (HDP) dielectric material (e.g., HDP oxide) and/or a high aspect ratio process (HARP) dielectric material (e.g., HARP oxide).
  • HDP high density plasma
  • HTP high aspect ratio process
  • the ILD layer may be deposited by a PECVD process or other suitable deposition technique.
  • the ILD layer is formed by a flowable CVD (FCVD) process.
  • FCVD flowable CVD
  • the FCVD process includes depositing a flowable material (such as a liquid compound) on the substrate 102 to fill the trenches between the dummy gate stacks 120 a - c (with the spacer features 112 on sidewalls thereof) and converting the flowable material to a solid material by a suitable technique, such as annealing in one example.
  • a chemical mechanical planarization (CMP) process is performed to planarize a top surface of the first dielectric features 114 and to expose a top surface of the dummy gate stacks 120 a - c for subsequent fabrication steps.
  • CMP chemical mechanical planarization
  • FIG. 3A is a perspective schematic view of the semiconductor device 100
  • FIGS. 3B and 3C are cross sectional views of the semiconductor device 100 along the “ 1 - 1 ” line and the “ 2 - 2 ” line of FIG. 3A respectively.
  • the dummy gate stacks 120 a - c are removed, resulting in three trenches 116 a , 116 b , and 116 c .
  • the three trenches 116 a - c expose the fin portions 104 a - c respectively.
  • the dummy gate stacks 120 a - c are removed by one or more etching processes that are selectively tuned to remove the materials therein while the spacer features 112 and the ILD layer 114 substantially remain.
  • the etching processes may include a suitable wet etch, dry (plasma) etch, and/or other processes.
  • a dry etching process may use chlorine-containing gases, fluorine-containing gases, other etching gases, or a combination thereof.
  • the wet etching solutions may include NH 4 OH, HF (hydrofluoric acid), TMAH (tetramethylammonium hydroxide), other suitable wet etching solutions, or combinations thereof.
  • the method 10 forms a masking element 122 .
  • FIG. 4 shown therein is a cross sectional view of the device 100 along the “ 1 - 1 ” line of FIG. 3A after operation 16 .
  • the masking element 122 covers the fin portions 104 a and 104 c .
  • An opening 123 in the masking element 122 exposes the fin portion 104 b through the trench 116 b .
  • the masking element 122 is a patterned photoresist (or resist) and is formed using a photolithography process.
  • the photolithography process may include forming a resist overlying the substrate 102 and covering the various structures on the substrate 102 , exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form the masking element 122 .
  • the present disclosure provides advantages over conventional fin isolation methods.
  • a conventional fin isolation process would first remove the fin portion 104 b (e.g., using a fin cut process) and then form the dummy gate stack 120 b ( FIG. 2B ) between the fin portions 104 a and 104 c as an isolation structure.
  • the fin cut process and the dummy gate stack formation process must be properly aligned, placing stringent constraints on fabrication processes such as narrow CD and overlay process windows.
  • the patterning process for the masking element 122 has much relaxed process windows. As shown in FIG. 4 , the masking element 122 has a much wider process window to fully expose the fin portion 104 b while covering fin portions 104 a and 104 c . The presence of the spacer features 112 and the first dielectric features 114 effectively enlarges both CD and overlay process windows for the masking element 122 .
  • FIG. 5A is a cross sectional view of the device 100 along the “ 1 - 1 ” line of FIG. 3A after operation 18 .
  • FIG. 5B is a cross sectional view of the device 100 along the “ 2 - 2 ” line of FIG. 3A after operation 18 .
  • the fin portion 104 b is removed with an etching process where the masking element 122 acts as an etch mask.
  • the etching process is a dry (plasma) etching process.
  • the dry etching process may be performed under a source power of about 50 to about 1,500 W, a pressure of about 1 to about 100 mTorr, a temperature of about 20 to about 80 degrees Celsius, and using one or more of the gases CF 4 , CH 3 F, O 2 , HBr, He, Cl 2 , Ar, and N 2 as etching gas.
  • operation 18 not only removes the fin portions 104 b , but also further recesses the fins 104 below the surface 107 .
  • both the isolation structure 106 and the fins 104 are recessed within the trench 116 b .
  • the isolation structure 106 is recessed in the trench 116 b to have another top surface 107 ′ which is below the surface 107
  • the fins 104 are recessed in the trench 116 b to have a top surface 109 which is below the surface 107 ′. Therefore, operation 18 effectively expands the trench 116 b below the surface 107 .
  • the recess from the surface 107 to the surface 107 ′ may be slight or negligible.
  • the surface 109 is below the surface 107 ′ by a vertical distance d 1 . In an embodiment, d 1 is about 50 to about 1000 ⁇ .
  • operation 18 may recess the trench 116 b down into the substrate 102 .
  • operation 18 is timer controlled based on a desired fin recess depth and an etching rate of the fin material.
  • the masking element 122 may be partially consumed during the etching process.
  • FIG. 6A is a cross sectional view of the device 100 along the “ 1 - 1 ” line of FIG. 3A after operation 20 .
  • FIG. 6B is a cross sectional view of the device 100 along the “ 2 - 2 ” line of FIG. 3A after operation 20 .
  • the masking element 122 is removed and the fin portions 104 a and 104 c are re-exposed through the trenches 116 a and 116 c .
  • operation 20 includes an ashing process, such as plasma ashing.
  • the ashing process is performed at a temperature of about 20 to about 80 degrees Celsius and using one or more of the gases H 2 , O 2 , N 2 , He, and Ar as etching gas.
  • operation 20 removes the masking element 122 and simultaneously further recesses the fins 104 .
  • the fins 104 in the trench 116 b are further recessed to have a top surface 109 ′ that is below the top surface 109 ( FIG. 5A ).
  • a vertical distance between the surfaces 109 and 109 ′ is about 20 to about 1000 ⁇ .
  • the isolation structure 106 in the trench 116 b is also further recessed to have a top surface 107 ′′ that is below the surface 107 ′ ( FIG. 5A ).
  • the recess from the surface 107 ′ to the surface 107 ′′ may be slight or negligible.
  • a vertical distance between the surfaces 109 ′ and 107 ′′, d 2 is about 50 to about 1000 ⁇ .
  • the fins 104 are also recessed a distance d 3 along their length direction towards both the fin portions 104 a and 104 c . In an embodiment, the distance d 3 is about 5 to about 100 ⁇ .
  • operation 20 is timer controlled based on a desired fin recess depth (both downwards and laterally) and an etching rate of the fin material.
  • a desired fin recess depth may be determined based on isolation constraints, original fin height ( FIG. 2C ), and a thickness of the spacer features 112 .
  • the spacer features 112 have a thickness d 4 which is about 5 to about 500 ⁇ .
  • operation 20 is controlled so that d 3 does not exceed d 4 , which provides maximum landing areas for S/D contact formation.
  • the method 10 forms a dielectric layer 118 over surfaces of the active fins 104 that are exposed through the trench 116 b .
  • FIG. 7 shown therein is a cross sectional view of the device 100 along the “ 1 - 1 ” line of FIG. 3A after operation 22 .
  • the dielectric layer 118 is formed on all three sides of the active fins 104 in the trench 116 b .
  • the dielectric layer 118 is an oxidation layer, such as silicon oxide.
  • the dielectric layer 118 is a nitridation layer, such as silicon nitride.
  • operation 22 is performed under a source power of about 50 to about 1,500 W, a pressure of about 1 to about 80 mTorr, a temperature of about 20 to about 80 degrees Celsius, and using one or more of the gases O 2 , He, Ar, and N 2 as reaction gas.
  • the dielectric layer 118 is formed to have a thickness d 5 which is about 5 to about 100 ⁇ . In embodiments, the dielectric layer 118 further improves isolation between the fin portions 104 a and 104 c .
  • operation 22 is optionally performed.
  • the method 10 fills the trench 116 b with a dielectric material 124 .
  • a dielectric material 124 Referring to FIG. 8 , shown therein is a cross sectional view of the device 100 along the “ 1 - 1 ” line of FIG. 3A after operation 24 .
  • the dielectric material 124 is the same as the material for the first dielectric features 114 .
  • the dielectric material 124 is different from the material for the first dielectric features 114 .
  • operation 24 involves multiple steps including patterning and deposition processes. For example, the patterning process forms a masking element covering the trenches 116 a and 116 c , similar to the process discussed with respect to operation 16 .
  • the deposition process fills the trench 116 b with the dielectric material 124 using a PECVD, FCVD, or other suitable deposition techniques. Thereafter, the masking element is removed using a wet etching or plasma ashing process, thereby re-exposing the fin portions 104 a and 104 c through the trenches 116 a and 116 c .
  • the dielectric material 124 isolates the fin portions 104 a and 104 c . Therefore, it is also called an isolation structure 124 .
  • the isolation structure 124 is formed using a self-alignment process whereby the initial dummy gate stack 120 b ( FIG. 2B ) defines a location of the isolation structure 124 . This reduces lithography and etching processes and solves process window (e.g., CD and overlay) issues associated with conventional fin isolation methods.
  • each of the gate stacks 126 a and 126 c includes multiple layers of material. For example, it may include an interfacial layer, a dielectric layer, a work function metal layer, and a fill layer.
  • the interfacial layer may include a dielectric material such as silicon oxide layer (SiO 2 ) or silicon oxynitride (SiON), and may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), CVD, and/or other suitable dielectric.
  • the dielectric layer may include a high-k dielectric layer such as hafnium oxide (HfO 2 ), Al 2 O 3 , lanthanide oxides, TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2 , combinations thereof, or other suitable material.
  • the dielectric layer may be formed by ALD and/or other suitable methods.
  • the work function metal layer may be a p-type or an n-type work function layer.
  • Exemplary p-type work function metals include TiN, TaN, Ru, Mo, Al, WN, ZrSi 2 , MoSi 2 , TaSi 2 , NiSi 2 , WN, other suitable p-type work function materials, or combinations thereof.
  • Exemplary n-type work function metals include Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof.
  • the work function layer may include a plurality of layers and may be deposited by CVD, PVD, and/or other suitable process.
  • the fill layer may include aluminum (Al), tungsten (W), cobalt (Co), copper (Cu), and/or other suitable materials.
  • the fill layer may be formed by CVD, PVD, plating, and/or other suitable processes.
  • a CMP process may be performed to remove excess materials from the gate stacks 126 a and 126 c and to planarize a top surface of the device 100 .
  • the first FinFET includes the fin portion 104 a having the S/D regions 108 and the channel region 110 and further includes the gate stack 126 a .
  • the second FinFET includes the fin portion 104 c having the S/D regions 108 and the channel region 110 and further includes the gate stack 126 c .
  • the fin portions 104 a and 104 c are separated by the isolation structure 124 and the dielectric layer 118 . Top surfaces of the S/D regions 108 adjacent to the dielectric layer 118 can be controlled so as to provide sufficient landing area for S/D contact formation.
  • operation 28 the method 10 ( FIG. 1B ) performs further operations to form a final device.
  • operation 28 may form contacts and vias electrically connecting the S/D regions 108 and the gate stacks 126 of the first and second FinFETs, and form metal interconnects connecting the first and second FinFETs to other portions of the device 100 to form a complete IC.
  • FIG. 10 illustrates a semiconductor device 200 fabricated using an embodiment of the method 10 where operation 22 is not performed.
  • the device 200 is the same as the device 100 ( FIG. 9 ) except that the device 200 does not include the dielectric layer 118 between the fin portions 104 a and 104 c and the isolation structure 124 .
  • the isolation structure 124 still provides sufficient isolation between the fin portions 104 a and 104 c .
  • FIG. 11A shows a top view of a semiconductor device 300 fabricated using an embodiment of the method 10 ( FIGS. 1A and 1B ).
  • FIG. 11B shows a cross sectional view of the device 300 along the “ 3 - 3 ” line of FIG. 11A .
  • the device 300 has structures similar to those of the device 100 , which are labeled with the same reference numerals for the sake of convenience.
  • the device 300 includes a first FinFET 130 a and a second FinFET 130 c formed over a substrate 102 .
  • the FinFET 130 a includes an active fin 104 a having S/D regions 108 sandwiching a channel region 110 thereof.
  • the FinFET 130 c includes an active fin 104 c having S/D regions 108 sandwiching a channel region 110 thereof.
  • the fins 104 a and 104 c are oriented longitudinally along a common direction.
  • the fin 104 a has a first fin end 104 a - 1 and a second fin end 104 a - 2 .
  • the fin 104 c has a first fin end 104 c - 1 and a second fin end 104 c - 2 .
  • the fin end 104 a - 2 is adjacent to the fin end 104 c - 1 .
  • the active fins 104 a and 104 c are two fin portions cut from a common active fin 104 using an embodiment of the method 10 ( FIGS.
  • the fins 104 a and 104 c are separated by an isolation structure 124 .
  • a dielectric layer 118 is located in between the isolation structure 124 and the fin ends 104 a - 2 and 104 c - 1 .
  • the fin ends 104 a - 1 and 104 c - 2 are covered underneath isolation structures 128 a and 128 c respectively.
  • the isolation structures 128 a/c are formed using a process similar to that for the isolation structure 124 .
  • the fin ends 104 a - 1 and 104 c - 2 are respective fin ends of the initial active fin 104 and the isolation structures 128 a/c are simply dummy gate stacks, such as the dummy gate stack 120 b ( FIG. 2B ).
  • the isolation structures 128 a/c are formed using a process similar to that for the isolation structure 124 except that the fin ends 104 a - 1 and 104 c - 2 are not etched.
  • the isolation structures 124 and 128 a/c may be of the same or different materials.
  • the FinFET 130 a further includes a gate stack 126 a engaging the active fin 104 a adjacent to its channel region 110 .
  • the FinFET 130 c further includes a gate stack 126 c engaging the active fin 104 c adjacent to its channel region 110 .
  • the gate stacks, 126 a/c , and the isolation structures, 124 / 128 are each surrounded by spacer features 112 on their respective sidewalls.
  • the device 300 further includes dielectric features 114 between the spacer features 112 .
  • the device 300 further includes an isolation structure over the substrate 102 , such as the isolation structure 106 in FIG. 2C , over which the various structures 112 , 114 , 124 , 126 a/c , and 128 are formed. This aspect of the device 300 is the same as the device 100 .
  • embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof.
  • embodiments of the present disclosure provide methods for effectively forming isolation between active fins while providing sufficient process window for FinFET fabrication.
  • embodiments of the present disclosure use a self-alignment process to form a fin isolation structure whereby an initial dummy gate stack defines a location for the fin isolation structure. This reduces lithography and etching processes and solves process window (e.g., CD and overlay) issues associated with conventional fin isolation methods.
  • process window e.g., CD and overlay
  • various embodiments of the present disclosure can be easily integrated into existing FinFET fabrication flow.
  • the present disclosure is directed to a method of forming a semiconductor device.
  • the method includes receiving a substrate having an active fin, a plurality of dummy gate stacks over the substrate and engaging the fin, and first dielectric features over the substrate and between the dummy gate stacks.
  • the method further includes removing the dummy gate stacks thereby forming a first trench and a second trench, wherein the first and second trenches expose first and second portions of the active fin respectively.
  • the method further includes removing the first portion of the active fin and forming a gate stack in the second trench.
  • the gate stack engages the second portion of the active fin.
  • the present disclosure is directed to a method of forming a semiconductor device.
  • the method includes receiving a substrate having an active fin, an isolation structure over the substrate, a plurality of dummy gate stacks over a first surface of the isolation structure and engaging the fin, spacer features over the first surface and on sidewalls of the dummy gate stacks, and first dielectric features over the first surface and between the spacer features.
  • the method further includes removing the dummy gate stacks thereby forming first, second, and third trenches.
  • the second trench is between the first and third trenches.
  • the first, second, and third trenches expose first, second, and third portions of the active fin respectively.
  • the method further includes removing the second portion of the active fin and forming gate stacks in the first and third trenches.
  • the gate stacks engage the first and third portions of the active fin.
  • the present disclosure is directed to a semiconductor device.
  • the semiconductor device includes a substrate having first and second active fins. Each of the first and second active fins has first and second ends. The second end of the first active fin is adjacent to the first end of the second active fin.
  • the semiconductor device further includes a first gate stack over the substrate and engaging the first active fin and a second gate stack over the substrate and engaging the second active fin.
  • the semiconductor device further includes a first isolation structure over the first end of the first active fin and a second isolation structure over the second end of the second active fin from a top view.
  • the semiconductor device further includes a third isolation structure adjacent to both the second end of the first active fin and the first end of the second active fin from the top view.

Abstract

A method of forming a semiconductor device includes receiving a substrate having a fin extending from the substrate, first and second dummy gate stacks over the substrate and engaging the fin; removing the first and second dummy gate stacks thereby forming a first trench and a second trench, wherein the first and second trenches expose first and second portions of the fin respectively; removing the first portion of the fin; and forming a gate stack in the second trench, the gate stack engaging the second portion of the fin.

Description

This is a continuation of U.S. patent application Ser. No. 15/810,616, filed Nov. 13, 2017, now issued U.S. Pat. No. 10,163,722, which is a continuation of U.S. patent application Ser. No. 15/345,125, filed Nov. 7, 2016, now issued U.S. Pat. No. 9,818,649, which is a divisional of U.S. patent application Ser. No. 14/579,728, filed Dec. 22, 2014, now issued U.S. Pat. No. 9,490,176, which claims the benefits of U.S. Prov. No. 62/065,125, filed Oct. 17, 2014. The entirety of these applications is herein incorporated by reference.
BACKGROUND
The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
For example, double patterning lithography (DPL) is generally used in fin field effect transistor (FinFET) fabrication processes. A conventional DPL process uses two mask patterns, a mandrel pattern and a cut pattern that removes unwanted portions of the mandrel pattern, a derivative, or both. For example, the DPL process forms a fin using the mandrel pattern and then cuts the fin into two or more sections using the cut pattern. Each section of the fin is used for forming one or more FinFETs. Different sections of the fin must be properly isolated. A conventional fin isolation process uses another patterning process to form an isolation structure between two sections of the fin. Various issues arise from these conventional processes. For example, the fin cut process may undesirably over-etch or under-etch the fin due to etching critical dimension (CD) loading and/or etching depth loading problems. Fin over-etching would reduce process window for FinFET fabrication, such as source/drain contact landing, while fin under-etching would fail to create effective fin isolation. For another example, a fin cut patterning process and an isolation patterning process may not be properly aligned, resulting in both ineffective isolation and reduced process window for FinFET fabrication. Accordingly, what is needed is a method for effectively isolating the fins while providing sufficient CD and overlay process windows for FinFET fabrication.
BRIEF DESCRIPTION OF THE DRAWINGS
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1A and 1B show a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.
FIGS. 2A, 2B, 2C, 3A, 3B, 3C, 4, 5A, 5B, 6A, 6B, 7, 8, and 9 are perspective and cross sectional views of forming a semiconductor device according to the method of FIGS. 1A and 1B, in accordance with some embodiments.
FIG. 10 is a cross sectional view of a semiconductor device fabricated using the method of FIGS. 1A and 1B, in accordance with some embodiments.
FIGS. 11A and 11B are top and cross sectional views of a semiconductor device fabricated using the method of FIGS. 1A and 1B, in accordance with some embodiments.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
The present disclosure is generally related to semiconductor devices, and more particularly to semiconductor devices having FinFETs. It is an objective of the present disclosure to provide methods and structures for effectively isolating fins while providing sufficient process windows for FinFET fabrication.
Referring now to FIGS. 1A and 1B, a flow chart of a method 10 of forming a semiconductor device is illustrated according to various aspects of the present disclosure. The method 10 is merely an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after the method 10, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method. The method 10 is described below in conjunction with FIGS. 2A-9 that illustrate a portion of a semiconductor device 100 at various fabrication stages. The device 100 may be an intermediate device fabricated during processing of an IC, or a portion thereof, that may comprise SRAM and/or other logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as p-type FETs (PFETs), n-type FETs (NFETs), FinFETs, metal-oxide semiconductor field effect transistors (MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
At operation 12, the method 10 (FIG. 1A) receives a substrate 102 with various structures formed therein and/or thereon. Refer to FIGS. 2A, 2B, and 2C collectively. FIG. 2A is a perspective schematic view of the semiconductor device 100, while FIGS. 2B and 2C are cross sectional views of the semiconductor device 100 along the “1-1” line and the “2-2” line of FIG. 2A respectively. The device 100 includes the substrate 102 which has two active fins 104. The fins 104 project upwardly from the substrate 102 and are oriented side by side longitudinally. The device 100 further includes an isolation structure 106 isolating the fins 104 laterally. The device 100 further includes a plurality of dummy gate stacks with three of them shown as dummy gate stacks 120 a, 120 b, and 120 c. The dummy gate stacks 120 a-c are formed over a surface 107 of the isolation structure 106, engaging the fins 104 along a width direction of the fins. The device 100 further includes spacer features 112 over sidewalls of the dummy gate stacks 120 a-c, and first dielectric features 114 over the surface 107 and between the spacer features. Even though FIGS. 1A-1C show three dummy gate stacks over two fins, the present disclosure is not limited by specific configurations of the device 100. Embodiments of the present disclosure may include different types of devices, different number of devices, and/or different configuration of structures. The various aforementioned structures of the device 100 will be further described below.
The substrate 102 is a silicon substrate in the present embodiment. Alternatively, the substrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In yet another alternative, the substrate 102 is a semiconductor-on-insulator (SOI) such as a buried dielectric layer.
The fins 104 are suitable for forming p-type FinFETs, n-type FinFETs, or both p-type FinFETs and n-type FinFETs in various embodiments. As shown in FIG. 2B, each fin 104 includes three portions (or sections), 104 a, 104 b, and 104 c. The three dummy gate stacks 120 a, 120 b, and 120 c engage the three portions 104 a, 104 b, and 104 c respectively. Specifically, the dummy gate stacks 120 a and 120 c engage the fin portions 104 a and 104 c adjacent to channel regions 110 of the respective fin portions. FIG. 2B further shows source/drain (S/D) regions 108 disposed on both sides of the dummy gate stacks 120 a and 120 c, sandwiching the respective channel regions 110. It is notable that a channel region is not shown underneath the dummy gate stack 120 b in the fin portion 104 b. As will be explained later, the fin portion 104 b will be removed and replaced with an isolation structure so as to isolate the fin portions 104 a and 104 c as well as the FinFETs formed thereon. The S/D regions 108 may include halo or lightly doped source/drain (LDD) implantation. In some embodiments, the S/D regions 108 may include raised source/drain regions, strained regions, epitaxially grown regions, and/or other suitable S/D features.
The fins 104 may be fabricated using suitable processes including photolithography and etch processes. The photolithography process may include forming a photoresist layer (resist) overlying the substrate 102, exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist. The masking element is then used for etching recesses into the substrate 102, leaving the fins 104 on the substrate 102. The etching process can include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes. Alternatively, the fins 104 may be formed using mandrel-spacer double patterning lithography. Numerous other embodiments of methods to form the fins 104 may be suitable. The various features in the S/D regions 108 may be formed after the dummy gate stacks 120 a-c and spacer features 112 have been formed, which will be discussed below.
The isolation structure 106 may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. The isolation structure 106 may be shallow trench isolation (STI) features. In an embodiment, the isolation structure 106 is formed by etching trenches in the substrate 102, e.g., as part of the fins 104 formation process. The trenches may then be filled with isolating material, followed by a chemical mechanical planarization (CMP) process. Other isolation structure such as field oxide, LOCal Oxidation of Silicon (LOCOS), and/or other suitable structures are possible. The isolation structure 106 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
The dummy gate stacks 120 a-c engage the fins 104 on three sides of the fins in the present embodiment. Alternatively, they may engage the fins 104 on only two sides (not on top side) of the fins. They are termed “dummy” because they will be removed in a later step and will be replaced with a “real” gate stack or other suitable structure (e.g., an isolation structure). In the present embodiment, the dummy gate stacks 120 a and 120 c will be replaced with a high-k metal gate in a “gate-last” process, while the dummy gate stack 120 b will be replaced with an isolation structure. The dummy gate stacks 120 a-c may each include one or more material layers. For example, they may each include a dummy oxide layer and a dummy gate electrode. The dummy oxide layer may include a dielectric material such as silicon oxide (SiO2) or nitrogen (N) doped SiO2, and may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable methods. The dummy gate electrode may comprise a single layer or multilayer structure. In an embodiment, the dummy gate electrode comprises poly-silicon. The dummy gate electrode may be formed by suitable deposition processes such as low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced CVD (PECVD). In an embodiment, the dummy oxide layer and the dummy gate electrode are first deposited as blanket layers over the substrate 102. Then the blanket layers are patterned through a process including photolithography processes and etching processes thereby removing portions of the blanket layers and keeping the remaining portions over the substrate 102 as the dummy oxide layer and the dummy gate electrode. In some embodiments, the dummy gate stacks 120 a-c may each include additional dielectric layers and/or conductive layers, such as hard mask layers, interfacial layers, capping layers, diffusion/barrier layers, other suitable layers, and/or combinations thereof.
The spacer features 112 are formed on vertical sidewalls of the dummy gate stacks 120 a-c . The spacer features 112 include a material different from those of the dummy gate stacks. In an embodiment, the spacer features 112 include a dielectric material, such as silicon nitride or silicon oxynitride. In an example, the spacer features 112 each include multiple layers. In an embodiment, after the dummy gate stacks 120 a-c have been formed, one or more spacer layers are formed by blanket depositing spacer materials over the device 100. Then, an anisotropic etching process is performed to remove portions of the spacer layers to form the spacer features 112 as illustrated in FIGS. 2A and 2B.
The first dielectric features 114 may include one or more dielectric layers. In an embodiment, the first dielectric features 114 each include an inter-layer dielectric (ILD) layer over a contact etch stop layer (CESL). For example, the CESL may include a layer of silicon nitride, silicon oxide, silicon oxynitride, and/or other materials. The CESL may be formed by PECVD process and/or other suitable deposition or oxidation processes. The ILD layer may include materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. In some embodiments, the ILD layer may include a high density plasma (HDP) dielectric material (e.g., HDP oxide) and/or a high aspect ratio process (HARP) dielectric material (e.g., HARP oxide). The ILD layer may be deposited by a PECVD process or other suitable deposition technique. In an embodiment, the ILD layer is formed by a flowable CVD (FCVD) process. The FCVD process includes depositing a flowable material (such as a liquid compound) on the substrate 102 to fill the trenches between the dummy gate stacks 120 a-c (with the spacer features 112 on sidewalls thereof) and converting the flowable material to a solid material by a suitable technique, such as annealing in one example. After various deposition processes, a chemical mechanical planarization (CMP) process is performed to planarize a top surface of the first dielectric features 114 and to expose a top surface of the dummy gate stacks 120 a-c for subsequent fabrication steps.
At operation 14, the method 10 (FIG. 1A ) removes the dummy gate stacks 120 a-c . Refer to FIGS. 3A, 3B, and 3C collectively. FIG. 3A is a perspective schematic view of the semiconductor device 100, while FIGS. 3B and 3C are cross sectional views of the semiconductor device 100 along the “1-1” line and the “2-2” line of FIG. 3A respectively. As shown in FIGS. 3A and 3B, the dummy gate stacks 120 a-c are removed, resulting in three trenches 116 a, 116 b, and 116 c. The three trenches 116 a-c expose the fin portions 104 a-c respectively. The dummy gate stacks 120 a-c are removed by one or more etching processes that are selectively tuned to remove the materials therein while the spacer features 112 and the ILD layer 114 substantially remain. The etching processes may include a suitable wet etch, dry (plasma) etch, and/or other processes. For example, a dry etching process may use chlorine-containing gases, fluorine-containing gases, other etching gases, or a combination thereof. The wet etching solutions may include NH4OH, HF (hydrofluoric acid), TMAH (tetramethylammonium hydroxide), other suitable wet etching solutions, or combinations thereof.
At operation 16, the method 10 (FIG. 1A) forms a masking element 122. Referring to FIG. 4, shown therein is a cross sectional view of the device 100 along the “1-1” line of FIG. 3A after operation 16. The masking element 122 covers the fin portions 104 a and 104 c. An opening 123 in the masking element 122 exposes the fin portion 104 b through the trench 116 b. In the present embodiment, the masking element 122 is a patterned photoresist (or resist) and is formed using a photolithography process. For example, the photolithography process may include forming a resist overlying the substrate 102 and covering the various structures on the substrate 102, exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form the masking element 122. With respect to operation 16, the present disclosure provides advantages over conventional fin isolation methods. A conventional fin isolation process would first remove the fin portion 104 b (e.g., using a fin cut process) and then form the dummy gate stack 120 b (FIG. 2B) between the fin portions 104 a and 104 c as an isolation structure. In such a process, the fin cut process and the dummy gate stack formation process must be properly aligned, placing stringent constraints on fabrication processes such as narrow CD and overlay process windows. In contrast, the patterning process for the masking element 122 has much relaxed process windows. As shown in FIG. 4, the masking element 122 has a much wider process window to fully expose the fin portion 104 b while covering fin portions 104 a and 104 c. The presence of the spacer features 112 and the first dielectric features 114 effectively enlarges both CD and overlay process windows for the masking element 122.
At operation 18, the method 10 (FIG. 1A ) removes the fin portion 104 b through the opening 123 and the trench 116 b. Refer to FIGS. 5A and 5B collectively. FIG. 5A is a cross sectional view of the device 100 along the “1-1” line of FIG. 3A after operation 18. FIG. 5B is a cross sectional view of the device 100 along the “2-2” line of FIG. 3A after operation 18. The fin portion 104 b is removed with an etching process where the masking element 122 acts as an etch mask. In an embodiment, the etching process is a dry (plasma) etching process. For example, the dry etching process may be performed under a source power of about 50 to about 1,500 W, a pressure of about 1 to about 100 mTorr, a temperature of about 20 to about 80 degrees Celsius, and using one or more of the gases CF4, CH3F, O2, HBr, He, Cl2, Ar, and N2 as etching gas. In an embodiment, operation 18 not only removes the fin portions 104 b, but also further recesses the fins 104 below the surface 107. In the present embodiment as shown in FIGS. 5A and 5B, both the isolation structure 106 and the fins 104 are recessed within the trench 116 b. Specifically, the isolation structure 106 is recessed in the trench 116 b to have another top surface 107′ which is below the surface 107, while the fins 104 are recessed in the trench 116 b to have a top surface 109 which is below the surface 107′. Therefore, operation 18 effectively expands the trench 116 b below the surface 107. In embodiments, the recess from the surface 107 to the surface 107′ may be slight or negligible. In the present embodiment, the surface 109 is below the surface 107′ by a vertical distance d1. In an embodiment, d1 is about 50 to about 1000 Å. Although FIG. 5B shows that the surface 109 is still in the fins 104, in some embodiment, operation 18 may recess the trench 116 b down into the substrate 102. In various embodiments, operation 18 is timer controlled based on a desired fin recess depth and an etching rate of the fin material. The masking element 122 may be partially consumed during the etching process.
At operation 20, the method 10 (FIG. 1A) removes the masking element 122 and further recesses the fins 104. Refer to FIGS. 6A and 6B collectively. FIG. 6A is a cross sectional view of the device 100 along the “1-1” line of FIG. 3A after operation 20. FIG. 6B is a cross sectional view of the device 100 along the “2-2” line of FIG. 3A after operation 20. The masking element 122 is removed and the fin portions 104 a and 104 c are re-exposed through the trenches 116 a and 116 c. In an embodiment, operation 20 includes an ashing process, such as plasma ashing. In an example, the ashing process is performed at a temperature of about 20 to about 80 degrees Celsius and using one or more of the gases H2, O2, N2, He, and Ar as etching gas. In an embodiment, operation 20 removes the masking element 122 and simultaneously further recesses the fins 104. In the embodiment shown in FIG. 6A, the fins 104 in the trench 116 b are further recessed to have a top surface 109′ that is below the top surface 109 (FIG. 5A). In various embodiments, a vertical distance between the surfaces 109 and 109′ is about 20 to about 1000 Å. In an embodiment, the isolation structure 106 in the trench 116 b is also further recessed to have a top surface 107″ that is below the surface 107′ (FIG. 5A). In embodiments, the recess from the surface 107′ to the surface 107″ may be slight or negligible. In an embodiment, a vertical distance between the surfaces 109′ and 107″, d2, is about 50 to about 1000 Å. Furthermore, the fins 104 are also recessed a distance d3 along their length direction towards both the fin portions 104 a and 104 c. In an embodiment, the distance d3 is about 5 to about 100 Å. In various embodiments, operation 20 is timer controlled based on a desired fin recess depth (both downwards and laterally) and an etching rate of the fin material. A desired fin recess depth may be determined based on isolation constraints, original fin height (FIG. 2C), and a thickness of the spacer features 112. For example, one consideration is to provide sufficient contact landing areas in the S/D regions 108. In an embodiment, the spacer features 112 have a thickness d4 which is about 5 to about 500 Å. When the distance d3 exceeds d4, the trench 116 b eats into the contact landing areas in the S/D regions 108, which deserves consideration. In an embodiment, operation 20 is controlled so that d3 does not exceed d4, which provides maximum landing areas for S/D contact formation.
At operation 22, the method 10 (FIG. 1B) forms a dielectric layer 118 over surfaces of the active fins 104 that are exposed through the trench 116 b. Referring to FIG. 7, shown therein is a cross sectional view of the device 100 along the “1-1” line of FIG. 3A after operation 22. The dielectric layer 118 is formed on all three sides of the active fins 104 in the trench 116 b. In an embodiment, the dielectric layer 118 is an oxidation layer, such as silicon oxide. In another embodiment, the dielectric layer 118 is a nitridation layer, such as silicon nitride. In embodiments, operation 22 is performed under a source power of about 50 to about 1,500 W, a pressure of about 1 to about 80 mTorr, a temperature of about 20 to about 80 degrees Celsius, and using one or more of the gases O2, He, Ar, and N2 as reaction gas. In an embodiment, the dielectric layer 118 is formed to have a thickness d5 which is about 5 to about 100 Å. In embodiments, the dielectric layer 118 further improves isolation between the fin portions 104 a and 104 c. In an embodiment of the method 10, operation 22 is optionally performed.
At operation 24, the method 10 (FIG. 1B) fills the trench 116 b with a dielectric material 124. Referring to FIG. 8, shown therein is a cross sectional view of the device 100 along the “1-1” line of FIG. 3A after operation 24. In an embodiment, the dielectric material 124 is the same as the material for the first dielectric features 114. Alternatively, the dielectric material 124 is different from the material for the first dielectric features 114. In an embodiment, operation 24 involves multiple steps including patterning and deposition processes. For example, the patterning process forms a masking element covering the trenches 116 a and 116 c, similar to the process discussed with respect to operation 16. Then the deposition process fills the trench 116 b with the dielectric material 124 using a PECVD, FCVD, or other suitable deposition techniques. Thereafter, the masking element is removed using a wet etching or plasma ashing process, thereby re-exposing the fin portions 104 a and 104 c through the trenches 116 a and 116 c. The dielectric material 124 isolates the fin portions 104 a and 104 c. Therefore, it is also called an isolation structure 124. As can be seen from the above discussion, the isolation structure 124 is formed using a self-alignment process whereby the initial dummy gate stack 120 b (FIG. 2B) defines a location of the isolation structure 124. This reduces lithography and etching processes and solves process window (e.g., CD and overlay) issues associated with conventional fin isolation methods.
At operation 26, the method 10 (FIG. 1B) forms “real” gate stacks 126 a and 126 c in the trenches 116 a and 116 c respectively. Referring to FIG. 9, shown therein is a cross sectional view of the device 100 along the “1-1” line of FIG. 3A after operation 26. The gate stacks 126 a and 126 c engage the fin portions 104 a and 104 c adjacent to the respective channel regions 110. In an embodiment, each of the gate stacks 126 a and 126 c includes multiple layers of material. For example, it may include an interfacial layer, a dielectric layer, a work function metal layer, and a fill layer. The interfacial layer may include a dielectric material such as silicon oxide layer (SiO2) or silicon oxynitride (SiON), and may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), CVD, and/or other suitable dielectric. The dielectric layer may include a high-k dielectric layer such as hafnium oxide (HfO2), Al2O3, lanthanide oxides, TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, combinations thereof, or other suitable material. The dielectric layer may be formed by ALD and/or other suitable methods. The work function metal layer may be a p-type or an n-type work function layer. Exemplary p-type work function metals include TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, other suitable p-type work function materials, or combinations thereof. Exemplary n-type work function metals include Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof. The work function layer may include a plurality of layers and may be deposited by CVD, PVD, and/or other suitable process. The fill layer may include aluminum (Al), tungsten (W), cobalt (Co), copper (Cu), and/or other suitable materials. The fill layer may be formed by CVD, PVD, plating, and/or other suitable processes. A CMP process may be performed to remove excess materials from the gate stacks 126 a and 126 c and to planarize a top surface of the device 100.
Still referring to FIG. 9, two FinFETs are thereby formed over the substrate 102. The first FinFET includes the fin portion 104 a having the S/D regions 108 and the channel region 110 and further includes the gate stack 126 a. The second FinFET includes the fin portion 104 c having the S/D regions 108 and the channel region 110 and further includes the gate stack 126 c. The fin portions 104 a and 104 c are separated by the isolation structure 124 and the dielectric layer 118. Top surfaces of the S/D regions 108 adjacent to the dielectric layer 118 can be controlled so as to provide sufficient landing area for S/D contact formation.
At operation 28, the method 10 (FIG. 1B) performs further operations to form a final device. For example, operation 28 may form contacts and vias electrically connecting the S/D regions 108 and the gate stacks 126 of the first and second FinFETs, and form metal interconnects connecting the first and second FinFETs to other portions of the device 100 to form a complete IC.
FIG. 10 illustrates a semiconductor device 200 fabricated using an embodiment of the method 10 where operation 22 is not performed. Referring to FIG. 10, the device 200 is the same as the device 100 (FIG. 9) except that the device 200 does not include the dielectric layer 118 between the fin portions 104 a and 104 c and the isolation structure 124. In various embodiments, the isolation structure 124 still provides sufficient isolation between the fin portions 104 a and 104 c.
FIG. 11A shows a top view of a semiconductor device 300 fabricated using an embodiment of the method 10 (FIGS. 1A and 1B). FIG. 11B shows a cross sectional view of the device 300 along the “3-3” line of FIG. 11A. The device 300 has structures similar to those of the device 100, which are labeled with the same reference numerals for the sake of convenience. Referring to FIGS. 11A and 11B collectively, the device 300 includes a first FinFET 130 a and a second FinFET 130 c formed over a substrate 102. The FinFET 130 a includes an active fin 104 a having S/D regions 108 sandwiching a channel region 110 thereof. The FinFET 130 c includes an active fin 104 c having S/D regions 108 sandwiching a channel region 110 thereof. The fins 104 a and 104 c are oriented longitudinally along a common direction. The fin 104 a has a first fin end 104 a-1 and a second fin end 104 a-2. The fin 104 c has a first fin end 104 c-1 and a second fin end 104 c-2. The fin end 104 a-2 is adjacent to the fin end 104 c-1. In the present embodiment, the active fins 104 a and 104 c are two fin portions cut from a common active fin 104 using an embodiment of the method 10 (FIGS. 1A and 1B). The fins 104 a and 104 c, specifically the fin ends 104 a-2 and 104 c-1, are separated by an isolation structure 124. A dielectric layer 118 is located in between the isolation structure 124 and the fin ends 104 a-2 and 104 c-1. Furthermore, the fin ends 104 a-1 and 104 c-2 are covered underneath isolation structures 128 a and 128 c respectively. In an embodiment, the isolation structures 128 a/c are formed using a process similar to that for the isolation structure 124. In another embodiment, the fin ends 104 a-1 and 104 c-2 are respective fin ends of the initial active fin 104 and the isolation structures 128 a/c are simply dummy gate stacks, such as the dummy gate stack 120 b (FIG. 2B). In yet another embodiment, the isolation structures 128 a/c are formed using a process similar to that for the isolation structure 124 except that the fin ends 104 a-1 and 104 c-2 are not etched. In various embodiments, the isolation structures 124 and 128 a/c may be of the same or different materials.
Still referring to FIGS. 11A and 11B, the FinFET 130 a further includes a gate stack 126 a engaging the active fin 104 a adjacent to its channel region 110. The FinFET 130 c further includes a gate stack 126 c engaging the active fin 104 c adjacent to its channel region 110. The gate stacks, 126 a/c, and the isolation structures, 124/128, are each surrounded by spacer features 112 on their respective sidewalls. The device 300 further includes dielectric features 114 between the spacer features 112. Although not shown in FIGS. 11A and 11B, the device 300 further includes an isolation structure over the substrate 102, such as the isolation structure 106 in FIG. 2C, over which the various structures 112, 114, 124, 126 a/c, and 128 are formed. This aspect of the device 300 is the same as the device 100.
Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof. For example, embodiments of the present disclosure provide methods for effectively forming isolation between active fins while providing sufficient process window for FinFET fabrication. For example, embodiments of the present disclosure use a self-alignment process to form a fin isolation structure whereby an initial dummy gate stack defines a location for the fin isolation structure. This reduces lithography and etching processes and solves process window (e.g., CD and overlay) issues associated with conventional fin isolation methods. For example, various embodiments of the present disclosure can be easily integrated into existing FinFET fabrication flow.
In one exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device. The method includes receiving a substrate having an active fin, a plurality of dummy gate stacks over the substrate and engaging the fin, and first dielectric features over the substrate and between the dummy gate stacks. The method further includes removing the dummy gate stacks thereby forming a first trench and a second trench, wherein the first and second trenches expose first and second portions of the active fin respectively. The method further includes removing the first portion of the active fin and forming a gate stack in the second trench. The gate stack engages the second portion of the active fin.
In another exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device. The method includes receiving a substrate having an active fin, an isolation structure over the substrate, a plurality of dummy gate stacks over a first surface of the isolation structure and engaging the fin, spacer features over the first surface and on sidewalls of the dummy gate stacks, and first dielectric features over the first surface and between the spacer features. The method further includes removing the dummy gate stacks thereby forming first, second, and third trenches. The second trench is between the first and third trenches. The first, second, and third trenches expose first, second, and third portions of the active fin respectively. The method further includes removing the second portion of the active fin and forming gate stacks in the first and third trenches. The gate stacks engage the first and third portions of the active fin.
In another exemplary aspect, the present disclosure is directed to a semiconductor device. The semiconductor device includes a substrate having first and second active fins. Each of the first and second active fins has first and second ends. The second end of the first active fin is adjacent to the first end of the second active fin. The semiconductor device further includes a first gate stack over the substrate and engaging the first active fin and a second gate stack over the substrate and engaging the second active fin. The semiconductor device further includes a first isolation structure over the first end of the first active fin and a second isolation structure over the second end of the second active fin from a top view. The semiconductor device further includes a third isolation structure adjacent to both the second end of the first active fin and the first end of the second active fin from the top view.
The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method of forming a semiconductor device, comprising:
receiving a substrate having a fin extending from the substrate, first and second dummy gate stacks over the substrate and engaging the fin;
removing the first and second dummy gate stacks thereby forming a first trench and a second trench, wherein the first and second trenches expose first and second portions of the fin respectively;
removing the first portion of the fin; and
forming a gate stack in the second trench, the gate stack engaging the second portion of the fin.
2. The method of claim 1, further comprising:
filling the first trench with a dielectric material.
3. The method of claim 1, wherein sidewalls of the first and second dummy gate stacks are covered by spacer features.
4. The method of claim 1, wherein the first and second dummy gate stacks are over a first surface of an isolation structure over the substrate, and the removing of the first portion of the fin includes:
expanding the first trench below the first surface.
5. The method of claim 1, wherein the removing of the first portion of the fin includes:
forming a masking element over the second portion of the fin; and
performing an etching process to the first portion of the fin.
6. The method of claim 1, further comprising, after the removing of the first portion of the fin:
performing an ashing process to the first trench so as to recess the fin along its length.
7. The method of claim 6, wherein:
sidewalls of the first and second dummy gate stacks are covered by spacer features having a first thickness; and
the ashing process recesses the fin by a distance less than the first thickness.
8. The method of claim 6, wherein the ashing process exposes a first surface of the fin, further comprising:
forming a dielectric layer over the first surface.
9. The method of claim 8, wherein the dielectric layer includes one of:
silicon oxide and silicon nitride.
10. The method of claim 1, wherein the gate stack includes a high-k dielectric layer and a work function metal layer.
11. A method of forming a semiconductor device, comprising:
receiving a substrate having an active fin, an isolation structure over the substrate, a plurality of dummy gate stacks over a first surface of the isolation structure and engaging the active fin;
removing the dummy gate stacks thereby forming first, second, and third trenches, wherein the second trench is between the first and third trenches, and the first, second, and third trenches expose first, second, and third portions of the active fin respectively;
removing the second portion of the active fin; and
forming gate stacks in the first and third trenches, the gate stacks engaging the first and third portions of the active fin.
12. The method of claim 11, wherein the removing of the second portion of the active fin includes:
forming a masking element covering the first and third portions of the active fin; and
etching the second portion of the active fin below the first surface.
13. The method of claim 12, further comprising:
performing an ashing process to both remove the masking element and to recess the active fin through the second trench.
14. The method of claim 11, further comprising, after the removing of the second portion of the active fin:
performing one of oxidation and nitridation processes to surfaces of the active fin that are exposed through the second trench.
15. The method of claim 11, further comprising:
filling the second trench with a dielectric material.
16. A method of forming a semiconductor device, comprising:
receiving a substrate having first and second fins extending lengthwise generally along a same line, wherein each of the first and second fins has first and second ends, and the second end of the first fin is adjacent to the first end of the second fin;
forming a first gate stack over the substrate and engaging the first fin;
forming a second gate stack over the substrate and engaging the second fin;
forming a first isolation structure over the first end of the first fin;
forming a second isolation structure over the second end of the second fin; and
forming a third isolation structure adjacent to both the second end of the first fin and the first end of the second fin.
17. The method of claim 16, wherein each of the first, second, and third isolation structures is surrounded by respective spacer features.
18. The method of claim 16, further comprising:
forming a fourth isolation structure over the substrate, wherein the first and second gate stacks are formed over the fourth isolation structure.
19. The method of claim 18, wherein:
the first and second gate stacks are formed over a first surface of the fourth isolation structure; and
the third isolation structure is formed over a second surface of the fourth isolation structure, wherein the second surface is lower than the first surface.
20. The method of claim 16, wherein the second end of the first fin and the first end of the second fin each include a dielectric material layer abutting the third isolation structure.
US16/222,837 2014-10-17 2018-12-17 Method and structure for FinFET isolation Active US10522414B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US16/222,837 US10522414B2 (en) 2014-10-17 2018-12-17 Method and structure for FinFET isolation
US16/725,227 US10867865B2 (en) 2014-10-17 2019-12-23 Method and structure for FinFET isolation
US17/120,942 US11605564B2 (en) 2014-10-17 2020-12-14 Method and structure for FinFET isolation
US18/157,352 US20230154800A1 (en) 2014-10-17 2023-01-20 Method and Structure for FinFET Isolation

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462065125P 2014-10-17 2014-10-17
US14/579,728 US9490176B2 (en) 2014-10-17 2014-12-22 Method and structure for FinFET isolation
US15/345,125 US9818649B2 (en) 2014-10-17 2016-11-07 Method and structure for FinFET isolation
US15/810,616 US10163722B2 (en) 2014-10-17 2017-11-13 Method and structure for FinFet isolation
US16/222,837 US10522414B2 (en) 2014-10-17 2018-12-17 Method and structure for FinFET isolation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/810,616 Continuation US10163722B2 (en) 2014-10-17 2017-11-13 Method and structure for FinFet isolation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/725,227 Continuation US10867865B2 (en) 2014-10-17 2019-12-23 Method and structure for FinFET isolation

Publications (2)

Publication Number Publication Date
US20190122934A1 US20190122934A1 (en) 2019-04-25
US10522414B2 true US10522414B2 (en) 2019-12-31

Family

ID=55638037

Family Applications (7)

Application Number Title Priority Date Filing Date
US14/579,728 Active US9490176B2 (en) 2014-10-17 2014-12-22 Method and structure for FinFET isolation
US15/345,125 Active US9818649B2 (en) 2014-10-17 2016-11-07 Method and structure for FinFET isolation
US15/810,616 Active US10163722B2 (en) 2014-10-17 2017-11-13 Method and structure for FinFet isolation
US16/222,837 Active US10522414B2 (en) 2014-10-17 2018-12-17 Method and structure for FinFET isolation
US16/725,227 Active US10867865B2 (en) 2014-10-17 2019-12-23 Method and structure for FinFET isolation
US17/120,942 Active 2035-06-03 US11605564B2 (en) 2014-10-17 2020-12-14 Method and structure for FinFET isolation
US18/157,352 Pending US20230154800A1 (en) 2014-10-17 2023-01-20 Method and Structure for FinFET Isolation

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US14/579,728 Active US9490176B2 (en) 2014-10-17 2014-12-22 Method and structure for FinFET isolation
US15/345,125 Active US9818649B2 (en) 2014-10-17 2016-11-07 Method and structure for FinFET isolation
US15/810,616 Active US10163722B2 (en) 2014-10-17 2017-11-13 Method and structure for FinFet isolation

Family Applications After (3)

Application Number Title Priority Date Filing Date
US16/725,227 Active US10867865B2 (en) 2014-10-17 2019-12-23 Method and structure for FinFET isolation
US17/120,942 Active 2035-06-03 US11605564B2 (en) 2014-10-17 2020-12-14 Method and structure for FinFET isolation
US18/157,352 Pending US20230154800A1 (en) 2014-10-17 2023-01-20 Method and Structure for FinFET Isolation

Country Status (5)

Country Link
US (7) US9490176B2 (en)
KR (2) KR101732246B1 (en)
CN (1) CN106158864B (en)
DE (1) DE102015100165B4 (en)
TW (1) TWI552229B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10644135B2 (en) * 2017-04-26 2020-05-05 Semiconductor Manufacturing International (Shanghai) Corporation FinFET device with non-recessed STI
US11437277B2 (en) 2020-04-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Forming isolation regions for separating fins and gate stacks

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110047933B (en) 2013-09-25 2022-11-08 太浩研究有限公司 Isolation well doped with solid state diffusion source for FINFET architecture
US9490176B2 (en) 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
KR102398862B1 (en) * 2015-05-13 2022-05-16 삼성전자주식회사 Semiconductor device and the fabricating method thereof
DE112015006974T5 (en) 2015-09-25 2019-01-24 Intel Corporation A method of doping fin structures of non-planar transistor devices
US9728624B2 (en) * 2015-10-28 2017-08-08 International Business Machines Corporation Semiconductor testing devices
CN113659004B (en) * 2015-11-26 2023-12-19 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
KR20170065271A (en) * 2015-12-03 2017-06-13 삼성전자주식회사 A semiconductor device and methods of manufacturing the same
US9716042B1 (en) * 2015-12-30 2017-07-25 International Business Machines Corporation Fin field-effect transistor (FinFET) with reduced parasitic capacitance
KR102549340B1 (en) 2016-09-27 2023-06-28 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102549331B1 (en) 2016-11-14 2023-06-28 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN106449641B (en) * 2016-11-15 2019-04-12 中国科学院微电子研究所 Semiconductor setting and its manufacturing method with continuous side wall
TWI721231B (en) 2016-11-16 2021-03-11 日商東京威力科創股份有限公司 Methods of sub-resolution substrate patterning
KR102618711B1 (en) 2017-01-17 2024-01-02 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10269647B2 (en) * 2017-01-20 2019-04-23 Applied Materials, Inc. Self-aligned EPI contact flow
KR102314134B1 (en) 2017-03-10 2021-10-18 삼성전자 주식회사 Integrated circuit device and method of manufacturing the same
US10043712B1 (en) * 2017-05-17 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10083878B1 (en) * 2017-06-05 2018-09-25 Globalfoundries Inc. Fin fabrication process with dual shallow trench isolation and tunable inner and outer fin profile
TWI657533B (en) * 2017-06-16 2019-04-21 台灣積體電路製造股份有限公司 Semiconductor device and method for fabricating the same
CN109103102B (en) * 2017-06-20 2021-06-08 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
DE102018124814A1 (en) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and process for its preparation
US11031290B2 (en) 2017-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with cutting depth control and method for fabricating the same
DE102018126911A1 (en) 2017-11-30 2019-06-06 Intel Corporation Gate cut and fin trim isolation for advanced integrated circuit structure fabrication
US10510874B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10497778B2 (en) * 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20230006054A (en) * 2017-11-30 2023-01-10 인텔 코포레이션 Fin patterning for advanced integrated circuit structure fabrication
CN109873035B (en) * 2017-12-04 2022-09-27 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
KR102544153B1 (en) 2017-12-18 2023-06-14 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN109994384B (en) * 2017-12-29 2022-07-05 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN110120415B (en) * 2018-02-07 2022-02-15 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
CN110571193B (en) * 2018-06-05 2021-07-30 中芯国际集成电路制造(上海)有限公司 Method for manufacturing single diffusion blocking structure and method for manufacturing semiconductor device
US10586736B2 (en) 2018-06-11 2020-03-10 Globalfoundries Inc. Hybrid fin cut with improved fin profiles
US10930767B2 (en) * 2018-07-16 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-like field effect transistor patterning methods for achieving fin width uniformity
US10868137B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10658224B2 (en) 2018-09-10 2020-05-19 International Business Machines Corporation Method of fin oxidation by flowable oxide fill and steam anneal to mitigate local layout effects
US10685866B2 (en) 2018-09-10 2020-06-16 International Business Machines Corporation Fin isolation to mitigate local layout effects
US10797049B2 (en) 2018-10-25 2020-10-06 Globalfoundries Inc. FinFET structure with dielectric bar containing gate to reduce effective capacitance, and method of forming same
US11069791B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
CN111435639B (en) * 2018-12-26 2023-05-05 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof
KR102556811B1 (en) * 2019-01-25 2023-07-18 삼성전자주식회사 Semiconductor devices
CN111725137B (en) * 2019-03-20 2023-06-23 中芯国际集成电路制造(天津)有限公司 Method for forming semiconductor device
CN111863963A (en) * 2019-04-24 2020-10-30 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10840329B1 (en) * 2019-05-08 2020-11-17 International Business Machines Corporation Nanosheet transistor having improved bottom isolation
EP3767664A1 (en) * 2019-07-18 2021-01-20 Imec VZW Self-aligned layer patterning
US11450754B2 (en) * 2019-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11476351B2 (en) * 2020-02-18 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures and methods of fabricating the same in field-effect transistors
US11837649B2 (en) 2020-04-21 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selective removal of gate dielectric from dummy fin
DE102020119859A1 (en) 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. FORMATION OF HYBRID ISOLATION REGIONS THROUGH RECESSING AND RE-SEPARATION
US11404323B2 (en) * 2020-04-29 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of hybrid isolation regions through recess and re-deposition
DE102021103461A1 (en) * 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. GATE ISOLATION FOR MULTIGATE DEVICE

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134884A1 (en) 2005-12-14 2007-06-14 Samsung Electronics Co., Ltd. Isolation method of defining active fins, method of fabricating semiconductor device using the same and semiconductor device fabricated thereby
JP2008034427A (en) 2006-07-26 2008-02-14 Elpida Memory Inc Semiconductor device and manufacturing method thereof
JP2009224520A (en) 2008-03-14 2009-10-01 Elpida Memory Inc Semiconductor device and method of manufacturing semiconductor device
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
KR20130086272A (en) 2012-01-24 2013-08-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfets and methods for forming the same
KR20130120973A (en) 2012-04-26 2013-11-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-gate devices with replaced-channels and methods for forming the same
KR20140053753A (en) 2012-10-26 2014-05-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet with dummy gate on non-recessed shallow trench isolation(sti)
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8753970B2 (en) 2012-09-12 2014-06-17 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
US20140203369A1 (en) 2013-01-22 2014-07-24 Semiconductor Manufacturing International Corp. Fin field-effect transistors and fabrication method thereof
KR20140099743A (en) 2013-02-04 2014-08-13 삼성전자주식회사 Semiconductor device and method for fabricating the same
US8822290B2 (en) 2013-01-25 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US20140282326A1 (en) 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Layout Verification for Polysilicon Cell Edge Structures in FinFET Standard Cells
US8846491B1 (en) 2013-06-19 2014-09-30 Globalfoundries Inc. Forming a diffusion break during a RMG process
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US9245883B1 (en) 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546208B2 (en) 2011-08-19 2013-10-01 International Business Machines Corporation Isolation region fabrication for replacement gate processing
KR101964262B1 (en) * 2011-11-25 2019-04-02 삼성전자주식회사 Semiconductor device and method of manufacturing same
US8735991B2 (en) 2011-12-01 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. High gate density devices and methods
US8629420B1 (en) * 2012-07-03 2014-01-14 Intel Mobile Communications GmbH Drain extended MOS device for bulk FinFET technology
US8796772B2 (en) * 2012-09-24 2014-08-05 Intel Corporation Precision resistor for non-planar semiconductor device architecture
US20140103452A1 (en) 2012-10-15 2014-04-17 Marvell World Trade Ltd. Isolation components for transistors formed on fin features of semiconductor substrates
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9299840B2 (en) * 2013-03-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134884A1 (en) 2005-12-14 2007-06-14 Samsung Electronics Co., Ltd. Isolation method of defining active fins, method of fabricating semiconductor device using the same and semiconductor device fabricated thereby
JP2008034427A (en) 2006-07-26 2008-02-14 Elpida Memory Inc Semiconductor device and manufacturing method thereof
JP2009224520A (en) 2008-03-14 2009-10-01 Elpida Memory Inc Semiconductor device and method of manufacturing semiconductor device
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
KR20130086272A (en) 2012-01-24 2013-08-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfets and methods for forming the same
KR20130120973A (en) 2012-04-26 2013-11-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-gate devices with replaced-channels and methods for forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8753970B2 (en) 2012-09-12 2014-06-17 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
KR20140053753A (en) 2012-10-26 2014-05-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet with dummy gate on non-recessed shallow trench isolation(sti)
US20140203369A1 (en) 2013-01-22 2014-07-24 Semiconductor Manufacturing International Corp. Fin field-effect transistors and fabrication method thereof
US8822290B2 (en) 2013-01-25 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
KR20140099743A (en) 2013-02-04 2014-08-13 삼성전자주식회사 Semiconductor device and method for fabricating the same
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US20140282326A1 (en) 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Layout Verification for Polysilicon Cell Edge Structures in FinFET Standard Cells
US8846491B1 (en) 2013-06-19 2014-09-30 Globalfoundries Inc. Forming a diffusion break during a RMG process
US9245883B1 (en) 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US20170076989A1 (en) 2014-10-17 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Structure for FinFET Isolation
US9818649B2 (en) 2014-10-17 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Notice of Allowance of Patent dated Feb. 1, 2017, Application No. KR10-2015-0028533, 6 pages.
Notice of Allowance of Patent dated Nov. 21, 2016, Application No. KR10-2016-0125031, 6 pages.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10644135B2 (en) * 2017-04-26 2020-05-05 Semiconductor Manufacturing International (Shanghai) Corporation FinFET device with non-recessed STI
US10930765B2 (en) 2017-04-26 2021-02-23 Semiconductor Manufacturing International (Shanghai) Corporation Method of manufacturing FinFET device with non-recessed STI
US11437277B2 (en) 2020-04-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Forming isolation regions for separating fins and gate stacks

Also Published As

Publication number Publication date
DE102015100165B4 (en) 2018-07-19
DE102015100165A1 (en) 2016-04-21
US20190122934A1 (en) 2019-04-25
US20200135581A1 (en) 2020-04-30
KR20160115904A (en) 2016-10-06
US10867865B2 (en) 2020-12-15
US20160111336A1 (en) 2016-04-21
TW201616581A (en) 2016-05-01
KR20160045532A (en) 2016-04-27
US20210134680A1 (en) 2021-05-06
KR101732246B1 (en) 2017-05-02
US20180068900A1 (en) 2018-03-08
US20170076989A1 (en) 2017-03-16
US10163722B2 (en) 2018-12-25
KR101709445B1 (en) 2017-02-22
CN106158864B (en) 2019-06-14
TWI552229B (en) 2016-10-01
US9818649B2 (en) 2017-11-14
CN106158864A (en) 2016-11-23
US11605564B2 (en) 2023-03-14
US9490176B2 (en) 2016-11-08
US20230154800A1 (en) 2023-05-18

Similar Documents

Publication Publication Date Title
US11605564B2 (en) Method and structure for FinFET isolation
US11721746B2 (en) Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US11043425B2 (en) Methods of reducing parasitic capacitance in semiconductor devices
KR102029547B1 (en) Metal gate structure and methods thereof
US20180240790A1 (en) Method and Structure for Semiconductor Mid-End-Of-Line (MEOL) Process
US11694933B2 (en) Methods of forming metal gate spacer
US20220181218A1 (en) Gate structure and patterning method
US20220328649A1 (en) Methods Of Reducing Capacitance In Field-Effect Transistors
US20210398975A1 (en) Metal gate structure and methods thereof
KR102195680B1 (en) Source/drain isolation structure and methods thereof
US20230386920A1 (en) Metal gate process and related structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHE-CHENG;LIN, CHIH-HAN;LIN, JR-JUNG;REEL/FRAME:047799/0971

Effective date: 20150728

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4