TWI843451B - 形成半導體裝置的方法 - Google Patents
形成半導體裝置的方法 Download PDFInfo
- Publication number
- TWI843451B TWI843451B TW112106792A TW112106792A TWI843451B TW I843451 B TWI843451 B TW I843451B TW 112106792 A TW112106792 A TW 112106792A TW 112106792 A TW112106792 A TW 112106792A TW I843451 B TWI843451 B TW I843451B
- Authority
- TW
- Taiwan
- Prior art keywords
- halogenated
- independently
- group
- photoresist layer
- polymer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 104
- 239000004065 semiconductor Substances 0.000 title claims abstract description 45
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 160
- 229920000642 polymer Polymers 0.000 claims abstract description 77
- 239000000758 substrate Substances 0.000 claims abstract description 50
- 230000005855 radiation Effects 0.000 claims abstract description 43
- 239000004971 Cross linker Substances 0.000 claims abstract description 13
- 150000001875 compounds Chemical class 0.000 claims abstract description 9
- -1 carbonyloxy, oxycarbonyl Chemical group 0.000 claims description 79
- 239000003431 cross linking reagent Substances 0.000 claims description 75
- 125000000217 alkyl group Chemical group 0.000 claims description 65
- 125000002947 alkylene group Chemical group 0.000 claims description 48
- 239000000463 material Substances 0.000 claims description 46
- 125000003545 alkoxy group Chemical group 0.000 claims description 38
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 30
- 239000000203 mixture Substances 0.000 claims description 29
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 28
- 125000002993 cycloalkylene group Chemical group 0.000 claims description 27
- 125000003709 fluoroalkyl group Chemical group 0.000 claims description 27
- 229910052739 hydrogen Inorganic materials 0.000 claims description 27
- 239000001257 hydrogen Substances 0.000 claims description 27
- 125000000753 cycloalkyl group Chemical group 0.000 claims description 26
- 125000004474 heteroalkylene group Chemical group 0.000 claims description 25
- 125000001153 fluoro group Chemical group F* 0.000 claims description 23
- 125000005647 linker group Chemical group 0.000 claims description 23
- 125000000304 alkynyl group Chemical group 0.000 claims description 22
- 125000003118 aryl group Chemical group 0.000 claims description 21
- 125000002868 norbornyl group Chemical group C12(CCC(CC1)C2)* 0.000 claims description 21
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 20
- 125000005073 adamantyl group Chemical group C12(CC3CC(CC(C1)C3)C2)* 0.000 claims description 20
- 125000000732 arylene group Chemical group 0.000 claims description 18
- 238000005530 etching Methods 0.000 claims description 18
- 125000002768 hydroxyalkyl group Chemical group 0.000 claims description 18
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 claims description 16
- 125000004183 alkoxy alkyl group Chemical group 0.000 claims description 15
- 125000001072 heteroaryl group Chemical group 0.000 claims description 14
- 125000004043 oxo group Chemical group O=* 0.000 claims description 14
- 125000005549 heteroarylene group Chemical group 0.000 claims description 13
- 125000006363 carbonyl oxy alkylene group Chemical group 0.000 claims description 12
- 125000005702 oxyalkylene group Chemical group 0.000 claims description 12
- BVKZGUZCCUSVTD-UHFFFAOYSA-L Carbonate Chemical compound [O-]C([O-])=O BVKZGUZCCUSVTD-UHFFFAOYSA-L 0.000 claims description 11
- QMEQBOSUJUOXMX-UHFFFAOYSA-N 2h-oxadiazine Chemical compound N1OC=CC=N1 QMEQBOSUJUOXMX-UHFFFAOYSA-N 0.000 claims description 8
- 229920006037 cross link polymer Polymers 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 7
- 238000010438 heat treatment Methods 0.000 claims description 3
- 125000002769 thiazolinyl group Chemical group 0.000 claims description 2
- 150000002118 epoxides Chemical class 0.000 claims 5
- 229910052731 fluorine Inorganic materials 0.000 abstract description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 abstract description 4
- 239000011737 fluorine Substances 0.000 abstract description 4
- 239000010410 layer Substances 0.000 description 163
- 230000008569 process Effects 0.000 description 50
- 238000004132 cross linking Methods 0.000 description 35
- 125000004432 carbon atom Chemical group C* 0.000 description 17
- 150000002924 oxiranes Chemical group 0.000 description 17
- 125000000843 phenylene group Chemical group C1(=C(C=CC=C1)*)* 0.000 description 17
- 125000000623 heterocyclic group Chemical group 0.000 description 16
- 150000003254 radicals Chemical class 0.000 description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 13
- 125000005842 heteroatom Chemical group 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 239000002253 acid Substances 0.000 description 11
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 125000003342 alkenyl group Chemical group 0.000 description 10
- 229910052799 carbon Inorganic materials 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 10
- 229910052757 nitrogen Inorganic materials 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- 125000004122 cyclic group Chemical group 0.000 description 9
- 239000002904 solvent Substances 0.000 description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 125000005708 carbonyloxy group Chemical group [*:2]OC([*:1])=O 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 7
- DKPFZGUDAPQIHT-UHFFFAOYSA-N butyl acetate Chemical compound CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 7
- 125000002837 carbocyclic group Chemical group 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 7
- 239000001301 oxygen Substances 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 6
- 125000003277 amino group Chemical group 0.000 description 6
- 238000009792 diffusion process Methods 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 230000009477 glass transition Effects 0.000 description 6
- 150000002430 hydrocarbons Chemical group 0.000 description 6
- 238000002955 isolation Methods 0.000 description 6
- JDSHMPZPIAZGSV-UHFFFAOYSA-N melamine Chemical group NC1=NC(N)=NC(N)=N1 JDSHMPZPIAZGSV-UHFFFAOYSA-N 0.000 description 6
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 125000004185 ester group Chemical group 0.000 description 5
- 125000004404 heteroalkyl group Chemical group 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- 125000003396 thiol group Chemical group [H]S* 0.000 description 5
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- 125000003172 aldehyde group Chemical group 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 125000004069 aziridinyl group Chemical group 0.000 description 4
- ISAOCJYIOMOJEB-UHFFFAOYSA-N benzoin Chemical compound C=1C=CC=CC=1C(O)C(=O)C1=CC=CC=C1 ISAOCJYIOMOJEB-UHFFFAOYSA-N 0.000 description 4
- 125000002619 bicyclic group Chemical group 0.000 description 4
- 229910052794 bromium Inorganic materials 0.000 description 4
- 125000002843 carboxylic acid group Chemical group 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 4
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 4
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 4
- 125000000468 ketone group Chemical group 0.000 description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 4
- 125000002950 monocyclic group Chemical group 0.000 description 4
- 125000005740 oxycarbonyl group Chemical group [*:1]OC([*:2])=O 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 229910052717 sulfur Chemical group 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 3
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- 125000003282 alkyl amino group Chemical group 0.000 description 3
- 125000005011 alkyl ether group Chemical group 0.000 description 3
- 125000003710 aryl alkyl group Chemical group 0.000 description 3
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 3
- MWKFXSUHUHTGQN-UHFFFAOYSA-N decan-1-ol Chemical compound CCCCCCCCCCO MWKFXSUHUHTGQN-UHFFFAOYSA-N 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 150000002148 esters Chemical class 0.000 description 3
- 125000005843 halogen group Chemical group 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 229910052740 iodine Inorganic materials 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 125000004433 nitrogen atom Chemical group N* 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 125000001424 substituent group Chemical group 0.000 description 3
- 125000004001 thioalkyl group Chemical group 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 2
- 125000003504 2-oxazolinyl group Chemical group O1C(=NCC1)* 0.000 description 2
- WVYWICLMDOOCFB-UHFFFAOYSA-N 4-methyl-2-pentanol Chemical compound CC(C)CC(C)O WVYWICLMDOOCFB-UHFFFAOYSA-N 0.000 description 2
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- 229920000877 Melamine resin Polymers 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- 244000028419 Styrax benzoin Species 0.000 description 2
- 235000000126 Styrax benzoin Nutrition 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical group [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- 235000008411 Sumatra benzointree Nutrition 0.000 description 2
- GWZDSIJCTLAIAT-UHFFFAOYSA-N [N+](=[N-])(S(=O)(=O)O)S(=O)(=O)O Chemical class [N+](=[N-])(S(=O)(=O)O)S(=O)(=O)O GWZDSIJCTLAIAT-UHFFFAOYSA-N 0.000 description 2
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 description 2
- 125000006615 aromatic heterocyclic group Chemical group 0.000 description 2
- CUFNKYGDVFVPHO-UHFFFAOYSA-N azulene Chemical compound C1=CC=CC2=CC=CC2=C1 CUFNKYGDVFVPHO-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 125000002047 benzodioxolyl group Chemical group O1OC(C2=C1C=CC=C2)* 0.000 description 2
- 125000000499 benzofuranyl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 2
- 229960002130 benzoin Drugs 0.000 description 2
- 125000001164 benzothiazolyl group Chemical group S1C(=NC2=C1C=CC=C2)* 0.000 description 2
- 125000004196 benzothienyl group Chemical group S1C(=CC2=C1C=CC=C2)* 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 125000005587 carbonate group Chemical group 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 125000001316 cycloalkyl alkyl group Chemical group 0.000 description 2
- 239000012954 diazonium Substances 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 229940116333 ethyl lactate Drugs 0.000 description 2
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 125000000524 functional group Chemical group 0.000 description 2
- 235000019382 gum benzoic Nutrition 0.000 description 2
- 125000004446 heteroarylalkyl group Chemical group 0.000 description 2
- 238000002248 hydride vapour-phase epitaxy Methods 0.000 description 2
- WVDDGKGOMKODPV-UHFFFAOYSA-N hydroxymethyl benzene Natural products OCC1=CC=CC=C1 WVDDGKGOMKODPV-UHFFFAOYSA-N 0.000 description 2
- 238000003384 imaging method Methods 0.000 description 2
- 125000001841 imino group Chemical group [H]N=* 0.000 description 2
- 125000003453 indazolyl group Chemical group N1N=C(C2=C1C=CC=C2)* 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 2
- 238000004943 liquid phase epitaxy Methods 0.000 description 2
- 238000001741 metal-organic molecular beam epitaxy Methods 0.000 description 2
- 125000006574 non-aromatic ring group Chemical group 0.000 description 2
- 125000000160 oxazolidinyl group Chemical group 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 229920001223 polyethylene glycol Polymers 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 2
- 125000004076 pyridyl group Chemical group 0.000 description 2
- 125000000168 pyrrolyl group Chemical group 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 150000003871 sulfonates Chemical class 0.000 description 2
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 2
- 239000011593 sulfur Chemical group 0.000 description 2
- 125000004434 sulfur atom Chemical group 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- 125000001544 thienyl group Chemical group 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 125000004568 thiomorpholinyl group Chemical group 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 2
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 2
- SOHAVULMGIITDH-ZXPSTKSJSA-N (1S,9R,14E)-14-(1H-imidazol-5-ylmethylidene)-2,11-dimethoxy-9-(2-methylbut-3-en-2-yl)-2,13,16-triazatetracyclo[7.7.0.01,13.03,8]hexadeca-3,5,7,10-tetraene-12,15-dione Chemical compound C([C@]1(C2=CC=CC=C2N([C@@]21NC1=O)OC)C(C)(C)C=C)=C(OC)C(=O)N2\C1=C\C1=CNC=N1 SOHAVULMGIITDH-ZXPSTKSJSA-N 0.000 description 1
- YSWBUABBMRVQAC-UHFFFAOYSA-N (2-nitrophenyl)methanesulfonic acid Chemical class OS(=O)(=O)CC1=CC=CC=C1[N+]([O-])=O YSWBUABBMRVQAC-UHFFFAOYSA-N 0.000 description 1
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- YFSUTJLHUFNCNZ-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-heptadecafluorooctane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-M 0.000 description 1
- 125000005988 1,1-dioxo-thiomorpholinyl group Chemical group 0.000 description 1
- QWUWMCYKGHVNAV-UHFFFAOYSA-N 1,2-dihydrostilbene Chemical group C=1C=CC=CC=1CCC1=CC=CC=C1 QWUWMCYKGHVNAV-UHFFFAOYSA-N 0.000 description 1
- BJPLCSRJHOVLHQ-UHFFFAOYSA-N 1,2-diphenyl-2-(2-phenylethoxy)ethanone Chemical compound C=1C=CC=CC=1C(=O)C(C=1C=CC=CC=1)OCCC1=CC=CC=C1 BJPLCSRJHOVLHQ-UHFFFAOYSA-N 0.000 description 1
- QWQFVUQPHUKAMY-UHFFFAOYSA-N 1,2-diphenyl-2-propoxyethanone Chemical compound C=1C=CC=CC=1C(OCCC)C(=O)C1=CC=CC=C1 QWQFVUQPHUKAMY-UHFFFAOYSA-N 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 125000005877 1,4-benzodioxanyl group Chemical group 0.000 description 1
- LMGYOBQJBQAZKC-UHFFFAOYSA-N 1-(2-ethylphenyl)-2-hydroxy-2-phenylethanone Chemical compound CCC1=CC=CC=C1C(=O)C(O)C1=CC=CC=C1 LMGYOBQJBQAZKC-UHFFFAOYSA-N 0.000 description 1
- GEWWCWZGHNIUBW-UHFFFAOYSA-N 1-(4-nitrophenyl)propan-2-one Chemical compound CC(=O)CC1=CC=C([N+]([O-])=O)C=C1 GEWWCWZGHNIUBW-UHFFFAOYSA-N 0.000 description 1
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical group CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- JOLQKTGDSGKSKJ-UHFFFAOYSA-N 1-ethoxypropan-2-ol Chemical compound CCOCC(C)O JOLQKTGDSGKSKJ-UHFFFAOYSA-N 0.000 description 1
- 125000005987 1-oxo-thiomorpholinyl group Chemical group 0.000 description 1
- JHGGYGMFCRSWIZ-UHFFFAOYSA-N 2,2-dichloro-1-(4-phenoxyphenyl)ethanone Chemical compound C1=CC(C(=O)C(Cl)Cl)=CC=C1OC1=CC=CC=C1 JHGGYGMFCRSWIZ-UHFFFAOYSA-N 0.000 description 1
- CERJZAHSUZVMCH-UHFFFAOYSA-N 2,2-dichloro-1-phenylethanone Chemical compound ClC(Cl)C(=O)C1=CC=CC=C1 CERJZAHSUZVMCH-UHFFFAOYSA-N 0.000 description 1
- PIZHFBODNLEQBL-UHFFFAOYSA-N 2,2-diethoxy-1-phenylethanone Chemical compound CCOC(OCC)C(=O)C1=CC=CC=C1 PIZHFBODNLEQBL-UHFFFAOYSA-N 0.000 description 1
- KWVGIHKZDCUPEU-UHFFFAOYSA-N 2,2-dimethoxy-2-phenylacetophenone Chemical compound C=1C=CC=CC=1C(OC)(OC)C(=O)C1=CC=CC=C1 KWVGIHKZDCUPEU-UHFFFAOYSA-N 0.000 description 1
- MDKSQNHUHMMKPP-UHFFFAOYSA-N 2,5-bis(4-methoxyphenyl)-4-phenyl-1h-imidazole Chemical class C1=CC(OC)=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC(OC)=CC=2)N1 MDKSQNHUHMMKPP-UHFFFAOYSA-N 0.000 description 1
- CTWRMVAKUSJNBK-UHFFFAOYSA-N 2-(2,4-dimethoxyphenyl)-4,5-diphenyl-1h-imidazole Chemical compound COC1=CC(OC)=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 CTWRMVAKUSJNBK-UHFFFAOYSA-N 0.000 description 1
- RXAYEPUDXSKVHS-UHFFFAOYSA-N 2-(2-chlorophenyl)-4,5-bis(3-methoxyphenyl)-1h-imidazole Chemical class COC1=CC=CC(C2=C(NC(=N2)C=2C(=CC=CC=2)Cl)C=2C=C(OC)C=CC=2)=C1 RXAYEPUDXSKVHS-UHFFFAOYSA-N 0.000 description 1
- NSWNXQGJAPQOID-UHFFFAOYSA-N 2-(2-chlorophenyl)-4,5-diphenyl-1h-imidazole Chemical class ClC1=CC=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 NSWNXQGJAPQOID-UHFFFAOYSA-N 0.000 description 1
- UIHRWPYOTGCOJP-UHFFFAOYSA-N 2-(2-fluorophenyl)-4,5-diphenyl-1h-imidazole Chemical class FC1=CC=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 UIHRWPYOTGCOJP-UHFFFAOYSA-N 0.000 description 1
- XIOGJAPOAUEYJO-UHFFFAOYSA-N 2-(2-methoxyphenyl)-4,5-diphenyl-1h-imidazole Chemical class COC1=CC=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 XIOGJAPOAUEYJO-UHFFFAOYSA-N 0.000 description 1
- SNFCQJAJPFWBDJ-UHFFFAOYSA-N 2-(4-methoxyphenyl)-4,5-diphenyl-1h-imidazole Chemical class C1=CC(OC)=CC=C1C1=NC(C=2C=CC=CC=2)=C(C=2C=CC=CC=2)N1 SNFCQJAJPFWBDJ-UHFFFAOYSA-N 0.000 description 1
- DZZAHLOABNWIFA-UHFFFAOYSA-N 2-butoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(OCCCC)C(=O)C1=CC=CC=C1 DZZAHLOABNWIFA-UHFFFAOYSA-N 0.000 description 1
- SJEBAWHUJDUKQK-UHFFFAOYSA-N 2-ethylanthraquinone Chemical compound C1=CC=C2C(=O)C3=CC(CC)=CC=C3C(=O)C2=C1 SJEBAWHUJDUKQK-UHFFFAOYSA-N 0.000 description 1
- VZMLJEYQUZKERO-UHFFFAOYSA-N 2-hydroxy-1-(2-methylphenyl)-2-phenylethanone Chemical compound CC1=CC=CC=C1C(=O)C(O)C1=CC=CC=C1 VZMLJEYQUZKERO-UHFFFAOYSA-N 0.000 description 1
- KTWCUGUUDHJVIH-UHFFFAOYSA-N 2-hydroxybenzo[de]isoquinoline-1,3-dione Chemical compound C1=CC(C(N(O)C2=O)=O)=C3C2=CC=CC3=C1 KTWCUGUUDHJVIH-UHFFFAOYSA-N 0.000 description 1
- BQZJOQXSCSZQPS-UHFFFAOYSA-N 2-methoxy-1,2-diphenylethanone Chemical compound C=1C=CC=CC=1C(OC)C(=O)C1=CC=CC=C1 BQZJOQXSCSZQPS-UHFFFAOYSA-N 0.000 description 1
- LBLYYCQCTBFVLH-UHFFFAOYSA-M 2-methylbenzenesulfonate Chemical compound CC1=CC=CC=C1S([O-])(=O)=O LBLYYCQCTBFVLH-UHFFFAOYSA-M 0.000 description 1
- 125000003229 2-methylhexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000006088 2-oxoazepinyl group Chemical group 0.000 description 1
- 125000004638 2-oxopiperazinyl group Chemical group O=C1N(CCNC1)* 0.000 description 1
- 125000004637 2-oxopiperidinyl group Chemical group O=C1N(CCCC1)* 0.000 description 1
- MWKAGZWJHCTVJY-UHFFFAOYSA-N 3-hydroxyoctadecan-2-one Chemical compound CCCCCCCCCCCCCCCC(O)C(C)=O MWKAGZWJHCTVJY-UHFFFAOYSA-N 0.000 description 1
- 125000003469 3-methylhexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- VVBLNCFGVYUYGU-UHFFFAOYSA-N 4,4'-Bis(dimethylamino)benzophenone Chemical compound C1=CC(N(C)C)=CC=C1C(=O)C1=CC=C(N(C)C)C=C1 VVBLNCFGVYUYGU-UHFFFAOYSA-N 0.000 description 1
- RBLPVXMAVRBHLI-UHFFFAOYSA-N 4,5,6-trimethylbenzene-1,2,3-triol Chemical compound CC1=C(C)C(O)=C(O)C(O)=C1C RBLPVXMAVRBHLI-UHFFFAOYSA-N 0.000 description 1
- 125000005986 4-piperidonyl group Chemical group 0.000 description 1
- YYVYAPXYZVYDHN-UHFFFAOYSA-N 9,10-phenanthroquinone Chemical compound C1=CC=C2C(=O)C(=O)C3=CC=CC=C3C2=C1 YYVYAPXYZVYDHN-UHFFFAOYSA-N 0.000 description 1
- YDTZWEXADJYOBJ-UHFFFAOYSA-N 9-(7-acridin-9-ylheptyl)acridine Chemical compound C1=CC=C2C(CCCCCCCC=3C4=CC=CC=C4N=C4C=CC=CC4=3)=C(C=CC=C3)C3=NC2=C1 YDTZWEXADJYOBJ-UHFFFAOYSA-N 0.000 description 1
- MTRFEWTWIPAXLG-UHFFFAOYSA-N 9-phenylacridine Chemical compound C1=CC=CC=C1C1=C(C=CC=C2)C2=NC2=CC=CC=C12 MTRFEWTWIPAXLG-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- BTBUEUYNUDRHOZ-UHFFFAOYSA-N Borate Chemical compound [O-]B([O-])[O-] BTBUEUYNUDRHOZ-UHFFFAOYSA-N 0.000 description 1
- 125000006539 C12 alkyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- IAYPIBMASNFSPL-UHFFFAOYSA-N Ethylene oxide Chemical group C1CO1 IAYPIBMASNFSPL-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- BWPYBAJTDILQPY-UHFFFAOYSA-N Methoxyphenone Chemical compound C1=C(C)C(OC)=CC=C1C(=O)C1=CC=CC(C)=C1 BWPYBAJTDILQPY-UHFFFAOYSA-N 0.000 description 1
- 125000000815 N-oxide group Chemical group 0.000 description 1
- NPKSPKHJBVJUKB-UHFFFAOYSA-N N-phenylglycine Chemical compound OC(=O)CNC1=CC=CC=C1 NPKSPKHJBVJUKB-UHFFFAOYSA-N 0.000 description 1
- 229930192627 Naphthoquinone Natural products 0.000 description 1
- SOHAVULMGIITDH-UHFFFAOYSA-N Oxaline Natural products O=C1NC23N(OC)C4=CC=CC=C4C3(C(C)(C)C=C)C=C(OC)C(=O)N2C1=CC1=CN=CN1 SOHAVULMGIITDH-UHFFFAOYSA-N 0.000 description 1
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Chemical class NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 1
- ARNIZPSLPHFDED-UHFFFAOYSA-N [4-(dimethylamino)phenyl]-(4-methoxyphenyl)methanone Chemical compound C1=CC(OC)=CC=C1C(=O)C1=CC=C(N(C)C)C=C1 ARNIZPSLPHFDED-UHFFFAOYSA-N 0.000 description 1
- OQNXPQOQCWVVHP-UHFFFAOYSA-N [Si].O=[Ge] Chemical compound [Si].O=[Ge] OQNXPQOQCWVVHP-UHFFFAOYSA-N 0.000 description 1
- JDPAVWAQGBGGHD-UHFFFAOYSA-N aceanthrylene Chemical group C1=CC=C2C(C=CC3=CC=C4)=C3C4=CC2=C1 JDPAVWAQGBGGHD-UHFFFAOYSA-N 0.000 description 1
- 125000004054 acenaphthylenyl group Chemical group C1(=CC2=CC=CC3=CC=CC1=C23)* 0.000 description 1
- SQFPKRNUGBRTAR-UHFFFAOYSA-N acephenanthrylene Chemical group C1=CC(C=C2)=C3C2=CC2=CC=CC=C2C3=C1 SQFPKRNUGBRTAR-UHFFFAOYSA-N 0.000 description 1
- HXGDTGSAIMULJN-UHFFFAOYSA-N acetnaphthylene Natural products C1=CC(C=C2)=C3C2=CC=CC3=C1 HXGDTGSAIMULJN-UHFFFAOYSA-N 0.000 description 1
- 150000001251 acridines Chemical class 0.000 description 1
- 125000000641 acridinyl group Chemical group C1(=CC=CC2=NC3=CC=CC=C3C=C12)* 0.000 description 1
- 125000005571 adamantylene group Chemical group 0.000 description 1
- 239000002318 adhesion promoter Substances 0.000 description 1
- 125000002009 alkene group Chemical group 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 125000005107 alkyl diaryl silyl group Chemical group 0.000 description 1
- 150000005215 alkyl ethers Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 description 1
- 150000004056 anthraquinones Chemical class 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229940027998 antiseptic and disinfectant acridine derivative Drugs 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 150000008365 aromatic ketones Chemical class 0.000 description 1
- 125000005264 aryl amine group Chemical group 0.000 description 1
- 125000004104 aryloxy group Chemical group 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 125000002785 azepinyl group Chemical group 0.000 description 1
- 125000003785 benzimidazolyl group Chemical group N1=C(NC2=C1C=CC=C2)* 0.000 description 1
- 125000005870 benzindolyl group Chemical group 0.000 description 1
- 125000005605 benzo group Chemical group 0.000 description 1
- 125000005875 benzo[b][1,4]dioxepinyl group Chemical group 0.000 description 1
- 125000000928 benzodioxinyl group Chemical group O1C(=COC2=C1C=CC=C2)* 0.000 description 1
- 125000005878 benzonaphthofuranyl group Chemical group 0.000 description 1
- 125000005872 benzooxazolyl group Chemical group 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- 125000004619 benzopyranyl group Chemical group O1C(C=CC2=C1C=CC=C2)* 0.000 description 1
- 125000005874 benzothiadiazolyl group Chemical group 0.000 description 1
- 125000003354 benzotriazolyl group Chemical group N1N=NC2=C1C=CC=C2* 0.000 description 1
- 125000004541 benzoxazolyl group Chemical group O1C(=NC2=C1C=CC=C2)* 0.000 description 1
- 235000019445 benzyl alcohol Nutrition 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 1
- 125000000609 carbazolyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3NC12)* 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 125000000259 cinnolinyl group Chemical group N1=NC(=CC2=CC=CC=C12)* 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 125000004093 cyano group Chemical group *C#N 0.000 description 1
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000640 cyclooctyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C([H])([H])C1([H])[H] 0.000 description 1
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 1
- 125000005507 decahydroisoquinolyl group Chemical group 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- 125000005105 dialkylarylsilyl group Chemical group 0.000 description 1
- 125000005266 diarylamine group Chemical group 0.000 description 1
- 239000012955 diaryliodonium Substances 0.000 description 1
- 125000005520 diaryliodonium group Chemical group 0.000 description 1
- 150000001989 diazonium salts Chemical class 0.000 description 1
- 125000005509 dibenzothiophenyl group Chemical group 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000001028 difluoromethyl group Chemical group [H]C(F)(F)* 0.000 description 1
- 239000000539 dimer Substances 0.000 description 1
- 125000005879 dioxolanyl group Chemical group 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- GUUVPOWQJOLRAS-UHFFFAOYSA-N diphenyl disulphide Natural products C=1C=CC=CC=1SSC1=CC=CC=C1 GUUVPOWQJOLRAS-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000012990 dithiocarbamate Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 125000001240 enamine group Chemical group 0.000 description 1
- 125000003700 epoxy group Chemical group 0.000 description 1
- 125000005678 ethenylene group Chemical group [H]C([*:1])=C([H])[*:2] 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 125000003844 furanonyl group Chemical group 0.000 description 1
- 125000002541 furyl group Chemical group 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 125000005343 heterocyclic alkyl group Chemical group 0.000 description 1
- FUZZWVXGSFPDMH-UHFFFAOYSA-N hexanoic acid Chemical compound CCCCCC(O)=O FUZZWVXGSFPDMH-UHFFFAOYSA-N 0.000 description 1
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 150000007857 hydrazones Chemical class 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 125000002632 imidazolidinyl group Chemical group 0.000 description 1
- 125000002636 imidazolinyl group Chemical group 0.000 description 1
- 125000002883 imidazolyl group Chemical group 0.000 description 1
- 125000005462 imide group Chemical group 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 125000003387 indolinyl group Chemical group N1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000003406 indolizinyl group Chemical group C=1(C=CN2C=CC=CC12)* 0.000 description 1
- 125000001041 indolyl group Chemical group 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002496 iodine Chemical class 0.000 description 1
- MGFYSGNNHQQTJW-UHFFFAOYSA-N iodonium Chemical compound [IH2+] MGFYSGNNHQQTJW-UHFFFAOYSA-N 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 125000004594 isoindolinyl group Chemical group C1(NCC2=CC=CC=C12)* 0.000 description 1
- 125000000904 isoindolyl group Chemical group C=1(NC=C2C=CC=CC12)* 0.000 description 1
- 125000002183 isoquinolinyl group Chemical group C1(=NC=CC2=CC=CC=C12)* 0.000 description 1
- 125000005956 isoquinolyl group Chemical group 0.000 description 1
- 125000004628 isothiazolidinyl group Chemical group S1N(CCC1)* 0.000 description 1
- 125000001786 isothiazolyl group Chemical group 0.000 description 1
- 125000003965 isoxazolidinyl group Chemical group 0.000 description 1
- 125000000842 isoxazolyl group Chemical group 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229940086559 methyl benzoin Drugs 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 125000002757 morpholinyl group Chemical group 0.000 description 1
- 125000004370 n-butenyl group Chemical group [H]\C([H])=C(/[H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 150000002791 naphthoquinones Chemical class 0.000 description 1
- 125000001624 naphthyl group Chemical group 0.000 description 1
- 125000004593 naphthyridinyl group Chemical group N1=C(C=CC2=CC=CN=C12)* 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 125000002560 nitrile group Chemical group 0.000 description 1
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 1
- 125000006502 nitrobenzyl group Chemical group 0.000 description 1
- 125000005060 octahydroindolyl group Chemical group N1(CCC2CCCCC12)* 0.000 description 1
- 125000005061 octahydroisoindolyl group Chemical group C1(NCC2CCCCC12)* 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- WCPAKWJPBJAGKN-UHFFFAOYSA-N oxadiazole Chemical group C1=CON=N1 WCPAKWJPBJAGKN-UHFFFAOYSA-N 0.000 description 1
- 125000001715 oxadiazolyl group Chemical group 0.000 description 1
- 125000003431 oxalo group Chemical group 0.000 description 1
- 125000002971 oxazolyl group Chemical group 0.000 description 1
- 125000003566 oxetanyl group Chemical group 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 150000002923 oximes Chemical class 0.000 description 1
- 125000000466 oxiranyl group Chemical group 0.000 description 1
- 125000005476 oxopyrrolidinyl group Chemical group 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 125000001791 phenazinyl group Chemical group C1(=CC=CC2=NC3=CC=CC=C3N=C12)* 0.000 description 1
- 125000001484 phenothiazinyl group Chemical group C1(=CC=CC=2SC3=CC=CC=C3NC12)* 0.000 description 1
- 125000001644 phenoxazinyl group Chemical group C1(=CC=CC=2OC3=CC=CC=C3NC12)* 0.000 description 1
- 150000004714 phosphonium salts Chemical class 0.000 description 1
- 125000004592 phthalazinyl group Chemical group C1(=NN=CC2=CC=CC=C12)* 0.000 description 1
- 125000004193 piperazinyl group Chemical group 0.000 description 1
- 125000003386 piperidinyl group Chemical group 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- 125000004368 propenyl group Chemical group C(=CC)* 0.000 description 1
- 125000006410 propenylene group Chemical group 0.000 description 1
- MWWATHDPGQKSAR-UHFFFAOYSA-N propyne Chemical compound CC#C MWWATHDPGQKSAR-UHFFFAOYSA-N 0.000 description 1
- 125000002568 propynyl group Chemical group [*]C#CC([H])([H])[H] 0.000 description 1
- 125000001042 pteridinyl group Chemical group N1=C(N=CC2=NC=CN=C12)* 0.000 description 1
- 125000000561 purinyl group Chemical group N1=C(N=C2N=CNC2=C1)* 0.000 description 1
- 125000003373 pyrazinyl group Chemical group 0.000 description 1
- 125000003072 pyrazolidinyl group Chemical group 0.000 description 1
- 125000003226 pyrazolyl group Chemical group 0.000 description 1
- 125000002098 pyridazinyl group Chemical group 0.000 description 1
- 125000000714 pyrimidinyl group Chemical group 0.000 description 1
- CRUILBNAQILVHZ-UHFFFAOYSA-N pyrogallol trimethyl ether Natural products COC1=CC=CC(OC)=C1OC CRUILBNAQILVHZ-UHFFFAOYSA-N 0.000 description 1
- 150000003232 pyrogallols Chemical class 0.000 description 1
- 125000000719 pyrrolidinyl group Chemical group 0.000 description 1
- 125000001453 quaternary ammonium group Chemical group 0.000 description 1
- 125000002294 quinazolinyl group Chemical group N1=C(N=CC2=CC=CC=C12)* 0.000 description 1
- 125000002943 quinolinyl group Chemical group N1=C(C=CC2=CC=CC=C12)* 0.000 description 1
- 125000001567 quinoxalinyl group Chemical group N1=C(C=NC2=CC=CC=C12)* 0.000 description 1
- 125000004621 quinuclidinyl group Chemical group N12C(CC(CC1)CC2)* 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 230000003362 replicative effect Effects 0.000 description 1
- 239000013557 residual solvent Substances 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 238000007790 scraping Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000003756 stirring Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 125000004646 sulfenyl group Chemical group S(*)* 0.000 description 1
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 125000001443 terpenyl group Chemical group 0.000 description 1
- 125000003718 tetrahydrofuranyl group Chemical group 0.000 description 1
- 125000001412 tetrahydropyranyl group Chemical group 0.000 description 1
- 125000000147 tetrahydroquinolinyl group Chemical group N1(CCCC2=CC=CC=C12)* 0.000 description 1
- 125000003831 tetrazolyl group Chemical group 0.000 description 1
- 125000001113 thiadiazolyl group Chemical group 0.000 description 1
- 125000001984 thiazolidinyl group Chemical group 0.000 description 1
- 125000000335 thiazolyl group Chemical group 0.000 description 1
- 125000005985 thienyl[1,3]dithianyl group Chemical group 0.000 description 1
- 125000004149 thio group Chemical group *S* 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 125000004665 trialkylsilyl group Chemical group 0.000 description 1
- 125000005106 triarylsilyl group Chemical group 0.000 description 1
- 150000003918 triazines Chemical class 0.000 description 1
- 125000004306 triazinyl group Chemical group 0.000 description 1
- 125000001425 triazolyl group Chemical group 0.000 description 1
- 125000005455 trithianyl group Chemical group 0.000 description 1
- 125000002221 trityl group Chemical group [H]C1=C([H])C([H])=C([H])C([H])=C1C([*])(C1=C(C(=C(C(=C1[H])[H])[H])[H])[H])C1=C([H])C([H])=C([H])C([H])=C1[H] 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000000927 vapour-phase epitaxy Methods 0.000 description 1
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Abstract
提供一種形成半導體裝置的方法。方法包括形成光阻劑層於基板上,暴露光阻劑層於輻射以在光阻劑層中形成圖案,以及選擇性地去除光阻劑層中未暴露於輻射的部分以形成圖案化光阻劑層。光阻劑層包含含氟聚合物、交聯劑及光活性化合物。
Description
本揭示內容是關於一種形成半導體裝置的方法。
半導體積體電路(integrated circuit,IC)產業經歷了指數增長。積體電路材料及設計的技術進步已經產生了一代又一代的積體電路,每一代積體電路都比前一代積體電路更小且更複雜。在IC發展的過程中,功能密度(即每晶片面積的互連裝置的數量)通常增大,而幾何尺寸(即可使用製程產生的最小元件(或線))減小。此種按比例縮小的製程通常藉由提高生產效率及降低相關成本來提供益處。此種縮小亦增加了處理及製造積體電路的複雜性。
本揭示內容是關於一種形成半導體裝置的方法。在一些實施方式中,方法包括以下操作。形成光阻劑層於基板上,其中光阻劑層包含聚合物、交聯劑及光活性化合物。暴露光阻劑層於輻射,以在光阻劑層中形成圖案。以及選擇性地去除光阻劑層中未暴露於輻射的部分,以形成圖案
化光阻劑層。其中聚合物具有以下結構(I):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基、羧基、羰氧基、氧羰基、碳酸酯基、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基、鹵代或非鹵代氧環伸烷基、鹵代或非鹵代羰氧伸烷基、鹵代或非鹵代雜伸烷基或是鹵代或非鹵代環雜伸烷基。Ar1獨立為鹵代或非鹵代伸芳基或是鹵代或非鹵代雜伸芳基。Q獨立為酸不穩定基。X1及X2各自獨立為與交聯劑形成共價鍵的反應基或是反應基的保護形式。R1、R2及R3各自獨立為氫基、烷基或烷氧基。以及聚合物中部分的x、y及z為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1,當L1、L2或Ar1中的至少一個被鹵化時。
本揭示內容是關於一種形成半導體裝置的方法。在一些實施方式中,方法包括以下操作。一種形成半導體裝置的方法,方法包括以下操作。沉積光阻劑層於基板上,其中光阻劑層包含聚合物、交聯劑及光活性化合物。暴露光阻劑層於輻射。在暴露於輻射的光阻劑層的部分中形成
交聯聚合物。以及顯影光阻劑層以形成圖案化光阻劑層。其中聚合物具有以下結構(II):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基、羧基、羰氧基、氧羰基、碳酸酯基、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基、鹵代或非鹵代氧環伸烷基、鹵代或非鹵代羰氧伸烷基、鹵代或非鹵代雜伸烷基或是鹵代或非鹵代環雜伸烷基。Ar1及Ar2各自獨立為鹵代或非鹵代伸芳基或是鹵代或非鹵代雜伸芳基。Q1獨立為酸不穩定基。X1、X2及X3各自獨立為與交聯劑形成共價鍵的反應基或是反應基的保護形式。R1、R2及R3各自獨立為氫基、烷基或烷氧基。以及聚合物中x、y及z的部分為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1,當L1、L2、L3、Ar1或Ar2中的至少一個被鹵化時。
本揭示內容是關於一種形成半導體裝置的方法。在一些實施方式中,方法包括以下操作。一種形成半導體裝
置的方法,方法包括以下操作。沉積材料層於基板上。應用包含聚合物及交聯劑的光阻劑組合物於材料層上,以形成光阻劑層。暴露光阻劑層於極紫外輻射。加熱光阻劑層,其中聚合物與交聯劑反應,以在光阻劑層的暴露區域中形成交聯聚合物。去除光阻劑層的未暴露區域,以形成圖案化光阻劑層。以及使用圖案化光阻劑層作為蝕刻遮罩來蝕刻材料層。其中聚合物具有以下結構(III):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基、羧基、羰氧基、氧羰基、碳酸酯基、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基、鹵代或非鹵代氧環伸烷基、鹵代或非鹵代羰氧伸烷基、鹵代或非鹵代雜伸烷基或是鹵代或非鹵代環雜伸烷基。Ar1及Ar3各自獨立為鹵代或非鹵代伸芳基或是鹵代或非鹵代雜伸芳基。Q獨立為酸不穩定基。X1、X2及X4各自獨立為與交聯劑形成共價鍵的反應基或反應基的保護形式。R1、R2及R3各自獨立為氫基、烷基或烷氧基。以及聚合物中x、y及z的部分為0<x/(x+y+z)<1、
0≦y/(x+y+z)<1及0<z/(x+y+z)<1,當L1、L2、L3、Ar1或Ar4中的至少一個被鹵化。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
200:半導體裝置
202:基板
210:材料層
210p:圖案化材料層
220:光阻劑層
220E:暴露區域
220U:未暴露區域
220P:圖案化光阻劑層
230:第一烘烤製程
240:暴露製程
250:光遮罩
252:第一區域
254:第二區域
260:第二烘烤製程
270:顯影製程
302:交聯劑
304:交聯劑
306:交聯劑
402:交聯劑
404:交聯劑
406:交聯劑
502:交聯劑
504:交聯劑
506:交聯劑
602:交聯劑
604:交聯劑
606:交聯劑
當結合附圖閱讀時,從以下詳細描述中可最佳地理解本揭示內容的各個態樣。注意,根據行業中的標準做法,各種特徵並未按比例繪製。事實上,為了論述清楚,各種特徵的尺寸可任意增加或減少。
第1圖是根據本揭示內容的一些實施例的用於製造半導體裝置的方法的流程圖。
第2A圖至第2G圖是根據本揭示內容的一些實施例,使用第1圖的方法製造的半導體裝置的剖面視圖。
第3圖是根據本揭示內容的一些實施例的具有環氧基的交聯劑的示例。
第4圖是根據本揭示內容的一些實施例的具有羥基的交聯劑的示例。
第5圖是根據本揭示內容的一些實施例的具有三聚氰胺基的交聯劑的示例。
第6圖是根據本揭示內容的一些實施例的具有烯基的交聯劑的示例。
以下揭示內容提供了許多不同的實施例或示例,用於實現所提供的標的的不同特徵。以下描述了元件、值、
操作、材料、配置等的具體示例,以簡化本揭示內容。當然,此些僅僅是示例,並不意欲進行限制。其他元件、值、操作、材料、配置等亦是可設想的。例如,在下文的描述中,在第二特徵之上或上方形成第一特徵可包括第一與第二特徵直接接觸而形成的實施例,且亦可包括額外特徵可形成在第一與第二特徵之間,使得第一及第二特徵可不直接接觸的實施例。此外,本揭示內容可在各種示例中重複元件符號及/或字母。此種重複是為了簡單及清楚的目的,本身並不規定所述各種實施例及/或配置之間的關係。
此外,為了便於描述,本文可使用空間上相對的術語,例如「在......下方」、「在......之下」、「下部」、「在......之上」、「上部」等,來描述一個元件或特徵與圖式中所示的另一個元件或特徵的關係。除了圖中所示的方位之外,空間相對術語意欲涵蓋使用或操作中裝置的不同方位。系統可以其他方式定向(旋轉90度或其他方向),且本文使用的空間相對描述可同樣地相應解釋。
「烷基(alkyl)」本身,或是作為另一個取代基的一部分,是指僅由碳原子及氫原子組成的直鏈或支鏈的烴鏈基團,不具不飽和度,具有1~12個碳原子(C1~C12烷基)、1~8個碳原子(C1~C8烷基)或1~6個碳原子(C1~C6烷基),且透過單鍵連接到分子的其餘部分,例如甲基、乙基、正丙基、1-甲基乙基(異丙基)、正丁基、正戊基、1,1-二甲基乙基(第三丁基)、3-甲基己基、2-甲基己基等。除非說明書中另有具體說明,否則烷基可視情
況被取代。
本文所用的「伸烷基(alkylene)」是指將分子的其餘部分連接到自由基上的直鏈或支鏈的二價烴鏈,僅由碳及氫組成,不具不飽和度,且具有1~12個碳原子,例如伸甲基(methylene)、伸乙基(ethylene)、伸丙基(propylene)、n-伸丁基(n-butylene)、伸乙烯基(ethenylene)、伸丙烯基(propenylene)、n伸丁烯基(n-butenylene)、伸丙炔基(propynylene)、n-伸丁炔基(n-butynylene)等。伸烷基鏈透過單鍵與分子的其餘部分相連,並透過單鍵與自由基相連。伸烷基鏈與分子其餘部分及與自由基的連接處可透過鏈中的一個碳或任意兩個碳實現。除非說明書中另有具體說明,否則伸烷基可視情況被取代。
本文所用的「烯烴(alkene)」是指僅由碳及氫組成的直鏈或支鏈的烴鏈,含有至少一個碳-碳雙鍵並具有2~12個碳原子,例如乙烯、丙烯、正丁烯等。除非說明書中另有具體說明,否則烯烴基可視情況被取代。
本文所用的「烯基(alkenyl)」是指將分子的其餘部分連接到自由基上,僅由碳及氫組成的直鏈或支鏈的二價烴鏈,且含有至少一個雙鍵,具有2~12個碳原子,例如乙烯基(ethenyl)、丙烯基(propenyl)、正丁烯基(n-butenyl)等。除非說明書中另有具體說明,否則烯基可視情況被取代。
本文所用的「炔烴(alkyne)」是指僅由碳及氫
組成的直鏈或支鏈的烴鏈,含有至少一個碳-碳三鍵並具有2至12個碳原子,例如乙炔、丙炔、正丁炔等。
本文所用的「炔基(alknyl)」是指將分子的其餘部分連接到自由基上,僅由碳及氫組成的直鏈或支鏈的二價烴鏈,且含有至少一個三鍵,具有2~12個碳原子,例如乙炔基(ethynyl)、丙炔基(propynyl)、正丁炔基(n-butynyl)等。除非說明書中另有具體說明,否則烯基可視情況被取代。
本文所用的「烷氧基(alkoxy)」是指氧連接烷基(O-alkyl group),其中烷基如上文定義。除非說明書中另有具體說明,否則烷氧基可視情況被取代。
本文所用的「烷基醚基(alkylether)」是指如上定義的任何烷基,其中至少一個碳-碳鍵被碳-氧鍵取代。碳-氧鍵可在末端(如在烷氧基(alkoxy group)中),或是碳-氧鍵可在內部(即C-O-C)。烷基醚基包括至少一個碳-氧鍵,但可包括一個以上。例如聚乙二醇(polyethylene glycol,PEG)即包括在烷基醚基的定義中。除非說明書中另有具體說明,否則烷基醚基可視情況被取代。
本文所用的「環烷基(cycloalkyl)」是指僅由碳原子及氫原子組成的穩定的非芳香族單環或多環碳環自由基,其可包括稠合或橋接的環系統,具有3~15個碳原子,優選具有3~10個碳原子,且是飽和或不飽和的,且透過單鍵與分子的其餘部分相連。單環自由基包括例如環
丙基、環丁基、環戊基、環己基、環庚基及環辛基。多環自由基包括例如金剛烷基、降冰片基、萘基、7,7-二甲基雙環[2.2.1]庚基等。「環伸烷基(cycloalkylene)」是二價或多價環烷基,其通常將分子的一部分連接到自由基上,或是連接兩個或多個自由基。除非說明書中另有具體說明,否則環烷基(或環伸烷基)可視情況被取代。
本文所用的「雜烷基(heteroalkyl)」是指如上定義的烷基,其在烷基內或烷基末端包含至少一個雜原子(例如,N、O、P或S)。在一些實施例中,雜原子在烷基內(即雜烷基包含至少一個碳-[雜原子]x-碳鍵,其中x是1、2或3)。在其他實施例中,雜原子位於烷基的末端,因此用於將烷基連接到分子的剩餘部分(例如M1-H-A),其中M1是分子的一部分,H是雜原子,A是烷基。除非說明書中另有具體說明,否則雜烷基可視情況被取代。示例性的雜烷基包括環氧乙烷(ethylene oxide)(例如聚環氧乙烷(polyethylene oxide)),可視情況地包括磷-氧鍵,如磷酸二酯鍵。
本文所用的「雜伸烷基(heteroalkylene)」是指如上定義的伸烷基,其在伸烷基鏈內或在伸烷基鏈的末端包含至少一個雜原子(例如,N、O、P或S)。在一些實施例中,雜原子在伸烷基鏈內(即雜伸烷基包含至少一個碳-雜原子-碳鏈)。在其他實施例中,雜原子在伸烷基的末端,因此用於將伸烷基連接到分子的剩餘部分(例如,M1-H-A-M2,其中M1及M2是分子的一部分,H是雜
原子,A是伸烷基)。除非說明書中另有具體說明,否則雜伸烷基可視情況被取代。
本文所用的「雜烯基(heteroalkenyl)」是如上定義的雜伸烷基,包含至少一個碳-碳雙鍵。除非說明書中另有具體說明,否則雜烯基可視情況被取代。
本文所用的「雜炔基(heteroalkynyl)」是包含至少一個碳-碳三鍵的雜伸烷基。除非說明書中另有具體說明,否則雜炔基可視情況被取代。
「碳環基(carbocyclic)」包含3至18個碳原子的穩定的3至18元芳香或非芳香環。除非說明書中另有具體說明,否則碳環基可為單環、雙環、三環或四環系統,其可包括稠環或橋環系統,且可為部分或完全飽和的。非芳族碳環基包括環烷基,而芳族碳環基包括芳基。除非說明書中另有具體說明,否則碳環基可視情況被取代。
單獨使用或與其他術語(例如芳氧基(aryloxy)、芳烷基(arylalkyl))組合使用的「芳基(aryl)」是指包含至少一個碳環芳環的環系統。在一些實施例中,芳基包含6至18個碳原子。芳基環可為單環、雙環、三環或四環系統,其可包括稠環或橋環系統。芳基包括但不限於衍生自乙烯合蒽(aceanthrylene)、苊烯(acenaphthylene)、乙烯合菲(acephenanthrylene)、蒽(anthracene)、薁(azulene)、苯、(chrysene)、熒蒽(fluoranthene)、芴(fluorene)、as-二環戊二烯並苯(as-indacene)、s-二環戊二烯並苯
(s-indacene)、茚滿(indane)、茚(indene)、萘、丙烯合萘(phenalene)、菲(phenanthrene)、七曜烯(pleiadene)、芘(pyrene)及三亞苯(triphenylene)的芳基。除非說明書中另有具體說明,否則芳基可視情況被取代。
本文所用的「伸芳基(arylene)」是指含有1至5個芳香環且具雙官能的芳族部分。除非說明書中另有具體說明,否則伸芳基可視情況被取代。
本文所用的「雜環基(heterocyclic)」是指穩定的3至18元芳香或非芳香環,包含1至12個碳原子及1至6個選自氮、氧及硫的雜原子。除非說明書中另有具體說明,否則雜環基可為單環、雙環、三環或四環系統,其可包括稠環或橋環系統;且雜環中的氮、碳或硫原子可視情況被氧化;氮原子可視情況被季銨化(quaternized);且雜環基可為部分或完全飽和的。芳香雜環基的示例在下文雜芳基的定義中列出(即雜芳基是雜環基的子集)。非芳族雜環基的示例包括但不限於二氧戊環基(dioxolanyl)、噻吩基[1,3]二噻烷基(thienyl[1,3]dithianyl)、十氫異喹啉基(decahydroisoquinolyl)、咪唑啉基(imidazolinyl)、咪唑烷基(imidazolidinyl)、異噻唑烷基(isothiazolidinyl)、異噁唑啉基(isoxazolidinyl)、嗎啉基(morpholinyl)、八氫吲哚基(octahydroindolyl)、八氫異吲哚基
(octahydroisoindolyl)、2-氧呱嗪基(2-oxopiperazinyl)、2-氧呱啶基(2-oxopiperidinyl)、2-氧吡咯烷基(2-oxopyrrolidinyl)、噁唑烷基(oxazolidinyl)、呱啶基(piperidinyl)、呱嗪基(piperazinyl)、4-呱啶基(4-piperidonyl)、吡咯啶基(pyrrolidinyl)、吡唑啶基(pyrazolidinyl)、吡唑並嘧啶基(pyrazolopyrimidinyl)、喹寧環基(quinuclidinyl)、四氫噻唑基(thiazolidinyl)、四氫呋喃基(tetrahydrofuryl)、三噁烷基(trioxanyl)、三噻烷基(trithianyl)、三嗪烷基(triazinanyl)、四氫吡喃基(tetrahydropyranyl)、硫代嗎啉基(thiomorpholinyl)、噻嗎啉基(thiamorpholinyl)、1-氧代硫代嗎啉基(1-oxo thiomorpholinyl)及1,1-二氧代硫代嗎啉基(1,1-dioxo thiomorpholinyl)。除非說明書中另有具體說明,否則雜環基是可視情況被取代的。
本文所用的「雜芳基(heteroaryl)」是指包含1至13個碳原子、1至6個選自氮、氧及硫的雜原子及至少一個芳環的5至14元環系統。為了實現本揭示內容的某些實施例,雜芳基可為單環、雙環、三環或四環系統,且可包括稠合或橋接的環系統;且雜芳基中的氮、碳或硫原子可視情況被氧化;氮原子可視情況被季銨化。示例包括但不限於氮雜卓基(azepinyl)、吖啶基(acridinyl)、
苯并咪唑基(benzimidazolyl)、苯并噻唑基(benzthiazolyl)、苯并吲哚基(benzindolyl)、苯并二氧雜環戊烯基(benzodioxolyl)、苯并呋喃基(benzofuranyl)、苯并惡唑基(benzooxazolyl)、苯并噻唑基(benzothiazolyl)、苯并噻二唑基(benzothiadiazolyl)、苯并[b][1,4]二氧雜環庚烯基(benzo[b][1,4]dioxepinyl)、1,4-苯并二氧雜環己烷基(1,4-benzodioxanyl)、苯并萘並呋喃基(benzonaphthofuranyl)、苯并噁唑基(benzoxazolyl)、苯并二氧雜環戊烯基(benzodioxolyl)、苯并二氧雜環戊烯基(benzodioxinyl)、苯并吡喃基(benzopyranyl)、苯并呋喃酮基(benzopyranonyl)、苯并呋喃基(benzofuranyl)、苯并呋喃酮基(benzofuranonyl)、苯并噻吩基(benzothienyl或benzothiophenyl)、苯并三唑基(benzotriazolyl)、苯并[4,6]咪唑並[1,2a]吡啶基(benzo[4,6]imidazo[1,2a]pyridinyl)、苯并噁唑壬基(benzoxazolinonyl)、苯并咪唑硫醯基(benzimidazolthionyl)、哢唑基(carbazolyl)、肉桂醯基(cinnolinyl)、二苯并呋喃基(dibenzofuranyl)、二苯并噻吩基(dibenzothiophenyl)、呋喃基(furanyl)、呋喃酮基(furanonyl)、異噻唑基(isothiazolyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)、吲哚基
(indolyl)、吲唑基(indazolyl)、異吲哚基(isoindolyl)、吲哚啉基(indolinyl)、異吲哚啉基(isoindolinyl)、異喹啉基(isoquinolyl)、中氮茚基(indolizinyl)、異噁唑基(isoxazolyl)、萘啶基(naphthyridinyl)、噁二唑基(oxadiazolyl)、2-氧雜氮雜環庚烯基(2-oxoazepinyl)、噁唑基(oxazolyl)、環氧乙烷基(oxiranyl)、1-氧化吡啶基(1-oxidopyridinyl)、1-氧化嘧啶基(1-oxidopyrimidinyl)、1-氧化吡嗪基(1-oxidopyrazinyl)、1-氧化噠嗪基(1-oxidopyridazinyl)、1-苯基1H吡咯基(1-phenyl-1H-pyrrolyl)、吩嗪基(phenazinyl)、吩噻嗪基(phenothiazinyl)、吩噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、蝶啶基(pteridinyl)、蝶啶酮基(pteridinonyl)、嘌呤基(purinyl)、吡咯基(pyrrolyl)、吡唑基(pyrazolyl)、吡啶基(pyridinyl)、吡啶酮基(pyridinonyl)、吡嗪基(pyrazinyl)、嘧啶基(pyrimidinyl)、嘧啶壬基(pryrimidinonyl)、噠嗪基(pyridazinyl)、吡咯基(pyrrolyl)、吡啶並[2,3-d]嘧啶壬基(pyrido[2,3-d]pyrimidinonyl)、喹唑啉基(quinazolinyl)、喹唑啉基(quinazolinonyl)、喹喔啉基(quinoxalinyl)、喹喔啉基(quinoxalinonyl)、喹啉基(quinolinyl)、異喹啉
基(isoquinolinyl)、四氫喹啉基(tetrahydroquinolinyl)、噻唑基(thiazolyl)、噻二唑基(thiadiazolyl)、噻吩並[3,2-d]嘧啶-4-酮基(thieno[3,2-d]pyrimidin-4-onyl)、噻吩並[2,3-d]嘧啶-4-酮基(thieno[2,3-d]pyrimidin-4-onyl)、三唑基(triazolyl)、四唑基(tetrazolyl)、三嗪基(triazinyl)和噻吩基(thiophenyl)(即噻吩基(thienyl))。除非說明書中另有具體說明,否則雜芳基可視情況被取代。
本文所用的「雜伸芳基(heteroarylene)」是指含有N、S、O或P的6至20個碳原子的二價芳烴。
本文所用的「鹵素」包括氟、氯、溴及碘。
「鹵化(halogenated)」是指具有一個或更多個鹵原子,例如氟、氯、溴或碘原子,結合到上述基團中。
「氟化(fluorinated)」是指具有一個或更多個氟原子結合到上述基團中,例如,當氟烷基被指稱時,此基團包括單個氟原子、二氟伸甲基、三氟甲基、上述各者的組合,或是是全氟化的基團(例如,CF3、C2F5、C3F7、C4F9等)。
本文使用的術語「取代」是指任何上述基團(例如烷基、伸烷基、烯基、炔基、雜伸烷基、雜烯基、雜炔基、烷氧基、雜烷基、碳環基、環烷基、芳基、伸芳基、雜環基、雜芳基及/或雜伸芳基)中,至少一個氫原子(例如1、2、3或所有氫原子)被與非氫原子鍵結的鍵所取代,例如
但不限於:鹵素原子,例如F、Cl、Br及I;羥基、烷氧基及酯基等基團中的氧原子;諸如硫醇基、硫代烷基、碸基、磺醯基及亞碸基的基團中的硫原子;諸如胺基、醯胺基、烷基胺、二烷基胺、芳基胺、烷基芳基胺、二芳基胺、N-氧化物、醯亞胺基及烯胺基的基團中的氮原子;三烷基甲矽烷基、二烷基芳基甲矽烷基、烷基二芳基甲矽烷基及三芳基甲矽烷基等基團中的矽原子;以及各種其他基團中的其他雜原子。「取代」亦指上述任何基團中,一個或更多個氫原子被與和雜原子鍵結的高級鍵(如雙鍵或三鍵)所鍵結,例如被氧代基、羰基、羧基及酯基中的氧所取代;以及例如被亞胺基、肟基、腙基及腈基中的氮所取代。例如,「取代」包括在上述任何基團中,基團中的一個或更多個氫原子被-NRgRh、-NRgC(=O)Rh、-NRgC(=O)NRgRh、-NRgC(=O)ORh、-NRgSO2Rh、-OC(=O)NRgRh、-ORg、-SRg、-SORg、-SO2Rg、-OSO2Rg、-SO2ORg、=NSO2Rg,及-SO2NRgRh取代。「取代」亦指在上述任何基團中,一個或更多個氫原子被-C(=O)Rg、-C(=O)ORg、-C(=O)NRgRh、-CH2SO2Rg及-CH2SO2NRgRh取代。在上文中,Rg及Rh相同或不同,且獨立地為氫基、烷基、烷氧基、烷基氨基、硫代烷基、芳基、芳烷基、環烷基、環烷基烷基、鹵代烷基、雜環基、N-雜環基、雜環基烷基、雜芳基、N-雜芳基及/或雜芳基烷基。「取代」進一步指在上述任何基團中,一個或更多個氫原子被與氨基、氰基、羥基、亞氨基、硝基、
氧代基、硫代基、鹵基、烷基、烷氧基、烷基氨基、硫代烷基、芳基、芳烷基、環烷基、環烷基烷基、鹵代烷基、雜環基、N-雜環基、雜環基烷基、雜芳基、N-雜芳基及/或雜芳基烷基相連的鍵取代。此外,每個前述取代基亦可視情況被一個或更多個上述取代基取代。
IC製造使用一種或更多種光微影製程將幾何圖案轉移到薄膜或基板上。半導體上的幾何形狀及圖案構成了複雜的結構,使得摻雜劑、電性特徵及導線可完成電路,並實現技術目的。在光微影製程中,光阻劑作為薄膜應用於基板上,隨後透過光遮罩暴露於一種或更多種類型的輻射或光中。光遮罩包含透明及不透明的特徵,這些特徵定義將在光阻劑層中產生的圖案。透過光遮罩暴露於光照下的光阻劑區域在特定類型的顯影劑的溶液中是可溶的或不可溶的。在暴露區域是可溶解的情況下,光阻劑產生光遮罩的正像,此種類型的光阻劑被稱為正性光阻劑。另一方面,若未暴露區域被顯影劑溶解,則在光阻劑中產生負性圖像,此種類型的光阻劑被稱為負性光阻劑。顯影劑去除容易溶解的區域,留下圖案化的光阻劑。隨後,圖案化的光阻劑在蝕刻製程中用作蝕刻遮罩,將圖案轉移到下方的材料層,從而在下方的材料層中複製遮罩圖案。或是,圖案的光阻劑在隨後的離子佈植製程中用作離子佈植遮罩,應用於下伏的材料層,例如磊晶半導體層。
圖案化的光阻劑的品質直接影響最終積體電路的品質。隨著積體電路的臨界尺寸(critical dimension,
CD)持續縮小,光酸擴散引起的圖像模糊,使光阻劑完美複製光遮罩特徵的能力變得具有挑戰性。
在本揭示內容的實施例中,開發具有鹵代官能基共價鍵結到聚合物側鏈上的可交聯光阻劑聚合物,使得玻璃轉變溫度升高。較高的玻璃轉變溫度(例如,Tg>140℃)提供了尺寸穩定性,以在光微影製程中限制光酸的擴散長度,從而能夠實現更小的特徵、更高的解析度及降低線寬粗糙度(line width roughness,LWR)。由此,提高了圖案化的光阻劑的品質,並有助於提高裝置的產量及可靠性。
第1圖示出根據本揭示內容的一些實施例的製造半導體裝置200的方法100的流程圖。第2A圖至第2G圖是根據本揭示內容的一些實施例的半導體裝置200在各個製造階段的剖面視圖。下文結合第1圖及第2A圖至第2G圖描述方法100,其中半導體裝置200透過方法100的實施例製造。應當理解,在方法100之前、期間及之後可提供額外的步驟,且對於這些方法的額外實施例,下文描述的一些步驟可被替換或去除。還應當理解,對於半導體裝置200的額外實施例,可在半導體裝置200中添加額外特徵,且可替換或去除下文描述的一些特徵。
半導體裝置200可為IC或其一部分製造製程中的中間結構。IC可包括邏輯電路、記憶體結構、被動元件(例如電阻器、電容器及電感器)及主動元件,例如二極體、場效應電晶體(field-effect transistor,FET)、
金屬氧化物半導體場效應電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、鰭狀FET(fin-like FET,FinFET)、其他三維(three-dimensional,3D)FET及其組合。半導體裝置200可包括可互連的多個半導體裝置(例如,電晶體)。
參考第1圖及第2A圖,根據一些實施例,方法100包括操作102,其中材料層210沉積在基板202上。第2A圖是根據一些實施例,在基板202上沉積材料層210之後的半導體裝置200的剖面視圖。
在一些實施例中,基板202可為包括一種或更多種半導體材料的塊狀半導體基板。在一些實施例中,基板202可包括矽、矽鍺、碳摻雜矽(Si:C)、矽鍺碳化物或其他合適的半導體材料。在一些實施例中,基板202完全由矽組成。
在一些實施例中,基板202可包括在塊狀半導體基板的頂表面上形成的一個或更多個磊晶層。在一些實施例中,基板202中的一個或更多個磊晶層可引入應變並增強效能。例如,磊晶層包括不同於體半導體基板的半導體材料,諸如覆蓋整塊矽的矽鍺層或覆蓋整塊矽鍺的矽層。在一些實施例中,結合在基板202中的磊晶層透過選擇性磊晶生長形成,例如金屬有機氣相磊晶(metalorganic
Vapor phase epitaxy,MOVPE)、分子束磊晶(molecular beam epitaxy,MBE)、氫化物氣相磊晶(hydride vapor phase epitaxy,HVPE)、液相磊晶(liquid phase epitaxy,LPE)、金屬有機分子束磊晶(metal-organic molecular beam epitaxy,MOMBE)或其組合。
在一些實施例中,基板202可為絕緣體上半導體(semiconductor-on-insulator,SOI)基板。在一些實施例中,SOI基板包括半導體層,例如形成在絕緣層上的矽層。在一些實施例中,絕緣體層是包括氧化矽或矽鍺氧化物的掩埋氧化物(buried oxide,BOX)層。絕緣層設置在諸如矽基板的操作基板上。在一些實施例中,使用佈植氧隔離(separation by implanted oxygen,SIMOX)或其他合適的技術,例如晶圓接合及研磨,來形成SOI基板。
在一些實施例中,基板202亦可包括介電基板,諸如氧化矽、氮化矽、氮氧化矽、低k電介質、碳化矽及/或其他合適的層。
在一些實施例中,基板202亦可包括透過諸如離子佈植及/或擴散的製程實現的各種p型摻雜區域及/或n型摻雜區域。這些摻雜區域包括n阱、p阱、輕摻雜區域(lightly doped region,LDD)及具各種摻雜分佈的通道,並被配置成形成各種IC裝置,例如COMOS電晶體、成像感測器及/或發光二極體(light emitting diode,
LED)。基板202亦可包括其他功能特徵,例如形成在基板202中及/或上的電阻器及/或電容器。
在一些實施例中,基板202亦可包括各種隔離特徵。隔離特徵將基板202中的各種裝置區分開。隔離特徵包括透過使用不同的處理技術形成的不同結構。例如,隔離特徵可包括淺溝槽隔離(shallow trench isolation,STI)特徵。STI的形成可包括在基板202中蝕刻溝槽,並用諸如氧化矽、氮化矽及/或氮氧化矽的絕緣材料填充溝槽。填充的溝槽可具有多層結構,例如氮化矽填充溝槽的熱氧化物襯裡層。化學機械拋光(chemical mechanical polishing,CMP)亦可執行,以拋光多餘的絕緣體材料,並平坦化隔離特徵的頂表面。
在一些實施例中,基板202亦可包括由介電層及電極層形成的閘極堆疊。介電層可包括透過適當技術沉積的介面層及高k介電層,諸如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、熱氧化、上述各項的組合及/或其他適當技術。介面層可包括二氧化矽,且高k介電層可包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3、BaTiO3、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、SiON及/或其他合適的材料。電極層可包括單層或是多層結構,例如具有功函數以增強裝置效能
的金屬層(功函數金屬層)、襯裡層、潤濕層、黏附層及金屬、金屬合金或金屬矽化物的導電層的各種組合。電極層可包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、任何合適的材料及/或上述各者的組合。
在一些實施例中,基板202亦可包括多個層間介電(inter-level dielectric,ILD)層及導電特徵,並經整合以形成互連結構,這些互連結構被配置為耦合各種p型及n型摻雜區及其他功能特徵(例如閘電極),從而產生功能積體電路。在一個示例中,基板202可包括互連結構的一部分,且互連結構可包括多層互連(multi-layer interconnect,MLI)結構及與MLI結構整合的ILD層,提供電佈線以將基板202中的各種元件耦合到輸入/輸出功率及信號。互連結構包括各種金屬線、接觸及通孔特徵(或通孔塞)。金屬線提供水平電佈線。接觸提供了矽基板及金屬線之間的垂直連接,而通孔特徵提供了不同金屬層中的金屬線之間的垂直連接。
材料層210設置在基板202上。材料層210是由方法100處理的層,例如經圖案化或被佈植的層。在一些實施例中,材料層210是待圖案化的硬遮罩層。在一些實施例中,材料層210包括介電材料,例如氧化矽、氮化矽或氮氧化矽。在一些其他實施例中,材料層210包括諸如氧化鈦的金屬氧化物或諸如氮化鈦的金屬氮化物。在一些實施例中,材料層210亦用作抗反射塗層
(anti-reflection coating,ARC),可選擇其組成,以將光阻劑層220在暴露期間對於輻射的反射率降至最低。例如,在一些實施例中,材料層210包括氧化矽、矽氧碳化物或透過電漿增強化學氣相所沉積的氧化矽。材料層210可透過任何合適的製程形成,包括化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、原子層沉積(ALD)或旋塗,且可形成任何合適的厚度。
參考第1圖及第2B圖,根據一些實施例,方法100進行到操作104,其中光阻劑組合物被塗覆在材料層210上以形成光阻劑層220。第2B圖是根據一些實施例,在材料層210上形成光阻劑層220之後的半導體裝置200的剖面視圖。
光阻劑層220是可透過暴露於光化輻射而形成圖案的光敏層。在一些實施例中,光阻劑層220對紫外線輻射敏感。在一些實施例中,紫外線輻射是深紫外(deep ultraviolet,DUV)輻射。在一些實施例中,紫外輻射是極紫外(extreme ultraviolet,EUV)輻射。在一些實施例中,輻射是電子束。
在一些實施例中,光阻劑組合物包括聚合物、交聯劑、一種或更多種的光活性化合物(photoactive compound,PAC)及溶劑。
在一些實施例中,本揭示內容的聚合物具有以下結
構(I):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基(oxy,-O-)、羧基(carboxyl,-C(=O)-)、羰氧基(carbonyloxy,-C(=O)-O-)、氧羰基(oxycarbonyl,-O-C(=O)-)、碳酸酯基(carbonate,-O-C(=O)-O-)、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基(oxyalkylene,-O-伸烷基)、鹵代或非鹵代氧環伸烷基(oxycycloalkylene,-O-環伸烷基)、鹵代或非鹵代羰氧伸烷基(carbonyloxyalkylene,-C(=O)-O-伸烷基),鹵代或非鹵代雜伸烷基,或鹵代或非鹵代環雜伸烷基。
Ar1獨立為鹵代或非鹵代伸芳基或鹵代或非鹵代雜伸芳基。
Q獨立為酸不穩定基。
X1及X2各自獨立為反應基或其保護形式,能夠與交聯劑形成共價鍵。
R1、R2及R3各自獨立為氫基、烷基或烷氧基。
x及z各自獨立為1或更大的整數,y是0或更大的整數。在一些實施例中,x是10至80的整數,y是0至70的整數,z是20至90的整數。聚合物中一部分的x、y及z為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1。
在結構(I)中,L1、L2或Ar1中的至少一個被鹵化。在一些實施例中,L1、L2或Ar1中的至少一個被氟化。
在一些實施例中,L1或L3或兩者都是連接鍵,且L2是羰氧基或氟代伸烷基。在其他實施例中,L1、L2及L3各自獨立為羰氧基或氟代伸烷基。例如,在一些實施例中,L1、L2及L3獨立地具有以下結構之一:
、、或是。
當暴露於PAC產生的酸、鹼或自由基時,聚合物上的酸不穩定基分解或斷裂。在一些實施例中,Q獨立為
烷基(alkyl)、環烷基(cycloalkyl)、羥烷基(hydroxyalkyl)、烷氧基(alkoxy)、烷氧基烷基(alkoxyalkyl)或三維(3D)環結構。在一些實施例中,3D環結構是金剛烷基(adamantyl)、雪松基(cedryl)、降冰片基(norbornyl)或三環癸基(tricyclodecanyl)結構。在一些實施例中,Q具有以下結構之一:
、或是。
在一些實施例中,X1及X2各自獨立為羥基、烷氧基、胺基、硫醇基、酯基、三聚氰胺基(melamine group)、烯基、炔基、環氧基、氮丙環基(aziridine group)、噁丁環基(oxetane group)、醛基、酮基或羧酸基。在一些實施例中,X1或X2是羥基。
在一些實施例中,R1、R2及R3的至少一個是氫基。在一些實施例中,R1、R2及R3的至少一個是甲基。在一些實施例中,R1是氫基,R2或R3是甲基。
在一些更具體的實施例中,L1及L2各自獨立為氟代伸烷基,L3是連接鍵,Ar1是伸苯基、氟伸苯基或氟烷基伸苯基。在一些相關實施例中,聚合物具有以下結構(Ia):
其中R1、R2及R3各自獨立為氫基或烷基;Rf1及Rf2各自獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基;X1及X2各自獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些更具體的實施例中,L1及L3是連接鍵,L2是氟代伸烷基,Ar1是伸苯基、氟伸苯基或氟烷基伸苯基。在一些相關實施例中,聚合物具有以下結構(Ib):
其中R1、R2及R3各自獨立為氫基或烷基;Rf2獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基;X1及X2各自獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些更具體的實施例中,L1是氟代伸烷基,L3是連接鍵,Ar1是伸苯基、氟伸苯基或氟烷基伸苯基,且y是0。在一些相關實施例中,聚合物具有以下結構(Ic):
其中R1及R3各自獨立為氫基或烷基;Rf1獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基(adamantyl)、雪松基(cedryl)、降冰片基(norbornyl)或三環癸基(tricyclodecanyl);X1獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些實施例中,本揭示內容的聚合物具有以下結構(II):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基
團選自由氧代基(-O-)、羧基(-C(=O)-)、羰氧基(-C(=O)-O-)、氧羰基(-O-C(=O)-)、碳酸酯基(-O-C(=O)-O-)、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基(-O-伸烷基)、鹵代或非鹵代氧環伸烷基(-O-環伸烷基)、鹵代或非鹵代羰氧伸烷基(-C(=O)-O-伸烷基),鹵代或非鹵代雜伸烷基,或鹵代或非鹵代環雜伸烷基。
Ar1及Ar2各自獨立為鹵代或非鹵代伸芳基或鹵代或非鹵代雜伸芳基。
Q1獨立為酸不穩定基。
X1、X2及X2各自獨立為能夠與交聯劑形成共價鍵的反應基或其保護形式。
R1、R2及R3各自獨立為氫基、烷基或烷氧基。
x及z獨立為1或更大的整數,y是0或更大的整數。聚合物中x、y及z的一部分為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1。
在結構(II)中,L1、L2、L3、Ar1或Ar2中的至少一個被鹵化。在一些實施例中,L1、L2、L3、Ar1或Ar2中的至少一個被氟化。
在一些實施例中,Q1獨立為伸烷基(alkylene)、環伸烷基(cycloalkylene)、羥基伸烷基(hydroxyalkylene)、烷氧基伸烷基(alkoxyalkylene)或三維(3D)環結構。在一些實施例中,3D環結構是伸金剛烷基(adamantylene)、伸
雪松基(cedrylene)、伸降冰片基(norbornylene)或伸三環癸基(tricyclodecanylene)結構。在一些實施例中,Q1具有以下結構之一:
、或是。
在一些實施例中,X1、X2及X3各自獨立為羥基、烷氧基、胺基、硫醇基、酯基、三聚氰胺基、烯基、炔基、環氧基、氮丙環基、噁丁環基、醛基、酮基或羧酸基。在一些實施例中,X1或X2是羥基。
在一些更具體的實施例中,L1及L2各自獨立為氟代伸烷基,L3是連接鍵,Ar1及Ar2各自獨立為伸苯基、氟伸苯基或氟烷基伸苯基。在一些相關實施例中,聚合物具有以下結構(IIa):
其中R1、R2及R3各自獨立為氫基或烷基;Rf1及Rf2各自獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為伸烷基、環伸烷基、羥基伸烷基、烷氧基伸烷基、伸金剛烷基、伸雪松基、伸降冰片基或伸三環癸基;X1、X2及X3各自獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些更具體的實施例中,L1是氟代伸烷基,L3是連接鍵,Ar1及Ar2各自獨立為伸苯基、氟伸苯基或氟烷基伸苯基,y及是0。在一些實施例中,聚合物具有以下結構(IIb):
(IIb)其中Rf1獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為伸烷基、環伸烷基、羥基伸烷基、烷氧基伸烷基、伸金剛烷基、伸雪松基、伸降冰片基或伸三環癸基;X1及X3各自獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些實施例中,本揭示內容的聚合物具有以下結構(III):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基(-O-)、羧基(-C(=O)-)、羰氧基(-C(=O)-O-)、氧羰基(-O-C(=O)-)、碳酸酯基(-O-C(=O)-O-)、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基(-O-伸烷基)、鹵代或非鹵代氧環伸烷基(-O-環伸烷基)、鹵代或非鹵代羰氧伸烷基(-C(=O)-O-伸烷基),鹵代或非鹵代雜伸烷基基,或鹵代或非鹵代環雜伸烷基。
Ar1及Ar3獨立為鹵代或非鹵代伸芳基或鹵代或非鹵代雜伸芳基。
Q獨立為酸不穩定基。
X1、X2及X4各自獨立為能夠與交聯劑形成共價鍵的反應基或其保護形式。
R1、R2及R3各自獨立為氫基、烷基或烷氧基。
x及z獨立為1或更大的整數,y是0或更大的整數。聚合物中x、y及z的一部分為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1。
在結構(III)中,L1、L2、Ar1或Ar3中的至少一個被鹵化。在一些實施例中,L1、L2、Ar1或Ar3中的至少一個出現被氟化。
在一些實施例中,X1、X2及X4各自獨立為羥基、烷氧基、胺基、硫醇基、酯基、三聚氰胺基、烯基、炔基、環氧基、氮丙環基、噁丁環基、醛基、酮基或羧酸基。在一些實施例中,X1或X2是羥基。
在一些更具體的實施例中,L1及L2各自獨立為氟代伸烷基,L3是連接鍵,Ar1及Ar3各自獨立為伸苯基、氟伸苯基或氟烷基伸苯基。在一些相關實施例中,聚合物具有以下結構(IIIa):
其中R1、R2及R3各自獨立為氫基或烷基;Rf1及Rf2各自獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基;X1、X2及X4各自獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些更具體的實施例中,L1及L3各自獨立為氟代伸烷基,Ar1及Ar3各自獨立為伸苯基、氟伸苯基或氟烷基伸苯基,且y是0。在一些相關實施例中,聚合物具有以下結構(IIIb):
其中R1及R3各自獨立為氫基或烷基;Rf1及Rf3各自獨立為氟代伸烷基;Z1獨立為氟基或氟烷基;Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基;X1及X4各自獨立為羥基、環氧基、三聚氰胺烯基或炔基;以及a1獨立為0至4的整數。
在一些具體實施例中,結構(I)至(III)的聚合物是選自表1的化合物。
在一些實施例中,光阻劑組合物中的交聯劑是分開的組分,且在聚合物交聯之前不附著在聚合物上。交聯劑含有可與聚合物的反應基反應的交聯基團,從而使聚合物交聯。交聯提高了分子量,因此提高了聚合物的玻璃轉變溫度。玻璃轉變溫度的增加有助於限制酸擴散長度,導致線寬粗糙度(line width roughness,LWR)減小。
第3圖至第6圖是可用於本揭示內容的交聯劑的示例。交聯劑可包括兩個或多個交聯位置。第3圖是交聯劑302、交聯劑304及交聯劑306,其包含作為連接到核心基團R的交聯位置的環氧基。R可包括伸烷基、鹵代伸烷基、環伸烷基、雜伸烷基、鹵代雜伸烷基、環雜伸烷基、伸芳基、鹵代伸芳基或鹵代雜伸芳基。交聯劑302可包含四個交聯位置,交聯劑304可包含三個交聯位置,交聯劑
306可包含兩個交聯位置。交聯劑302、交聯劑304及交聯劑306中的Ra可為烷基、環烷基、羥烷基、環烷基羧基或雜環基。Ra鏈可為可旋轉的,以在光阻劑層220的暴露區域中實現高交聯反應效率。
第4圖是交聯劑402、交聯劑404及交聯劑406,其包含羥基作為連接到核心基團R的交聯位置。R可包括伸烷基、鹵代伸烷基、環伸烷基、雜伸烷基、鹵代雜伸烷基、環雜伸烷基、伸芳基、鹵代伸芳基或鹵代雜伸芳基。交聯劑402可包含四個交聯位置,交聯劑404可包含三個交聯位置,交聯劑406可包含兩個交聯位置。交聯劑402、交聯劑404及交聯劑406中的Ra可為伸烷基、環伸烷基、羥基伸烷基、羧基環伸烷基或雜環基。Ra鏈可為可旋轉的,以在光阻劑層220的暴露區域中實現高交聯反應效率。Ra鏈可為可旋轉的,以在光阻劑層220的暴露區域中實現高交聯反應效率。交聯劑402、交聯劑404及交聯劑406中的Rb可為氫基或烷基、環烷基、羥烷基、環烷基羧基或雜環基。
第5圖是交聯劑502、交聯劑504及交聯劑506,其包含三聚氰胺基作為連接到核心基團R的交聯位置。R可包括伸烷基、鹵代伸烷基、環伸烷基、雜伸烷基、鹵代雜伸烷基、環雜伸烷基、伸芳基、鹵代伸芳基或鹵代雜伸芳基。交聯劑502可包含四個交聯位置,交聯劑504可包含三個交聯位置,交聯劑506可包含兩個交聯位置。交聯劑502、交聯劑504及交聯劑506中的Ra可為伸烷基、
環伸烷基、羥基伸烷基、羧基環伸烷基或雜環基。Ra鏈可為可旋轉的,以在光阻劑層220的暴露區域中實現高交聯反應效率。Ra鏈可為可旋轉的,以在光阻劑層220的暴露區域中實現高交聯反應效率。交聯劑502、交聯劑504及交聯劑506中的Rd及Rc可獨立為氫基或烷基、環烷基、羥烷基、環烷基羧基或雜環基。
第6圖是交聯劑602、交聯劑604及交聯劑606,其包含作為連接到核心基團R的交聯位置的烯基。R可包括伸烷基、鹵代伸烷基、環伸烷基、雜伸烷基、鹵代雜伸烷基、環雜伸烷基、伸芳基、鹵代伸芳基或鹵代雜伸芳基。交聯劑602可包含四個交聯位置,交聯劑604可包含三個交聯位置,交聯劑606可包含兩個交聯位置。交聯劑602、交聯劑604及交聯劑606中的Ra可為伸烷基、環伸烷基、羥基伸烷基、羧基環伸烷基或雜環基。Ra鏈可為可旋轉的,以在光阻劑層220的暴露區域中實現高交聯反應效率。
在一些實施例中,基於聚合物的總重量為100%,光阻劑組合物中交聯劑的濃度範圍為約0.1重量百分比至約50重量百分比。在一些其他實施例中,基於交聯劑及聚合物的總重量,光阻劑組合物中交聯劑的濃度範圍為約5重量百分比至約20重量百分比。具有小於約0.1重量百分比的光阻劑組合物的交聯劑在光阻劑圖案化製程中可能經歷不充分的交聯。具有大於50重量百分比的交聯劑的光阻劑組合物可能導致光阻劑圖案解析度降低或線寬粗糙度(line width roughness,LWR)增加。
在一些實施例中,光阻劑組合物中的PAC包括光酸產生劑、光鹼產生劑、光可分解鹼、自由基產生劑等。在PAC是光酸產生劑的一些實施例中,PAC包括鹵代三嗪、鎓鹽、重氮鹽、芳族重氮鹽、鏻鹽、鋶鹽、碘鹽、醯
亞胺磺酸鹽、肟磺酸鹽、重氮二碸、二碸、鄰硝基苄基磺酸鹽、磺化酯、鹵代磺醯氧基二羧醯亞胺、重氮二碸、α-氰氧基胺磺酸鹽、醯亞胺磺酸鹽、酮重氮碸、磺醯重氮酯、1,2-二(芳基磺醯基)肼、硝基苄基酯及s-氨基苄酯,上述各者的組合等。
光酸產生劑的具體示例包括α-(三氟甲基磺醯氧基)-雙環[2.2.1]庚-5-烯-2,3-二銣-o-醯亞胺(α-(trifluoromethylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarb-o-ximide,MDT)、N-羥基萘醯亞胺(N-hydroxy-naphthalimide,DDSN)、苯偶姻甲苯磺酸酯、三丁基苯基-α-(對甲苯磺醯氧基)-乙酸酯及三丁基-α-(對甲苯磺醯氧基)-乙酸酯、三芳基鋶及二芳基碘鎓六氟銻酸鹽、六氟砷酸鹽、三氟甲磺酸鹽、全氟辛烷磺酸碘鎓、N-樟腦磺醯連苯三酚衍生物(例如連苯三酚的三甲基化物)、羥基醯亞胺的三氟甲磺酸酯、α,α’-雙磺醯基-重氮甲烷、硝基取代的苯甲醇的磺酸酯、萘醌-4-二疊氮化物、烷基二碸等。
在PAC是自由基產生劑的一些實施例中,PAC包括n-苯基甘氨酸;芳族酮,包括二苯甲酮、N,N’-四甲基-4,4’-二氨基二苯甲酮、N,N’-四乙基-4,4’-二氨基二苯甲酮、4-甲氧基-4’-二甲氨基二苯甲酮、3,3’-二甲基-4-甲氧基二苯甲酮、p,p’-雙(二甲氨基)二苯甲酮、p,p’-雙(二乙氨基)-二苯甲酮;蒽醌,2-乙基蒽醌;萘醌;以及菲醌;安息香,包括安息香、安息香甲
醚、安息香丙醚、安息香正丁醚、安息香苯乙醚、甲基安息香及乙基安息香;苄基衍生物,包括二苄基、苄基二苯基二硫化物及苄基二甲基縮酮;吖啶衍生物,包括9-苯基吖啶及1,7-雙(9-吖啶基)庚烷;噻噸酮,包括2-氯噻噸酮、2-甲基噻噸酮、2,4-二乙基噻噸酮、2,4-二甲基噻噸酮及2-異丙基噻噸酮;苯乙酮,包括1,1-二氯苯乙酮、對三丁基二氯苯乙酮、2,2-二乙氧基苯乙酮、2,2-二甲氧基-2-苯基苯乙酮及2,2-二氯-4-苯氧基苯乙酮;2,4,5-三芳基咪唑二聚物,包括2-(鄰氯苯基)-4,5-二苯基咪唑二聚物、2-(鄰氯苯基)-4,5-二-(間甲氧基苯基)咪唑二聚物、2-(鄰氟苯基)-4,5-二苯基咪唑二聚物、2-(鄰甲氧基苯基)-4,5-二苯基咪唑二聚物、2-(對甲氧基苯基)-4,5-二苯基咪唑二聚物、2,4-二(對甲氧基苯基)-5-苯基咪唑二聚物、2-(2,4-二甲氧基苯基)-4,5-二苯基咪唑,及2-(p-甲基-苯二苯基)-4,5-二苯基咪唑;上述各者的組合等。
在一些實施例中,PAC包括光鹼產生劑(photobase generator,PBG)及光可分解鹼(photo decomposable base,PDB)。在PAC是光鹼產生劑(PBG)的實施例中,PBG包括季銨二硫代氨基甲酸鹽、氨基酮、含肟-氨基甲酸酯的分子如二苯甲酮肟六亞甲基二脲、四有機基硼酸銨鹽及N-(2-硝基苄氧基羰基)環胺、上述各者的組合等。
在PAC是可光分解的鹼(PDB)的一些實施例中,
PDB包括氫氧化三苯基鋶、六氟化三苯基鋶銻,及三苯甲基三苯基鋶。
基於聚合物的總重量為100%,光阻劑組合物可包含約0.1重量百分比至10重量百分比的PAC。在一些實施例中,光阻劑組合物包含約1重量百分比至約5重量百分比的PAC。具有小於約0.01重量百分比的PAC的光阻劑組合物可能導致交聯反應的速率低。具有大於10重量百分比的PAC的光阻劑組合物可能導致光阻劑圖案解析度降低或線寬粗糙度(line width roughness,LWR)增加。
光阻劑組合物亦可包括許多其他任選的成分,例如表面活性劑及增黏劑。
光阻劑組合物中的溶劑適於溶解、分配及塗覆光阻劑組合物中使用的組分。在一些實施例中,溶劑是選自丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2-丙醇(1-ethoxy-2-propanol,PGEE)、γ-丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫呋喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl
acetate,nBA)及2-庚酮(2-heptanone,MAK)中的一種或更多種。
以組合物的總重量為100%計,光阻劑組合物中溶劑的量可為約80重量百分比至約99重量百分比。在一些實施例中,光阻劑組合物中的溶劑量可從約95重量百分比至約99重量百分比。
光阻劑組合物透過將包括聚合物、交聯劑及PAC的各種組分分散或溶解到溶劑中以形成均勻溶液來製備。隨後,光阻劑組合物被應用到材料層210的表面,以形成光阻劑層220。
可透過任何合適的方法應用光阻劑組合物,包括旋塗、噴塗、浸塗、刮塗等。在一些實施例中,應用光阻劑組合物是使用塗覆軌完成的,其中光阻劑組合物被分配在旋轉基板202上。分配時,基板202可以高達4000rpm的速度旋轉,優選地從500rpm到3000rpm,更優選地從1000rpm到2500rpm。
參考第1圖及第2C圖,根據一些實施例,方法100進行到操作106,其中對光阻劑層220執行第一烘烤製程230。第2C圖是根據一些實施例,在對光阻劑層220執行第一烘烤製程230之後,第2B圖的半導體裝置200的剖面視圖。
由於在將光阻劑層220暴露於輻射之前,先執行第一烘烤製程230以固化及乾燥光阻劑層220,所以第一烘烤製程230亦可被稱為預暴露烘烤製程。光阻劑層220
的固化及乾燥從膜中去除了殘留溶劑及空餘體積,使光阻劑層220均勻緻密。在一些實施例中,第一烘烤製程230在適於蒸發溶劑的溫度下進行,例如從約40℃到約120℃。第一烘烤製程230足以固化及乾燥光阻劑層220的時長在約10秒到約10分鐘。
參考第1圖及第2D圖,根據一些實施例,方法100進行到操作108,對光阻劑層220執行暴露製程240。第2D圖是根據一些實施例,在對光阻劑層220執行暴露製程240之後,第2C圖的半導體裝置200的剖面視圖。
在暴露製程240期間,光阻劑層220透過光遮罩250暴露於來自光源的輻射。在一些實施例中,光遮罩250是透射遮罩。在一些其他實施例中,光遮罩250是反射遮罩。基於待製造的IC的規格,光遮罩250具有IC設計的預定圖案。光遮罩250的圖案對應於構成待製造的IC裝置中的各種元件材料的圖案。例如,IC設計佈局的一部分包括各種IC特徵,例如在基板202及/或設置在基板202上的材料層210中形成主動區、閘電極、源極及汲極、層間互連的金屬線或通孔,以及用於焊盤的開口。
在一些實施例中,光遮罩250包括第一區域252及第二區域254。在第一區域252中,光遮罩250阻擋輻射到達光阻劑層220,而在第二區域254中,輻射不被光遮罩250阻擋,且可穿過光遮罩250到達光阻劑層220。由此,第二區域254下方的光阻劑層220的部分接收輻射,稱為暴露區域220E,而第一區域252下方的光阻劑層
220的部分不接收輻射,稱為未暴露區域220U。
在一些實施例中,輻射是EUV輻射(例如,13.5nm)。或是,在一些實施例中,圖案化的輻射是DUV輻射(例如,來自248nm KrF準分子雷射器或193nm ArF準分子雷射器)、X射線輻射、電子束輻射、離子束輻射或其他合適的輻射。在一些實施例中,暴露製程240在液體(浸沒式微影)或真空(例如,用於EUV微影及電子束微影)中執行。
在暴露於輻射時,光阻劑層220的暴露區域220E中的PAC吸收輻射並產生酸、鹼或自由基,取決於所使用的PAC的類型。產生的酸/鹼/自由基分解聚合物側鏈中的酸不穩定基Q並產生羥基。產生的酸/鹼/自由基亦催化去保護的聚合物與交聯劑之間的交聯反應,以在光阻劑層220的暴露區域220E中形成交聯聚合物。交聯增加了暴露區域220E中聚合物的分子量。透過交聯反應增加聚合物的分子量,光阻劑層220的暴露區域220E變得比光阻劑層220的未暴露區域220U更不溶於顯影劑。
參考第1圖及第2E圖,根據一些實施例,方法100進行到操作110,對光阻劑層220進行第二烘烤製程260。第2E圖是根據一些實施例,在執行第二烘烤製程260之後,第2D圖的半導體裝置200的剖面視圖。
由於第二烘烤製程260是在將光阻劑層220暴露於輻射的暴露製程240之後進行的,所以第二烘烤製程260亦可稱為暴露後烘烤(post-exposure-baking,
PEB)製程。第二烘烤製程260有助於在暴露製程中藉由輻射PAC而產生的酸/鹼/自由基的分散及反應。此種熱輔助促進了交聯反應,因此有助於進一步增加光阻劑層220的暴露區域220E中的交聯聚合物的交聯密度及分子量。增加的分子量導致聚合物的(Tg)進一步增加。藉由使用鹵化單元及交聯而產生的高玻璃轉變溫度允許光阻劑光微影製程期間有效地抑制酸擴散長度,並有助於降低抗蝕劑圖案的線寬粗糙度(line width roughness,LWR)。
在一些實施例中,第二烘烤製程260在約50℃至約160℃的溫度下進行約20秒至約120秒的時間。在一些實施例中,第二烘烤製程在約80℃至約100℃的溫度範圍內進行。
參考第1圖及第2F圖,根據一些實施例,方法100進行到操作112,對光阻劑層220執行顯影製程270。第2F圖是根據一些實施例,在對光阻劑層220執行顯影製程270之後,第2E圖的半導體裝置200的剖面視圖。
顯影製程270包括對光阻劑層220應用顯影劑。顯影劑溶解光阻劑層220的未暴露區域220U,以暴露材料層210的表面,並留下輪廓分明的暴露區域220E,此暴露區域220E具有比習知光阻劑光微影所提供的清晰度更高的清晰度。
在顯影製程之後,形成圖案化光阻劑層220P。圖案化光阻劑層220P包括光阻劑層220的暴露區域220E。
在一些實施例中,顯影劑包括溶劑及酸或鹼。在一些實施例中,基於顯影劑的總重量,顯影劑中溶劑的濃度為約60重量百分比至約99重量百分比。基於顯影劑的總重量,酸或鹼的濃度為約0.001重量百分比至約20重量百分比。在某些實施例中,基於顯影劑的總重量,顯影劑中的酸或鹼濃度為約0.01重量百分比至約15重量百分比。在一些實施例中,顯影劑是水基顯影劑,例如氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)溶液。在一些實施例中,顯影劑包括有機溶劑。在一些實施例中,顯影劑是2-庚酮或乙酸丁酯,如乙酸正丁酯。
在一些實施例中,使用旋塗製程將顯影劑應用到光阻劑層220。在旋塗製程中,在塗覆的基板202旋轉的同時,透過分配器從上方將顯影劑應用到光阻劑層220。在一些實施例中,顯影劑以約5毫升/分鐘至約800毫升/分鐘的速度供應,同時塗覆的基板202以約100rpm至約2000rpm的速度旋轉。在一些實施例中,顯影劑處於約10℃至約80℃的溫度。在一些實施例中,顯影的操作持續約30秒至約10分鐘。
儘管旋塗操作是暴露後顯影光阻劑層220的一種合適的方法,但此是說明性的,而不是限制實施例。相反地,可替代地使用任何合適的顯影操作,包括浸漬製程、攪拌製程及噴塗方法。所有此種顯應操作都包括在實施例的範圍內。
參考第1圖及第2G圖,根據一些實施例,方法
100進行到操作114,使用圖案化光阻劑層220P作為蝕刻遮罩來蝕刻材料層210。第2G圖是根據一些實施例,在使用圖案化光阻劑層220P作為蝕刻遮罩蝕刻材料層210之後,第2F圖的半導體裝置200的剖面視圖。
如第2G圖所示,使用圖案化光阻劑層220P作為蝕刻遮罩來圖案化材料層210,以形成圖案化材料層210p。
可執行蝕刻製程以將圖案化光阻劑層220P中的圖案轉移到材料層210。在一些實施例中,採用的蝕刻製程是諸如乾蝕刻的各向異性蝕刻,儘管可利用任何合適的蝕刻製程。在一些實施例中,乾蝕刻是反應離子蝕刻(reactive ion etch,RIE)或電漿蝕刻。在一些實施例中,透過含氟氣體(例如,CF4、SF6、CH2F2、CHF3及/或C2F6)、含氯氣體(例如,Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(例如,HBr及/或CHBr3)、含氧氣體、含碘氣體、其他合適的氣體及/或電漿或其組合來實施乾蝕刻。在一些實施例中,執行氧電漿來蝕刻材料層210。在一些實施例中,各向異性蝕刻在約250℃至450℃的溫度下持續進行約20秒至約300秒的時間。
在圖案化材料層210p形成之後若圖案化光阻劑層220P在蝕刻製程中未完全移除,可透過例如等離子灰化或濕法剝離將其移除。
透過將鹵代官能團引入到聚合物的側鏈中,並結合聚合物的交聯,光敏聚合物的玻璃轉變溫度(Tg)可提高,
本揭示內容的光阻劑組合物有效地抑制了酸擴散長度,改善線寬粗糙度(line width roughness,LWR)及能夠達到亞22奈米(sub 22-nm)特徵尺寸的解析度的增強。因此本揭示內容的光阻劑組合物及方法在更高效率的製程中減少缺陷,並提供具有改善的特徵解析度及密度的半導體裝置。
本說明書的一個態樣涉及一種形成半導體裝置的方法。方法包括以下操作。形成光阻劑層於基板上,其中光阻劑層包含聚合物、交聯劑及光活性化合物。暴露光阻劑層於輻射,以在光阻劑層中形成圖案。以及選擇性地去除光阻劑層中未暴露於輻射的部分,以形成圖案化光阻劑層。其中聚合物具有以下結構(I):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基、羧基、羰氧基、氧羰基、碳酸酯基、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基、鹵代或非鹵代氧環伸烷基、鹵代或非鹵代羰氧伸烷基、鹵代或非鹵代雜伸烷基或是鹵代或非鹵代環雜伸烷基。Ar1獨立為鹵代或非鹵代伸芳基或是鹵代或非鹵
代雜伸芳基。Q獨立為酸不穩定基。X1及X2各自獨立為與交聯劑形成共價鍵的反應基或是反應基的保護形式。R1、R2及R3各自獨立為氫基、烷基或烷氧基。以及聚合物中部分的x、y及z為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1,當L1、L2或Ar1中的至少一個被鹵化時。在一些實施例中,L1、L2或Ar1中的至少一個被氟化。在一些實施例中,L1或L3或是L1和L3兩者為連接鍵,以及L2為羰氧基或氟代伸烷基。在一些實施例中,L1、L2及L3各自獨立為羰氧基或氟代伸烷基。在一些實施例中,L1、L2及L3各自獨立具有下列結構之一:
、、或是。在一些實施
例中,Ar1具有下列結構之一:、、或是
。在一些實施例中,Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基或三維環結構。在一些實施例中,三維環結構是金剛烷基、雪松基、降冰片基或三環癸
基。在一些實施例中,Q具有下列結構之一:、、
、、、、、或
是。在一些實施例中,X1及X2各自獨立為羥基、烷氧基、胺基、硫醇基、酯基、三聚氰胺基、烯基、炔基、環氧基、氮丙環基、噁丁環基、醛基、酮基或羧酸基。在一些實施例中,R1、R2及R3各自獨立為氫基或甲基。在一些實施例中,聚合物具有下列結構之一:
或是。在一些實施例中,
聚合物具有以下結構(Ia):,
其中R1、R2及R3各自獨立為氫基或烷基。Rf1及Rf2各自獨立為氟代伸烷基。Z1獨立為氟基或氟烷基。Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基。X1及X2各自獨立為羥基、環氧基、三聚氰胺烯基或炔基。以及a1獨立為0至4之間的整數。在一些實施例中,聚合物具有以下結構(Ib):
,其中R1、R2及R3各自獨立為氫基或烷基。Rf2獨立為氟代伸烷基。Z1獨立為氟基或氟烷基。Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基。X1及X2各自獨立為羥基、環氧基、三聚氰胺烯基或炔基。以及a1獨立為0至4之間的整數。在一些實施例中,聚合物
具有以下結構(Ic):,其中R1及R3各自獨立為氫基或烷基。Rf1獨立為氟代伸烷基。Z1獨立為氟基或氟烷基。Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基。X1獨立為羥基、環氧基、三聚氰胺烯基或炔基。以及a1獨立為0至4之間的整數。
本說明書的另一態樣涉及一種用形成半導體裝置的方法。方法包括以下操作。沉積光阻劑層於基板上,其中光阻劑層包含聚合物、交聯劑及光活性化合物。暴露光阻劑層於輻射。在暴露於輻射的光阻劑層的部分中形成交聯聚合物。以及顯影光阻劑層以形成圖案化光阻劑層。其中聚合物具有以下結構(II):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基、羧基、羰氧基、氧羰基、碳酸酯基、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基、鹵代或非鹵代氧環伸烷基、鹵代或非鹵代羰氧伸烷基、鹵代或非鹵代雜伸烷基或是鹵代或非鹵代環雜伸烷基。Ar1及Ar2各自獨立為鹵代或非鹵代伸芳基或是鹵代或非鹵代雜伸芳基。Q1獨立為酸不穩定基。X1、X2及X3各自獨立為與交聯劑形成共價鍵的反應基或是反應基的保護形式。R1、R2及R3各自獨立為氫基、烷基或烷氧基。以及聚合物中x、y及z的部分為0<x/(x+y+z)
<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1,當L1、L2、L3、Ar1或Ar2中的至少一個被鹵化時。在一些實施
例中,Ar1及Ar2各自獨立具有下列結構之一:、
或是,其中Z1、Z2及Z3各自獨立為氟基或氟烷基。a1是0至4之間的整數。a2是0至6之間的整數。以及a3是0至8之間的整數。在一些實施例中,聚合物具有以下結構(IIa):
,其中R1、R2及R3各自獨立為氫基或烷基。Rf1及Rf2各自獨立為氟代伸烷基。Z1獨立為氟基或氟烷基。Q獨立為伸烷基、環伸烷基、羥基伸烷基、烷氧基伸烷基、伸金剛烷基、伸雪松基、伸降冰片基或伸三環癸基。X1、X2及X3各自獨立為羥基、環氧基、三聚氰胺烯基或炔基。以及a1獨立為0至4之間的整數。
本說明書的又一態樣涉及一種形成半導體裝置的方法。方法包括以下操作。沉積材料層於基板上。應用包含聚合物及交聯劑的光阻劑組合物於材料層上,以形成光阻劑層。暴露光阻劑層於極紫外輻射。加熱光阻劑層,其
中聚合物與交聯劑反應,以在光阻劑層的暴露區域中形成交聯聚合物。去除光阻劑層的未暴露區域,以形成圖案化光阻劑層。以及使用圖案化光阻劑層作為蝕刻遮罩來蝕刻材料層。其中聚合物具有以下結構(III):
其中L1、L2及L3各自獨立為連接鍵或連接基團,連接基團選自由氧代基、羧基、羰氧基、氧羰基、碳酸酯基、鹵代或非鹵代伸烷基、鹵代或非鹵代環伸烷基、鹵代或非鹵代氧伸烷基、鹵代或非鹵代氧環伸烷基、鹵代或非鹵代羰氧伸烷基、鹵代或非鹵代雜伸烷基或是鹵代或非鹵代環雜伸烷基。Ar1及Ar3各自獨立為鹵代或非鹵代伸芳基或是鹵代或非鹵代雜伸芳基。Q獨立為酸不穩定基。X1、X2及X4各自獨立為與交聯劑形成共價鍵的反應基或反應基的保護形式。R1、R2及R3各自獨立為氫基、烷基或烷氧基。以及聚合物中x、y及z的部分為0<x/(x+y+z)<1、0≦y/(x+y+z)<1及0<z/(x+y+z)<1,當L1、L2、L3、Ar1或Ar4中的至少一個被鹵化。在一些實施例中,
聚合物具有以下結構(IIIa):(IIIa),其中R1、R2及R3各自獨立為氫基或烷基。Rf1及Rf2各自獨立為氟代伸烷基。Z1獨立為氟基或氟烷基。Q獨立為烷基、環烷基、羥烷基、烷氧基、烷氧基烷基、金剛烷基、雪松基、降冰片基或三環癸基。X1、X2及X4各自獨立為羥基、環氧基、三聚氰胺烯基或炔基。以及a1獨立為0至4之間的整數。
上文概述了數個實施例的特徵,使得所屬領域的通常知識者可更好地理解本揭示內容的各個態樣。所屬領域的通常知識者應該理解,他們可容易地使用本揭示內容作為設計或修改其他製程及結構的基礎,用於實現與本文介紹的實施例相同的目的及/或實現相同的優點。所屬領域的通常知識者亦應該認識到,此種等同的構造不脫離本揭示內容的精神及範疇,且在不脫離本揭示內容的精神及範疇的情況下,他們可在此進行各種改變、替換及變更。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
Claims (10)
- 一種形成半導體裝置的方法,包括:形成一光阻劑層於一基板上,其中該光阻劑層包含一聚合物、一交聯劑及一光活性化合物;暴露該光阻劑層於一輻射,以在該光阻劑層中形成一圖案;以及選擇性地去除該光阻劑層中未暴露於該輻射的一部分,以形成一圖案化光阻劑層,其中該聚合物具有以下結構(I):
- 如請求項1所述的方法,其中L1、L2或Ar1中的至少一個被氟化。
- 一種形成半導體裝置的方法,包括:沉積一光阻劑層於一基板上,其中該光阻劑層包含一聚合物、一交聯劑及一光活性化合物;暴露該光阻劑層於一輻射;在暴露於該輻射的該光阻劑層的一部分中形成一交聯聚合物;以及顯影該光阻劑層以形成一圖案化光阻劑層,其中該聚合物具有以下結構(II):
- 一種形成半導體裝置的方法,包括:沉積一材料層於一基板上;應用包含一聚合物及一交聯劑的一光阻劑組合物於該材料層上,以形成一光阻劑層;暴露該光阻劑層於一極紫外輻射;加熱該光阻劑層,其中該聚合物與該交聯劑反應,以在該光阻劑層的一暴露區域中形成一交聯聚合物;去除該光阻劑層的一未暴露區域,以形成一圖案化光阻劑層;以及使用該圖案化光阻劑層作為一蝕刻遮罩來蝕刻該材料層,其中該聚合物具有以下結構(III):
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/734,981 US20230350295A1 (en) | 2022-05-02 | 2022-05-02 | Crosslinkable photoresist for extreme ultraviolet lithography |
US17/734,981 | 2022-05-02 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202345286A TW202345286A (zh) | 2023-11-16 |
TWI843451B true TWI843451B (zh) | 2024-05-21 |
Family
ID=
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20200135451A1 (en) | 2018-10-31 | 2020-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Protective composition and method of forming photoresist pattern |
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20200135451A1 (en) | 2018-10-31 | 2020-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Protective composition and method of forming photoresist pattern |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9418862B2 (en) | Method for integrated circuit patterning | |
US10741410B2 (en) | Material composition and methods thereof | |
US9146469B2 (en) | Middle layer composition for trilayer patterning stack | |
TW201735106A (zh) | 半導體元件的製造方法 | |
TW201913723A (zh) | 半導體元件的形成方法 | |
US20190043710A1 (en) | Method for forming semiconductor structure | |
US11767336B2 (en) | Organometallic cluster photoresists for EUV lithography | |
KR20170073911A (ko) | 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 | |
KR101904589B1 (ko) | 실리콘계 중간층 조성물 | |
TWI843451B (zh) | 形成半導體裝置的方法 | |
TW201830581A (zh) | 半導體裝置的形成方法 | |
TWI738924B (zh) | 積體電路的製造方法 | |
US20220260918A1 (en) | Pattern formation method and material for manufacturing semiconductor devices | |
TW202345286A (zh) | 形成半導體裝置的方法 | |
US10115585B2 (en) | Hardmask composition and methods thereof | |
TWI829545B (zh) | 形成半導體結構的方法 | |
US20240210822A1 (en) | Switchable substrate for extreme ultraviolet or e-beam metallic resist | |
US10990013B2 (en) | Method for forming semiconductor structure | |
TW202425330A (zh) | 用於形成半導體裝置的方法 | |
TWI833204B (zh) | 光阻之製造方法 | |
US20230317647A1 (en) | Non-dmso stripper for advance package metal plating process | |
TW201915609A (zh) | 半導體結構的形成方法 | |
CN117826534A (zh) | 用于形成半导体装置的方法 | |
US20240192601A1 (en) | Photoresist top coating material for etching rate control | |
US10879078B2 (en) | Method of patterning resist layer and method of forming semiconductor structure using patterned resist layer |