TWI840340B - 用於光罩增強技術之方法及系統 - Google Patents

用於光罩增強技術之方法及系統 Download PDF

Info

Publication number
TWI840340B
TWI840340B TW107144580A TW107144580A TWI840340B TW I840340 B TWI840340 B TW I840340B TW 107144580 A TW107144580 A TW 107144580A TW 107144580 A TW107144580 A TW 107144580A TW I840340 B TWI840340 B TW I840340B
Authority
TW
Taiwan
Prior art keywords
wafer pattern
fsa
ctm
function
mask
Prior art date
Application number
TW107144580A
Other languages
English (en)
Other versions
TW201937269A (zh
Inventor
P 傑佛瑞 安戈
Original Assignee
美商D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/853,311 external-priority patent/US10657213B2/en
Application filed by 美商D2S公司 filed Critical 美商D2S公司
Publication of TW201937269A publication Critical patent/TW201937269A/zh
Application granted granted Critical
Publication of TWI840340B publication Critical patent/TWI840340B/zh

Links

Abstract

用於光罩增強技術(RET)之方法包括:將一目標晶圓圖案或一預測之晶圓圖案表示為作為一函數樣本陣列捕獲之一平滑函數,該函數樣本陣列為函數值之一陣列。提供一連續色調遮罩(CTM),其中該CTM用以產生該預測之晶圓圖案。用於RET之方法亦包括輸入一目標晶圓圖案,其中該目標晶圓圖案橫跨一整個設計區域。將該整個設計區域分割為複數個塊,每個塊在該塊周圍具有一暈區。對該整個設計區域之一提出之遮罩進行迭代,直至該提出之遮罩滿足朝向產生該目標晶圓圖案之準則為止。每一迭代包括為該複數個塊之一子集計算一預測之晶圓圖案;及更新該塊之該提出之遮罩;其中在下一迭代之前計算該子集中之所有塊。

Description

用於光罩增強技術之方法及系統
本申請案主張2017年12月22日申請且標題為「MODELING OF A DESIGN IN RETICLE ENHANCEMENT TECHNOLOGY」之美國非臨時專利申請案第15/853,311號的權益;該專利申請案出於所有目的以引用之方式併入。
本發明係有關於一種光罩增強技術之設計的模型化。
次微米製造使用微影技術在基板上建立材料層以形成電晶體、二極體、發光二極體(LED)、電容器、電阻器、電感器、感測器、線、光線、微機電系統(MEMS)及共同產生用於某一功能之裝置的其他元件。基板微影為其中遮罩(有時稱作光罩)用以將圖案轉移至基板以形成裝置之印刷程序。在諸如積體電路或平板顯示器之裝置的生產或製造中,基板微影可用以製造裝置。當待形成之裝置為積體電路時,基板通常為矽晶圓。在形成積體電路時,微影為半導體微影,其為了獲得高容量生產通常為基板微影。其他基板可包括平板顯示器、液晶面板顯示器、平板顯示器之遮罩、奈米壓印原件,或其他基板,甚至其他遮罩。
在半導體微影中,遮罩或多個遮罩可含有對應於積體電路之個別層或多個圖案化程序中之層之一部分的電路圖案。此圖案可成像至基板上之某一區域上,該基板已塗佈有輻射敏感材料(稱作光阻或抗蝕劑)層。一旦轉移了圖案化層,該層可經歷各種其他程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化及拋光。此等程序用以完成基板中之個別層。若需要若干層,則將針對每一新層重 複整個程序或其變化。最終,多個裝置(其可為積體電路)之組合將存在於基板上。接著可藉由切割或鋸切將此等裝置彼此分開且接著將其安裝至個別封裝中。
光微影可為具有或無浸潤之193nm的光,或極紫外光(EUV),或X射線微影,或任何其他頻率之光或其任何組合。
使用193nm之光波之光微影與折射光學元件及穿透光遮罩或光罩合作。遮罩阻隔、部分地阻隔光波或選擇性地使光波穿透至基板上,基板在微影程序期間通常塗佈抗蝕劑以使基板或基板上之某種材料的不同部分部分地曝光或曝光。遮罩通常為目標基板尺寸之4倍放大。
極紫外光微影(EUV)使用波長大約為13.5nm之光及折射光學元件。一些實施方案使用在一個維度上具有8倍放大率且在另一維度上具有4倍放大率之變形遮罩。
一般而言,較小波長之光能夠解決基板上之特徵的較精細之幾何形狀、幾何形狀之間的較精細間隔,及較高頻率(密度)。亦一般而言,較難以可靠地產生及控制較小波長之光。經濟地,使用最大波長之光為最佳的,該光能夠解決裝置所需之特徵大小、間隔及頻率。因此,增強可藉由任何給定波長之光在基板上實現之解析度令人感興趣。
對於特定解析度之任何微影,諸如偏軸照明、相移遮罩及多個圖案化之額外技術擴展解析能力。當使用多個圖案化時,單個基板層曝光多次,每次使用不同的遮罩,稱作遮罩層。
藉由電子束(eBeam)機器來形成遮罩,電子束機器將電子射向塗佈表面之光阻,該表面接著經處理以在遮罩中產生所要開口。遞送至遮罩上之光斑之能量的量稱作劑量,其在設定為0.0之劑量下可能沒有能量且按照慣例標稱劑量設定為1.0。當劑量超過某一臨限值(其按照慣例經常在0.5左右)時,將記錄圖 案。關鍵尺寸(CD)變化尤其與抗蝕劑臨限值處之劑量曲線的斜率負相關,該斜率稱作邊緣斜率或劑量裕度。
存在多種技術供電子束機器使用。三種常見類型之帶電粒子束微影為可變形射束(VSB)、字元投影(CP)及多射束投影(MBP)。用於前緣遮罩產生之最常用的系統為VSB。VSB及CP為整形射束帶電粒子束微影之子類別,其中藉由一系列孔隙對電子束整形且使電子束轉向以使塗佈有抗蝕劑之表面曝光。MBP使用複數個帶電粒子束,其中VSB及CP機器通常具有單射束。
難以印刷大小類似於或小於用於微影之光之波長的特徵。行業已經應用了各種技術來解決在基板上可靠地印刷所要形狀之困難。計算微影領域已出現以使用計算來增強基板微影,基板微影在半導體微影中亦稱作晶圓微影。光罩增強技術(RET)包括設計目標光罩形狀之計算方法及系統,在製造變化中藉由目標光罩形狀將所要圖案更精確地及更可靠地投影在基板上。RET經常使用計算來增強遮罩上之影像,對於製造變化彈性地更準確地且更可靠地印刷所要基板圖案。RET中之兩種常見技術為光學鄰近校正(OPC)及反向微影技術(ILT)。OPC及ILT經常為迭代式最佳化演算法,其調整定義遮罩之參數,直至晶圓上之預測圖案在一組或一系列條件的可接受容限內為止。OPC操縱遮罩幾何形狀且在目標邊緣附近模擬晶圓圖案。ILT將遮罩穿透作為像素操縱,且ILT通常模擬整個晶圓圖案,稱作密集模擬之程序。迭代式最佳化演算法通常由以下組成:(1)評估提出之解決法案以分配試圖最小化之成本;(2)若成本低於成本準則,則停止;(3)計算提出之解決方案的將導致較低成本之每一元素的梯度;(4)根據計算之梯度調整提出之解決方案;(5)返回(1)。成本通常藉由正值來定義,其中零為最佳可能得分,如本文所假設。然而,可使用替代成本定義。
RET通常意謂在標稱(預期)製造條件下且在標稱製造條件周圍之預期製造變化內改良所有所要特徵之可印刷性。由於製造程序並非完美的,因此設 計需要對於某一預期製造變化為彈性的。較大程序窗口意謂對於製造變化,尤其為經由散焦及劑量變化導致之圖案差異在可接受容限內之製造變化更有彈性。為儘可能多的特徵提供足夠的程序窗口為RET之目標。在製造之後按指定起作用之晶片的百分比經常稱作良率。許多因素影響良率。改良程序窗口在熟習此項技術者中通常視為與改良良率相關。
在一些實施例中,用於光罩增強技術之方法包括將一目標晶圓圖案或一預測之晶圓圖案表示為作為一函數樣本陣列(FSA)捕獲之一平滑函數。該FSA為函數值(諸如實數、複數或數之一集合體)的一陣列。提供一連續色調遮罩(CTM),其中該CTM用以產生該預測之晶圓圖案,該預測之晶圓圖案橫跨一整個設計區域。
在一些實施例中,用於光罩增強技術之方法包括輸入一目標晶圓圖案,其中該目標晶圓圖案橫跨一整個設計區域。將該整個設計區域分割為複數個塊,每個塊在該塊周圍具有一暈區。對該整個設計區域之一提出之遮罩進行迭代,直至該提出之遮罩滿足朝向產生該目標晶圓圖案之準則為止。每一迭代包括為該複數個塊之一子集計算一預測之晶圓圖案,該子集具有該複數個塊中之兩個至所有塊,其中該計算係針對該子集中之每個塊及其暈區執行。每一迭代亦包括更新該塊之該提出之遮罩,其中在下一迭代之前計算該子集中之所有塊。
本實施例亦包括用於RET之系統,其中該系統包括一電腦處理器,該電腦處理器經組態以:接收將用於光罩增強技術之一目標晶圓圖案;為該目標晶圓圖案計算一函數樣本陣列(FSA),該目標晶圓圖案為一平滑函數;計算一連續色調遮罩(CTM),其中該CTM表示為作為一函數樣本陣列(FSA)捕獲之一平滑函數;且將該目標晶圓圖案與由該CTM產生的預測之晶圓圖案進行比較。
100:半導體製造流程
110、120、150、160、710、720、730、740、750、910、920、930、935、940、950、960、970、980、990、995、1010、1011、1020、1021、1030a/b...n、1041、1050a/b...n、1051、1060a/b...n、1061、1070、1091:步驟
130:RET步驟
140:遮罩資料準備(MDP)步驟
200、250:平滑函數
210:網格線
220:網格點位置
230:平面
235:曲線圖
237:網格間距
239:過渡
240:目標幾何形狀
260:圖案
700、900:流程圖
711:目標圖案
721:函數
731:計算CTM
820、830:平滑曲線
820、832:曲線
921:標圖案幾何形狀
FSA 931、FSA 951B、FSA 952B:目標晶圓圖案
941:初始CTM
FSA 951A、FSA 952A:預測圖案
1100:暈區
1110:第一邊界層(暈)
1120:中心區(塊)
1130:第二暈區域
1300:計算硬體裝置
1302、1314:GPU
1304:主記憶體
1308:磁碟控制器
1310:磁碟
1312:區域網路(LAN)控制器
1320:PCIe匯流排
1400:系統
1410:主節點
1420:觀看節點
1430:網路檔案系統
1440:GPU能力之節點
1450、1452、1454:網路
圖1為如本技術已知之經處理為晶圓之晶片設計的方塊圖。
圖2A至圖2D示出了根據本揭露之實施例之藉由平滑函數表示圖案的實例。
圖3示出了如本技術已知的帶限函數之取樣及自樣本重新建構函數。
圖4為如本技術已知的作為吉布斯現象之邊界失配漣波的實例。
圖5示出了根據本揭露之實施例應用高斯包絡因子以減小週期性邊界條件失配效應。
圖6示出了使用具有高斯包絡因子之濾波器重新建構取樣之帶限函數。本揭露之實施例在樣本點捕獲平滑函數。圖6演示了平滑函數之捕獲且接著演示了重新建構。重新建構允許實施例以比取樣率更精細之粒度計算平滑函數之值。
圖7為根據本揭露之方法的實施例。
圖8A至圖8B示出了根據本揭露之實施例將濾波器應用於目標圖案。
圖9A為根據本揭露之方法的另一實施例。
圖9B提供了對應於圖9A之流程圖之步驟的實例說明。
圖10A為如本技術已知的用於FET之分佈式計算的實例,其中將設計分解為塊且接著個別塊經歷最佳化循環,接著整個設計自塊組合回一起。
圖10B為根據本揭露之用於光罩增強技術之分佈式計算的實施例,其中整個設計在某一時間內在最佳化循環內迭代。
圖11A至圖11B示出了具有邊界區域之塊的實施例。
圖12示出了對重疊塊之高斯局部內插的1-D實例。
圖13為可用於本揭露之實施例的計算硬體系統之實施例的方塊圖。
圖14為可用於本揭露之實施例的計算硬體系統,計算設計平台(CDP)之另一實施例的方塊圖。
相關申請案
在本揭露中,術語晶圓微影之使用通常應指基板微影。亦即,實施例應在半導體微影方面描述以作為實例以簡化理解,但實施例亦適用於其他類型之基板微影及總體光罩增強技術。本揭露中之術語「基板」可指用於微影之遮罩、矽晶圓、平板顯示器、液晶面板顯示器、用於平板顯示器之遮罩、奈米壓印原件,或其他基板,或其他遮罩。
習知技術
圖1中示出了傳統半導體製造流程100。在步驟110中藉由形成晶圓層之合成物而完成晶片設計。在步驟120中,將晶圓層中之一些分為遮罩層。此步驟亦包括有時稱作染色步驟之步驟,其中對晶圓層上之每一特徵染色以反映特徵至特定遮罩層之分配。一旦單獨識別了遮罩層,則每一遮罩層經歷RET步驟130。遮罩資料準備(MDP)步驟140接著準備用於遮罩刻寫器之資料。此步驟可包括使資料「分裂」為梯形、矩形或三角形。遮罩程序校正(MPC)幾何地修改形狀及/或向形狀分配劑量以使遮罩上之所得形狀更接近所要形狀。MPC有時在步驟130中執行,有時在步驟140中執行,有時在步驟150中執行,且有時以任何組合執行。在步驟150中亦可應用像素級劑量校正(PLDC)。在步驟150中製作及驗證遮罩,步驟150包括諸如遮罩刻寫、遮罩檢查、度量衡、遮罩缺陷沈積、遮罩修復及遮罩之晶圓面檢查之步驟。在步驟160中,使用在步驟150中製作之連續的一系列遮罩來刻寫晶圓。
在圖1中之步驟中之每一者中,可能存在或可能不存在澈底地驗證或理智地檢驗該步驟之輸出的驗證步驟。在本技術中,圖1之步驟中之一些以不 同順序執行或並行地執行。半導體製造程序中之管線處理的實例為當將設計分割為多個塊(例如,相等大小之塊的陣列),且接著對塊執行第一步驟,且接著在不等待其他塊完成第一步驟之情況下對該塊執行第二步驟時。例如,RET步驟130及MDP步驟140可為管線式的以減少轉回時間。在另一實例中,步驟140之MPC可與步驟150之遮罩製作為管線式的。
在晶圓微影中,發現基板上所需之特徵(稱作主特徵)在額外特徵增加至過小以致無法印刷其自身之遮罩的情況下以較大逼真度及改良之程序窗口印刷,但仍然有利地影響附近的主特徵印刷之方式。此等額外特徵稱作次級解析輔助特徵(SRAF)。其為未附接至主特徵之隔離形狀,該等形狀足夠小而不會印刷在基板上。
計算SRAR及主特徵修改為高度計算密集的,具有易損壞結果。假的額外圖案可印刷,目標圖案可能並不較好地符合,且程序窗口可能不必要地受限制。典型RET方法具有OPC驗證以識別及校正熱點。熱點為需要理想條件來恰當地印刷且因此對於製造變化無彈性之區域,或在一些情況下甚至在理想條件下將不恰當地印刷。熱點導致不良良率。
ILT經常生成提供優秀結果之令人驚奇的遮罩圖案。ILT演算法自然地形成包括許多SRAF之曲線形狀。已證明此等圖案對於具有習知分裂之可變形射束(VSB)遮罩刻寫機器為不實際的,因為存在過多幾何形狀待處置。遮罩刻寫時間為關鍵的商務因素,且VSB刻寫隨需要印刷之VSB射擊的數目而調節。因此,ILT演算法花費大量運行時間以將曲線形狀轉換為更適合於VSB刻寫之近似值,此經常稱作曼哈頓化。使用重疊射擊之基於模型之遮罩資料準備可顯著減小刻寫時間影響。然而,曲線形狀需要更久來刻寫。最近引入的多射束電子束遮罩刻寫系統直接在遮罩上刻寫曲線形狀而不花費任何額外時間。此使得ILT能夠輸出曲線形狀而無需曼哈頓化。關於ILT之剩餘問題為完整設計,尤其為完整 光罩大小之設計的完整遮罩層之密集模擬的巨大計算需求,對於半導體製造該等設計之晶圓尺寸通常為大約3.0cm x 2.5cm。
多射束刻寫消除曼哈頓化曲線形狀以用於VSB刻寫之需要。但遮罩可印刷性及對製造變化之彈性仍為由ILT輸出之遮罩形狀的重要考慮因素。例如,太小或太接近彼此,或在形狀之輪廓中具有太急之轉角的形狀使得難以可靠地製作遮罩,尤其在製造變化中。
由來自電子束機器之電子遞送的能量經常近似為點擴散函數(PSF)。儘管存在影響能量擴散之方式的許多效應,然而在針對可變形射束或多射束刻寫的基於電子束之遮罩製作中,單調連續PSF為能量分佈之合理表示。在本揭露中,為了易於理解,簡單的單一高斯分佈將用作PSF,但實施例適用於任何合適的PSF。
當在高斯分佈中跨越足夠大的區域以單位劑量遞送能量時,區域內部存在足夠劑量來到達單位劑量。但若區域較小,則區域內部之最高劑量達不到單位劑量。類似地,若區域之間的間距足夠大,則最低劑量達到零。但若間距較小,則最低劑量達不到零。當區域或區域之間的間距較小時,劑量曲線為窄的。遮罩製造程序經設計以為合理區域及間距提供足夠的劑量裕度,即以100nm間隔分開的100nm線,其中單位劑量用於193i微影之典型前緣遮罩。較小區域及間距在區域之輪廓邊緣處具有較低劑量裕度。若所施加之劑量為單位劑量,則區域愈小,劑量裕度愈差。
劑量裕度因為鄰近效應校正(PEC)而在典型遮罩刻寫程序中亦變得更差。藉由電子束,無論為VSB、CP抑或MBP進行之遮罩刻寫具有本技術中眾所周知的反向散射效應。電子撞擊抗蝕劑表面,且由電子釋放之二次電子反彈以使曝光位置周圍10微米級區域中之抗蝕劑曝光。此具有散射效應,一種長距離效應,且藉此使周圍的10微米級區域中之抗蝕劑部分地曝光。來自給定區域 周圍之所有曝光之此等部分曝光的集合體足夠重要而需要校正。用於反向散射及其他長距離效應之基於軟體的校正稱作PEC且通常在遮罩刻寫時與遮罩刻寫器成直線地應用。PEC本質上減小射擊(或在MBP之情況下為像素)之單位劑量以補償來自周圍射擊(或像素)之集合的預先用劑量。幾乎所有產生遮罩都藉由機器中開啟之PEC進行刻寫。當10微米級區域之劑量密度為高的時,所施加之PEC的量亦為高的。此具有降低曝光之高斯(或PSF)之高度的效應,且因此減小該密集區域中之輪廓邊緣處的劑量裕度。因此,刻寫在高劑量密度之區域中的小形狀與刻寫在低劑量密度之區域中的相同大小之形狀相比具有較差的劑量裕度。
劑量裕度為重要的,因為淺斜率意謂給定百分比之劑量改變導致CD之較大差異。由於熟習此項技術者知道劑量裕度為多種多樣(若非大部分)製造變化源的良好代理,因此量測CD變化與劑量變化為對製造變化之彈性的重要量測。
可與遮罩刻寫器離線地、管線地或成直線地執行之遮罩程序校正可操縱應用於遮罩之形狀或劑量,以便校正線性並除了對製造變化之彈性的其他量測之外增強關鍵尺寸均勻性(CDU)及線邊緣粗糙度(LER)。改良CDU及LER包括增強劑量裕度,及跨越遮罩中之特徵改良劑量裕度之均勻性。美國專利8,473,875「Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography」中揭露了劑量裕度(邊緣斜率)之增強,該美國專利由本申請案之受讓人擁有。為了藉由VSB或CP刻寫器刻寫遮罩,CD裂縫之減小亦改良CDU。CD裂縫為當一個以上射擊用以定義關鍵尺寸特徵之相對邊緣時。美國專利8,745,549「Method and System for Forming High Precision Patterns Using Charged Particle Beam Lithography」中揭露了CD裂縫之實例,該美國專利由本申請案之受讓人擁有。
在典型的半導體製造程序中,圖1中之步驟130的RET產生目標遮罩形狀。當遮罩表示不自動滿足所有所要的遮罩約束及特性(諸如容許穿透值、最小特徵大小、最小間距,或足夠的劑量裕度及其他)時,對遮罩之合適性的評估需要引入增加與違反此等約束相關之成本的項。在逆推問題之領域,引入此等項稱作正則化,且為自解之可能無限集合選擇一解的方式,該集合同樣或類似地較好地符合所要結果但亦具有其他先驗的所要特性。遮罩之逆向模型化的實例為如美國專利第7,856,612號「Lithography Mask Design Through Mask Functional Optimization and Spatial Frequency Analysis」中所揭露之傅立葉ILT,該美國專利由本揭露之受讓人擁有且在此以引用之方式併入。本揭露之一些態樣擴展至傅立葉ILT及其他RET系統及方法。
半導體製造及次微米製造大體上遵循莫耳定律,其預測製造基礎架構一起進步以允許解析度隨時間以相對可預測且穩定之速率改良。莫耳定律之重要態樣為基礎架構之計算能力與莫耳定律一起調節,因為相對於功率消耗及成本-諸如計算頻寬、計算速度、記憶體容量、記憶體存取速度、通信頻寬、通信速度、長期儲存(無論為固態抑或硬磁碟)容量及速度-的影響亦按照莫耳定律調節。引入新的製造技術(諸如EUV微影或基於MBP之遮罩刻寫)形成計算要求之不連續性。引入新的計算技術,諸如圖形處理單元(GPU)加速亦形成計算能力及可調能力上之不連續性。
計算演算法在設計複雜度方面通常比線性更差。此意謂計算具有1000個元件之塊將通常需要具有500個元件之塊所需之計算的兩倍以上時間。取決於計算具有1000個元件之塊需要多久,將其分割為兩個500個元件之塊且接著將其縫合回一起以形成1000個元件之塊可能更快。取決於計算任務及塊之間的相互作用,分割及縫合可具有複雜性。存在判定實現最高效之計算的合適塊大小之複雜折衷。當儲存用於設計之充足資訊所需之記憶體的量遠超過經濟可 行之計算系統上可獲得之記憶體的量時,此效應惡化。在晶片設計或晶片製造,或一般而言次微米裝置,整個晶片設計,或更一般而言全尺寸裝置之任何裝置設計或裝置製造的資料處理中,大多數計算步驟需要分割為小得多之塊。此係因為需要計算之資料的量及計算容量與莫耳定律一起調節。接著將結果縫合回一起以用於由下一步驟處理且亦用於錯誤及資料報告。此稱作基於塊之計算。塊通常為矩形的,但可為六邊形的或不同形狀及/或大小之混合。預測塊中之晶圓圖案需要包括塊周圍之資料。周圍資料稱作暈。暈必須足夠大以捕獲對塊之預測圖案的顯著影響。
用於裝置之設計或製造的所有習知電腦輔助設計(CAD)演算法使用基於塊之計算。另外,當利用迭代式最佳化演算法時,其通常在NP完全問題中出現,基於塊之計算使塊中之每一者單獨地迭代最佳化循環。基於塊之系統因為鄰近塊中之最佳化程序做出的改變而遭遇暈區域資料變得陳舊。塊中之最佳化迭代的數目愈大,其相鄰塊暈變得愈過時。當對塊之邊界處的資料求解變得難以維繫時,縫合問題出現。通常在輸出僅改變塊內部但計算隨著對如暈中所見的由相鄰塊賦予塊之影響之可見性而出現的情況下計算塊。
本實施例之細節
本揭露之一些實施例一次性為包括整個遮罩層之遮罩的大區段產生連續色調遮罩(「CTM」)。對於用於半導體晶圓之193i投影的遮罩,本技術已知的在如今的計算平台上之系統及方法不允許一次性為晶圓尺寸大於400-1000平方微米的面積產生CTM。產生塊之CTM,每個塊及其暈獨立地經歷最佳化循環,接著縫合在一起以形成整個遮罩層,從而需要額外處理來處置縫合假影。相比之下,本揭露之一些實施例使得晶圓尺寸為7.5平方公分面積之整個遮罩層能夠在一個大的最佳化循環中一起產生。CTM捕獲連續地變化之振幅穿透係數圖之值,可自該圖計算穿透強度。本揭露描述了藉由迭代地最佳化整個大區段以代 替如本技術已知的獨立地迭代地最佳化大區域之塊來以建構校正方式避免縫合問題之方法及系統。大區段可為例如5微米乘5微米。在大區段為整個遮罩之實施例中,整個遮罩避免縫合問題。
在一些實施例中,應用技術以識別在後續迭代中不需要細化之塊直至以其他方式判定為止。在此等情況下,當對整個提出之遮罩進行迭代時,塊中之許多保持不變。此等技術可明顯改良計算效能。
在一些實施例中,藉由在最佳化之每次迭代時刷新暈,利用比本技術已知的更小之暈區。先前技術需要將暈寬度乘以與可能發生或因為鄰近塊中之不一致最佳化而遭遇縫合錯誤一樣多的迭代。另外,在一些實施例中,實現面積比習知方法及系統大一至兩個數量級的塊大小。增大塊大小減小暈區中的已處理面積之百分比。較小暈寬度及較大塊大小之組合減少暈區在處理及儲存塊時向塊增加的記憶體及處理之負擔的量。
一些實施例另外利用CTM及目標晶圓圖案之新穎的更高效的資料表示。在此等實施例中,網格點比現有量測稀疏4或5倍,且儲存在每一資料點處之資料為最小的,但表示在模型化之光學系統之精度內為準確的。加在一起,在一些實施例中,晶圓微影之光學(193i)投影之整個遮罩層的CTM及目標晶圓圖案可儲存在當前商業上可行之計算平台之所有計算節點的組合記憶體中。當EUV微影需要ILT時,當時類似地商業上可行之計算平台可儲存整個遮罩層以用於EUV投影。EUV之ILT需要較高精度且因此需要較多記憶體來表示資料。在本揭露中,為了易於理解,論述使用193i遮罩情形,其中整個遮罩層儲存在計算平台之集合記憶體中且一起迭代地最佳化。本揭露適用於處理整個遮罩層之大區段,甚至在集合記憶體不足以儲存整個遮罩層的情況下亦如此。在此等實施例中,在處理整個遮罩層期間的所有時間,整個遮罩層之所有塊的CTM及目標晶圓圖案可駐存在記憶體中。此避免耗時的非駐存記憶體存取,無論為固態硬 碟抑或硬碟機,從而實現計算節點中之暈區的快速更新。保持大區段所需之記憶體易於計算為[(X尺寸/網格間距)*(Y尺寸/網格間距)*(每一網格點處之資料大小)。在一些實施例中,中間結果僅在塊內之計算的持續時間內保持在記憶體中。
使整個遮罩層之所有塊的CTM及目標晶圓函數樣本陣列始終在記憶體中亦使得本實施例能夠一次性計算整個遮罩層之最佳化迭代,而非像本技術進行的一樣彼此獨立地最佳化每個塊。因此,本實施例以建構校正之方式消除了縫合問題,且使用商業上可行之計算平台高效地計算包括整個遮罩層之大區段的CTM。
在一些實施例中,在RET期間執行某個遮罩程序校正,或對遮罩製造變化之彈性的增強,其中遮罩將用於微影程序以在晶圓上形成圖案。
在一些實施例中,以陣列捕獲網格上之平滑函數(其為連續可微函數)的取樣值。在一些實施例中,將預測之晶圓圖案與目標晶圓圖案匹配得多好表示為平滑函數。此技術排除了大多數現有ILT實施方案中進行的在預測之晶圓圖案上尋找輪廓邊緣且接著將其與目標晶圓圖案上之輪廓邊緣進行比較的需要。
在一些實施例中,程序可使ILT程序下降至一點,在該點剩下需要進一步最佳化之區域的數目足夠小,且含有此等區域之塊足夠大以致在統計上很可能最佳化彼等區域將不會影響塊內之相鄰者的暈區。藉由理解此等區域在設計中什麼地方,在此階段對設計重新分塊可選擇塊大小及可能包括非矩形區域或甚至曲線邊界及沿著周邊之對應暈區的區域。
在一些實施例中,在不同最佳化策略中可存在迭代,例如其中在一種策略中整個設計全部一起最佳化,且在另一策略中塊彼此獨立地最佳化。策略可預先設定,諸如最佳化整個設計持續預設數目個最佳化迭代,接著最佳化塊直至每個塊滿足「成本準則」為止(其可達到容許的最大迭代數目,或滿足某一品質準則,或無法充分地改良品質準則),接著再次迭代整個設計持續另一預設數 目個迭代。在另一實例中,策略對準則的某個集合可為適應性的,該準則觀察遮罩設計之狀態及包括最佳化準則的改變速率及改變速率之改變速率的全域及局部最佳化進程,其中在ILT程序進行時各種策略部署有不同的參數及可能亦不同的分塊。
函數樣本陣列
RET之目標為形成遮罩,使得基板中之能量在基板應為清晰(或在負抗蝕劑中為黑暗的)的各處低於臨限值,在基板應為黑暗的(或在負抗蝕劑中為清晰的)各處高於臨限值,且在所要位置過渡經過臨限值。在一些實施例中,平滑函數用以表示清晰區域、黑暗區域及過渡位置。平滑函數為連續的且可微的。在足夠精細之網格上捕獲平滑函數以在容限內定義函數。表示平滑函數之值的陣列在本揭露中應稱作函數樣本陣列(FSA),其為取樣位置處之下層函數之實值或可能複值之陣列。在一些實施例中,將平滑函數實施為帶限函數,其天生為無限地可微的。帶限函數為僅在固定極限內含有頻率分量之函數,此與理論上分量之無限數目相對。帶限函數之本質判定取樣率(網格間距)。本實施例獨特地認識到自遮罩發出之光及由基板吸收之能量的光自然地由平滑函數表示。將目標晶圓圖案、預測之晶圓圖案及CTM模型化為FSA。
利用光學微影之知識允許選擇平滑函數,使得與現有RET方法中使用的相比,在網格上可更粗糙地定義確切函數。微影成像解析度係基於微影成像系統之波長及數值孔徑。在本實施例中,FSA網格具有複數個網格點,且網格點以網格間距間隔開。網格間距可藉由選擇小於微影成像系統之微影成像解析度的過渡距離,及將過渡距離除以諸如自3至6之值來設定,或可基於預定義邊緣置放誤差規範而設定。除數之判定因子為在判定函數與臨限值交叉之處時所需的準確度。此等實施例之關鍵為平滑函數由其在網格點處之值準確地捕獲。此意謂預測之遮罩圖案網格點可直接與目標遮罩圖案網格點進行比較而不必計算遮 罩圖案輪廓之確切位置。藉由有限數目個樣本來準確地表示圖案之能力使得與習知方法相比能夠以較少記憶體及較高速度計算大塊。此實現量測形狀匹配程度之可微成本函數之快速的、確切的及分佈式計算-其可例如基於GPU。
圖2A示出了表示在網格上之平滑函數(x,y)。此函數f(x,y)之圖案的邊緣在其經過如平面230所示之臨限值處出現。此平面230可可視化為表面之等高曲線z=常数,該表面由z=f(x,y)定義。圖2A示出了典型的平滑函數200及函數與平面230之相交。網格點位置220為網格線210彼此相交之處。在此實例中,其中0.5為平面230之形狀等高水準,平滑函數200在目標形狀內具有
Figure 107144580-A0305-02-0017-2
0.5之值,且在目標形狀外具有<0.5之值。此三維圖將平滑函數示出為z方向上之高度,其中高度表示網格點220處之取樣值。可以函數樣本陣列(FSA)捕獲平滑函數200之網格點處之值的集合。圖2B之2-D曲線圖235示出了沿著網格線之y平面切片,其切穿L形圖案。選擇網格間距237,使得在函數從z=0至z=1之過渡239上存在多個網格點。多個網格點確保函數過渡經過臨限值之位置在容限內。
圖2A及圖2B中演示之平滑函數可用以表示目標圖案及預測圖案。在一些實施例中,可因為目標圖案函數及預測圖案函數之平滑特性而以分析方式計算成本及成本導數。
本實施例針對自CTM至目標圖案FSA之所有階段基於微影成像系統物理性質形成網格,且具有可靠地重新取樣為較精細網格的能力。因為此,本實施例可在單個計算節點中對大區域起作用。另外,本實施例將諸如193i遮罩之整個遮罩層之極其大的區域之計算分解為塊而不縫合假影。此等可能性對於光罩增強技術行業為不明顯的,因為存在多個絆腳石待解決,諸如在無超精細網格之情況下準確的基於網格之圖案表示,及在運行中可靠地內插為較精細網格。例如,替代於如先前技術中典型的將1-4nm取樣網格用於193i微影之RET,在 本實施例中,可使用10nm級之取樣網格。網格取樣之此放大將5倍至100倍或更多保存在所需記憶體中。
自輸入之目標幾何形狀生成目標晶圓圖案之FSA。圖2C以三維示出了樣本目標幾何形狀240,如其將在形狀內之任何事物具有值1且形狀外之任何事物具有值0的情況下看起來的樣子。由於該表示不可實現,因此本實施例將諸如高斯模糊之低通濾波器應用於幾何形狀以生成圖2D之平滑函數250。由圖2D之平滑函數250得到的圖案260藉由與圖2C之目標幾何形狀240進行比較而經準確地捕獲為所見的樣子。
使用微影系統模型自CTM生成預測之晶圓圖案的FSA。預測之晶圓圖案FSA經竄改以具有類似於目標圖案FSA之特性,諸如形狀內之值接近1,形狀外之值接近0,且在此等區之間具有平滑過渡。此竄改防止預測圖案中之值0.15與清晰(或在負抗蝕劑中為黑暗)區域中之目標圖案中之值0.0失配。關鍵之僅有值在函數過渡經過臨限值處。因此,當預測之晶圓圖案FSA之網格點處的值與目標晶圓圖案FSA之值匹配時,遮罩將在基板上準確地形成所要圖案。FSA中之平滑函數表示支援最佳化值而在目標遮罩圖案中無邊緣位置之任何明確消息。
快速傅立葉變換之使用
本實施例獨立於美國專利第7,856,612號,該美國專利係基於以下見識,即微影成像系統之物理性質可高度適應於2維傅立葉(平面波)基以表示所有數量,因此引起使用快速傅立葉變換(FFT)方法來進行高效的計算。駕馭線性向量空間之機器存在大的價值,且本方法藉由將CTM表示為正交基函數之完整集合的線性組合而利用此優點。微影成像之物理性質尤其適合於2-D傅立葉基,因為僅具有空間頻率f
Figure 107144580-A0305-02-0018-3
f切割之分量將有助於投影影像,其中f切割為系統解析度 截止值。截止值取決於照明及投影光學元件之細節,但對於具有波長為λ之準單色光的部分相干成像及具有數值孔徑NA之成像系統,通常f切割
Figure 107144580-A0305-02-0019-4
2NA/λ。
使用傅立葉基集合之兩個主要益處為計算影像所需之卷積現在在傅立葉空間中為簡單的乘法,及高效FFT實施方案通常可獲得。最計算密集之最佳化工作可僅藉由微影成像截止值內之低頻遮罩分量執行。自微影成像截止值進一步獲得啟發,基於傅立葉之本方法的一些實施例尋找在帶限於f切割之CTM中捕獲的最佳遮罩函數。一旦找到此,CTM可用以在單獨的程序中產生可製造之實體遮罩。
在一些實施例中,因為對於用以表示帶限遮罩函數之網格避免過量超取樣,且接著如計算圖案及成本密度所需的將網格內插為較精細網格而獲得效率。應首先呈現帶限函數之離散取樣及其自此等樣本之重新建構的背景簡介。
考慮帶限函數h(x)及其傅立葉變換
Figure 107144580-A0305-02-0019-5
(f),如圖3(a)所示。圖中之雙向箭頭指示左圖及右圖為傅立葉變換對。藉由將函數h(x)與取樣函數△(x)相乘而對函數h(x)取樣,取樣函數△(x)為以「l」間隔開之一系列狄拉克δ函數,如圖3(b)所示。圖3(c)示出了取樣之函數及其變換。此實例中無疊頻,因為卷積影像不重疊,此為建立奈奎斯準則之條件。現示出h(x)自其樣本之重新建構。參考圖3(d),將頻譜乘以理想的低通濾波器Π(f)以藉由過濾掉較高階卷積影像來消除除了基頻頻譜之外的全部。此對應於將取樣之函數與sinc(x/l)進行卷積。圖3(e)所示之結果與圖3(a)之初始函數匹配。
FFT直接對樣本值起作用但將資料視為在分析之資料之間隔外部為週期性的。存在一類函數,對於該類函數FFT及連續傅立葉變換相同(在可能的標度常數內)且因此對於該類函數中間值之重新建構將為確切的。在該類函數中,函數h(x)必須為週期性的,h(x)必須為帶限的,取樣率必須至少為h(x)之最大 頻率分量的兩倍,且資料間隔必須為h(x)之整數個週期。最後一個要求相當於不存在因為施加週期性邊界條件(PBC)導致的失配。
來自施加之PBC的值失配為值從邊界之一側跳躍至另一側。在重新建構之函數中在此等邊界附近存在振鈴,其等效於步驟不連續性之吉布斯現象。來自PBC之任何失配將表現得完全像樣本點之間的中點處之步驟不連續性一樣。圖4提供了示出方形脈衝之樣本及樣本值之突然跳躍附近的傅立葉內插之結果的圖示。平滑曲線為與樣本一致的帶限週期性(在整個間隔內)函數,且其示出吉布斯現象之振鈴特性。
為了減少振鈴,一些實施例藉由包絡因子局部化傅立葉內插核。本文中應描述高斯包絡因子,但可使用其他的。此外,可使用其他內插方法(諸如樣條、多項式、有理函數等等)以代替傅立葉內插。傅立葉內插核可藉由將高斯包絡因子exp(-x 2/s 2)應用於普通的sinc(x)核而局部化,此平滑地且強烈地限制其範圍。基本上,此為圖3(d)對圖5所示之傅立葉變換對的修改,其中寬度參數在此實施例中已設定為s=4。此寬度在內插準確度與給出完全收斂之結果所需的增加之暈厚度(即,增加至塊之邊界層,如稍後章節中應描述)之間提供折衷。在頻率空間中,寬度調整低通濾波器之誤差函數滾落的寬度。為了準確地重新建構最高頻率分量,函數可帶限於濾波器之平頂。使用此方法,近似值為均勻的,因為樣本之相同範圍用以到處內插,且保存原始樣本點處之值。
圖6以圖形示出了在本方法中執行什麼操作來使用高斯局部內插重新建構函數。圖6類似於圖3,不同之處在於步驟(d)。因此,圖3(a)、圖3(b)、圖3(c)及圖3(e)之描述適用於圖6(a)、圖6(b)、圖6(c)及圖6(e)。在步驟(d)中,低通濾波器在實際空間中為lpf(x)=exp(-x 2/s 2)sinc(x),且在頻率空間中為
Figure 107144580-A0305-02-0020-8
。亦即,本方法涉及高斯局部內插傅立葉變換對。
圖7為用於光罩增強技術之方法的實例流程圖700,其中以FSA捕獲平滑函數且將其用於目標圖案及將用以產生目標圖案(例如,目標晶圓圖案)之遮罩。例如,流程圖700描述了將目標晶圓圖案或預測之晶圓圖案表示為作為FSA捕獲之平滑函數的方法,其中FSA為函數值之陣列,函數值可為實數、複數或數之集合體。在步驟710中,輸入將用於光罩增強技術之目標圖案,諸如圖案711。目標圖案711可包括設計之許多圖案(例如,目標圖案711中之個別矩形及正方形圖案),如圖7所示,諸如半導體晶片之整個遮罩層,或可為待刻寫至表面上之單個圖案,諸如圖2C所示之個別L形圖案。接下來,在圖7中,在步驟720中計算目標圖案之目標圖案FSA。在步驟720中生成目標圖案FSA在一些實施例中可包括將低通濾波器應用於目標圖案。目標圖案函數週期性地表示為圖7中之函數721,其中函數721與目標圖案711相比稍有模糊。目標圖案函數721帶限於低通濾波器之頻寬,且在具有第一取樣率之圖案網格上取樣,第一取樣率可至少為低通濾波器之頻寬的兩倍。低通濾波器頻寬可經設定以維持邊緣位置且允許與微影系統特性或藉由目標圖案提供之規範一致的拐角之圓化。
在步驟730中,計算CTM731。CTM731可藉由初估值(諸如恆定值)、應用於目標圖案之低通濾波器、先前判定之CTM(例如,先前計算之初步結果),或經由其他方式(例如,當解決現有遮罩設計中之熱點或檢查另一系統提供之解決方案時)獲得之低通濾波之遮罩而初始化。
在步驟740中,自CTM及系統模型計算預測圖案FSA(表示預測之晶圓圖案)。
在步驟750中,將目標圖案FSA與為CTM計算之預測圖案FSA進行比較。目標圖案FSA與預測圖案FSA之比較使用圖案網格之網格點。比較可包括使用目標圖案函數及預測圖案函數來計算成本密度函數。可使用CTM、微影成像系統模型及抗蝕劑程序模型生成預測圖案函數(FSA)。
圖8A至圖8B提供了關於生成本實施例之FSA(諸如目標遮罩圖案)之平滑函數的細節。為了簡單起見,以1-D示出曲線圖,其中x軸表示空間位置且y軸表示平滑函數之值。平滑函數藉由對低通濾波器進行卷積而生成,其亦可描述為應用模糊。圖8A具有示出平滑後之目標圖案表示的三條曲線。曲線810為理想目標圖案,其在此實例中在空間位置x=+0.5及-0.5處具有邊緣。曲線820為將(1/e)寬度w=1/10之高斯模糊應用於曲線810之後的結果,且曲線830為在藉由(1/e)寬度=1/3之較寬高斯模糊曲線810之後的結果。注意,所有曲線810、820及830在y值0.5處在理想的邊緣位置處相交,y值0.5為任意選擇之結果,對於此實例實施例,y值之值1指示形狀內部,且y值之0為形狀外部。除了任何其他考慮,幾何目標形狀可因此表示為平滑曲線在y值0.5處之輪廓。高斯模糊意謂曲線在空間頻率上有效地受限制;實際上,模糊使頻譜在頻率單位上乘以1/e寬度(1/πw)之高斯。用以定義高斯濾波器頻寬之20dB衰減的準則對應於在自大約0至大約1之平滑邊緣過渡中使用3至4個樣本點以幾乎完美地捕獲平滑曲線820及830。
圖8B之圖示出了圖案可如何保持為最平滑之表示(模糊寬度1/3)但接著藉由使模糊曲線之y值經過軟臨限值化函數而銳化至非常類似於模塊寬度1/10之某物(曲線820)。結果為曲線832,其看起來幾乎完全像圖8A之曲線820之較窄模糊的結果,且其在遠離邊緣之全部值處為平坦的。此對於進行比較為好的而無習知方法所使用之明確輪廓追逐。使用此模糊技術,本方法可採用兩條曲線之間的差異,該等曲線在風格上類似於模糊1/10曲線(曲線820)或銳化之模糊1/3曲線(曲線832)以檢驗兩個圖案之間的失配程度。
最佳化CTM
本實施例利用對提出之解決方案進行迭代直至達到所要結果的最佳化技術。將提出之解決方案作為CTM捕獲。藉由將預測圖案與目標設計圖案之 FSA進行比較來判定合意性之量測。FSA之比較涉及可能在某一容限內比較表示兩個函數之等效網格點處的值。所描述之程序的目標為將成本降低至儘可能接近0。其他技術可能使用不同的成本度量收斂。
圖9A為用於光罩增強技術之方法的實例流程圖900,其中FSA用於涉及為晶圓上之目標圖案生成遮罩的所有步驟。在步驟910中,輸入諸如用於晶圓微影之基板微影系統模型。基板微影系統模型包括光學、EUV或其他微影系統模型、抗蝕劑程序模型及預測基板上之由遮罩得到之印刷圖案所需的任何其他模型中之一或多者。基板微影系統模型中包括之模型根據規範可為複雜的實體準確的模型、較簡單之經驗模型,或任何其他層次之模型,包括去除其對最終結果之大多數或所有影響的零模型。基板成像系統模型可包括諸如波長、照射圖案、數值孔徑、折射率等參數。
步驟920包括輸入將使用基板微影程序形成在基板上之目標圖案,目標圖案在設計區域內。在一些實施例中,目標圖案包括晶圓上之複數個圖案,且設計區域包括半導體晶片之遮罩層的大區段,諸如整個遮罩層。在步驟920中,在本揭露之一些實施例中,可執行目標圖案之某些幾何操縱。例如,可在步驟930中及稍後之最佳化步驟之前預先計算考慮基板之處理期間的蝕刻效應之邊緣偏置。
在步驟930中,為目標圖案(諸如目標晶圓圖案)計算目標圖案FSA。在一些實施例中,目標圖案函數之計算包括將低通濾波器(其亦可稱作模糊)應用於目標圖案,如關於圖8A所描述。低通濾波器可為例如高斯濾波器或在空間及頻率上較好地局部化之任何其他濾波器。
在步驟940中,計算CTM(即,提出之遮罩),如關於圖7之步驟730所解釋。
在步驟950中,基板微影系統模型用以計算將由CTM在基板上產生之預測圖案FSA。在一些實施例中,預測圖案FSA(例如,預測之抗蝕劑圖案函數)之計算可包括使用基板成像系統模型自CTM計算投影影像函數。投影遮罩影像FSA及抗蝕劑程序模型接著用以計算由投影影像函數產生之預測圖案FSA。投影影像函數之計算可根據計算方法或投影影像之後續使用的需要利用局部傅立葉內插來轉到較精細網格。
在步驟960中,使用目標遮罩圖案FSA及預測之遮罩圖案FSA計算成本,且亦計算成本相對於CTM之函數導數。成本可為例如總成本。成本可由平滑函數表示。在一些實施例中,成本可為總體成本資料,其可包括例如局部部分成本、成本密度及成本梯度。在一些實施例中,函數導數之計算考慮設計區域周圍之邊界區域中的相鄰圖案資訊。在一些實施方案中,成本之計算包括使用目標圖案函數及預測之抗蝕劑圖案函數來計算成本密度函數,及對設計區域內之成本密度函數求積分。成本密度函數之計算可包括目標圖案函數與預測之抗蝕劑圖案函數之間的方差、此等差之絕對值,或產生正值之任何公式,該等正值在圖案匹配之情況下趨向於零且在圖案不匹配之情況下趨向於較大之數。亦可根據藉由目標圖案提供或自目標圖案導出之其他資訊對此等成本密度值進行加權。例如,權重可用以強調符合邊緣並降低匹配拐角之重要性。
在步驟970中,將成本及函數導數與成本準則進行比較。換言之,此比較判定預測圖案與所要圖案之間的失配。成本準則可包括使成本收斂為接近最小值之值,或最小化函數導數或其分量之量值。亦即,當進一步迭代與先前解決方案之變化不超過某一量時,可認為滿足成本準則。在一些實施例中,成本準則可包括評估成本密度函數之值在設計區域內的分佈。成本準則亦可定義為失配量,例如指定可接受量,諸如幾何值或百分比。
應注意,在流程圖900中,變化為可能的。例如,步驟910及920順序可互換。在本揭露之一些實施例中,步驟930可為空步驟。步驟940及950可組合為一個步驟。在步驟960及970中,計算導數為可選的。其他計算可在步驟960及970中進行以有助於對CTM進行迭代。
在一些方法中,可藉由在步驟935中將軟臨限值化函數應用於目標圖案函數以銳化目標圖案函數之邊緣以在步驟960之成本的計算之前生成具有較清楚邊緣之目標圖案函數。圖8B中示出了此臨限值化。軟臨限值化使編碼圖案轉為較高解析度函數,其在遠離邊緣過渡處較無特徵,因此對輪廓給出較多權重而無需直接判定輪廓。此允許以比用於進行比較時低的網格解析度儲存目標圖案函數。在任何應用之銳化及預測之抗蝕劑圖案函數之後在步驟960中使用目標圖案FSA計算成本,諸如總成本。
在將目標圖案FSA臨限值化之實例中,藉由將低通濾波器應用於目標圖案使得目標圖案函數帶限於低通濾波器之頻寬而生成步驟930中之目標圖案FSA。在具有第一取樣率之第一圖案網格上對目標圖案函數取樣,對於此頻寬第一取樣率可在奈奎斯速率處或高於奈奎斯速率,且在具有高於第一取樣率之第二取樣率的第二圖案網格上對步驟935中生成之臨限值化的目標圖案函數取樣。軟臨限值化函數可為例如銳化目標圖案中之最小值與最大值之間的過渡之S形函數。例如,臨限值化之目標圖案函數之斜率可在目標圖案中之最小值與最大值之間的過渡處增大,因此銳化目標圖案函數之邊緣。
軟臨限值化使得函數能夠更緊密地符合預測之抗蝕劑圖案函數的結果。可將軟臨限值化實施為將0映射至「0」(軟範圍),將1映射至「1」,將臨限值映射為臨限值(例如,½至「½」);且可實施為基於高斯誤差函數之平滑的單調遞增切換函數、雙曲線正切,或一般技術者可想到之任何其他S形函數。在一 些實施例中,此第一軟臨限值化函數亦可應用於預測之抗蝕劑圖案函數以生成第二預測之抗蝕劑圖案函數以用於與目標圖案進行比較。
返回圖9A之步驟970,若不滿足成本準則,則藉由使用成本之函數導數對如何修改遮罩提供指導而在步驟990中修改CTM以降低成本來迭代方法,如步驟980所指示。此將使用導數計算且使用任何合適的演算法,諸如共軛梯度以挑選自當前遮罩參數移動以降低成本的「指導」。成本或對成本之部分貢獻可明確地用於此程序,或梯度分量,或兩者中。在一些實施例中,步驟960包括計算遮罩形狀之可印刷性及對製造變化之彈性,其將用作最佳化成本之一部分。CTM在CTM之某個臨限值或多個臨限值處的大小、間距及斜率為此成本中之成分的實例。步驟950、960、970、980及990接著將如循環「A」指示而重複直至滿足成本準則為止。每一迭代對CTM之修改可考慮除了函數導數之外的進一步因素,諸如關於先前計算之解決方案的歷史資料。接著在步驟995中輸出最終CTM。接著可將CTM資料「合法化」為可更可靠地製造之遮罩圖案。在一些實施例中,步驟995包括產生可更可靠地製造之遮罩的單獨步驟。此步驟之實例為迫使所有形狀及間距「接合」以遵守指定之最小值。藉由併入此等因素作為步驟960中之最佳化循環期間的成本,接合量將可忽略,其中對預測圖案FSA之所得品質的影響可忽略。亦可進一步處理此等遮罩圖案以併入遮罩製造影響(諸如遮罩蝕刻偏置)之某一MPC。步驟995可包括所有MPC。合法化步驟之輸出可呈驅動電子束機器,諸如自CTM直接生成曝光指令之資料的形式。
圖9B提供了流程圖900中描述之步驟的實例說明。目標圖案幾何形狀921為在步驟920中輸入之目標圖案的實例,其中在此實施例中目標圖案幾何形狀921包括若干矩形形狀。目標晶圓圖案FSA 931對應於在步驟930中生成之目標函數。在步驟940中生成初始CTM 941,且在步驟950中藉由初始CTM 941產生預測圖案FSA 951A。圖951將預測圖案FSA 951A示出為開放曲線形 狀,且將目標晶圓圖案FSA 951B示出為交叉影線形狀。如951中所示之預測圖案FSA 951A與目標晶圓圖案FSA 951B之間的差異用以在步驟960中計算成本及成本之函數導數。若在步驟970及980中不滿足成本準則,則在步驟990中計算修改後之(改良後之)CTM 991。接著對循環A進行迭代,其中在步驟950中使用改良後之CTM 991計算修改後之預測圖案FSA。類似於圖951,圖952示出修改後之預測圖案FSA 952A與目標晶圓圖案FSA 952B之間的差異。看不見開放形狀,此指示修改後之(及改良後之)預測圖案FSA 952A與目標晶圓圖案FSA 952B足夠接近以致在圖951中之差異不可見。改良後之預測圖案FSA 952A與目標晶圓圖案FSA 952B之間的差異用以判定是否滿足成本準則。應注意,在圖9B中,將函數示出為幾何形狀之習知輪廓,其中在此實例中輪廓以抗蝕劑曝光臨限值水準示出。此等輪廓示出了如何使用本方法改良圖案形狀。然而,如本揭露全文中所解釋,本方法之一些實施例使用FSA執行計算而非與幾何輪廓合作。
分佈式處理
本實施例之態樣為作為在常規網格上捕獲之FSA之資料表示的組合,該網格高效地遞送資料及自分佈式程序之每一程序接收資料。
如先前所述,在一些實施例中,整個遮罩層之整個CTM及目標遮罩圖案保持在記憶體中。為了預測CTM之遮罩圖案及將預測之晶圓圖案與目標晶圓圖案進行比較,本實施例將設計分解為塊。儘管應在CTM方面描述經由分佈式處理最佳化整個設計之本實施例,但實施例亦可應用於除了本文中描述之CTM之外的提出之遮罩的類型。
當對整個圖案之特定塊執行計算時,可以較高速率對FSA之區段取樣。例如,可將圖案分割為複數個塊,且在分佈式程序中執行對複數個塊之計算。分佈式程序獨立地操作,且許多程序可同時運行。在計算群集之節點上並行地處理多個塊。在複數個塊中之任何塊中,按設計寬網格間距遞送CTM及目標遮罩 圖案FSA,但當需要更詳細的計算時,可按任何間距計算FSA之值。在設計寬網格間距上返回分佈式程序之結果。亦即,當對特定塊執行計算時對於較高解析度計算可增大取樣率,但FSA之額外值(較高取樣率)在整個圖案之計算期間無需儲存在記憶體中。此節省記憶體且使得能夠在分佈式程序中計算整個遮罩層。可藉由以下操作來執行向上取樣:經由FFT演算法採用離散傅立葉變換,經由週期性擴展將變換擴展至對應於較高取樣率之較高頻率,將結果在頻率空間中乘以低通濾波器,該低通濾波器對應於在實際空間中乘以局部高斯之理想濾波器,及經由FFT演算法應用逆離散傅立葉變換。可藉由向塊之邊界增加更多使得失配在離塊邊緣指定數目個高斯寬度處發生而將塊之間的縫合誤差減少至消除之點。以上內容描述了高斯局部化因子之使用,但限制空間範圍之其他形式可為合適的,如熟習此項技術者所知道的。取樣率亦設定得高於奈奎斯最小速率,使得函數頻寬在頻率空間中且按指定準確度待在濾波器之平坦部分內。
本方法因為常規網格結構化計算而實現圖形處理單元(GPU)加速。FSA有助於GPU計算,因為可同時處理許多網格。計算涉及單指令多資料(SIMD)操作而無輪廓追逐。經由高度最佳化之FFT實現確切的函數重新取樣。GPU計算時間因為資料傳送時間減少而大大地減少,因為需要保持在記憶體中之網格樣本資料的量係基於僅使用確切地表示函數所必要的最粗糙之網格。去往/來自GPU之資料傳送的最小化為重要的,因為GPU在計算方面特別快但通常受其資料傳送速率限制。本方法與習知方法相比使可適合給定記憶體大小之塊的面積增大30至100倍,其中負擔對應地減小5倍至10倍且塊之間的縫隙對應地減小5倍至10倍。
經由FFT使用局部化傅立葉內插及將失配邊界之影響限制於指定距離的局部化函數允許計算對無論哪個最合適的解析度網格進行操作,且僅將持續最佳化的數量儲存在其最小網格上。若無此,則整個遮罩層變得不可能滿足記 憶體要求。本方法之另一益處為成本函數及其導數之計算使用具有足夠重疊之大塊為分佈式的,以允許微影成像鄰近範圍及局部化傅立葉內插範圍,同時仍在整個遮罩層內,而非逐個塊地藉由縫合最佳化所有遮罩參數。
使用分解為具有暈之塊,可執行對每個塊對成本函數及導數之貢獻的獨立評估,且帶限平滑函數之益處允許單個節點因為記憶體效率而保持大設計區域之值。分塊亦諸如使用GPU而實現計算加速,其由常規的基於網格之計算及FFT之利用按需要進一步實現。對塊之獨立評估亦意謂可使用多個節點以首先處置甚至更大的設計區域(此為弱定標,其在類似的時間量內計算較大問題大小)且接著實現塊評估之較並行的執行(此為強定標,其在計算時間對應地減少之情況下較多功能單元與固定問題大小有關時出現)。
最佳化整個設計
圖10A為用於RET之習知分佈式計算的實例流程圖1000,而圖10B為用於藉由本方法而成為可能之全域級別光罩增強技術之分佈式計算的實例流程圖1001。本方法如關於圖10B應描述的對遮罩層之大區段(諸如整個遮罩層)的最佳化進行迭代,以代替如圖10A之先前技術實例中所示的單獨地對每個塊之最佳化進行迭代。在圖10A之習知方法的步驟1010中,表示設計及遮罩。在步驟1020中將遮罩分割為多個塊。在步驟1030a/b...n中個別地對具有大暈區之每個塊進行迭代,其中在步驟1030a/b...n中計算成本及導數,且若在步驟1050a/b...n中成本不滿足成本準則,則在步驟1060a/b...n中修改每個塊的提出之遮罩。在已最佳化每個塊之後,在步驟1070中自塊組合遮罩。因此,在習知方法中,將設計分解為塊且接著個別塊經歷最佳化循環;接著大區域之整個設計自塊組合回一起。關於所有先前技術之系統之眾所周知的問題為縫合。
在用於光罩增強技術之本方法的圖10B中,整個設計在最佳化循環內進行迭代。在每一循環迭代中,自鄰近塊刷新每個塊之暈的資料。因此,由鄰 近塊處理之資料將不存在差異。在流程圖1001之步驟1011中,輸入整個目標晶圓圖案且準備提出之遮罩,諸如連續色調遮罩(CTM)。在一些實施例中,可將目標晶圓圖案及提出之遮罩表示為函數樣本陣列。整個目標晶圓圖案之設計可為例如晶片設計之整個遮罩層。目標晶圓圖案橫跨整個設計區域。步驟1011對應於圖9A之步驟920、930及940。在步驟1021中,將整個設計區域分割為複數「N」個塊。對整個設計區域之提出之遮罩(諸如CTM)進行迭代,如圖10B中之循環「B」所指示,其中在迭代中,每個塊獨立地自任何其他塊計算。步驟1031a、1031b至1031n中之每個塊及其暈區的計算包括計算每個塊之成本及導數資料。步驟1031a/b...n對應於圖9A之步驟950及960。成本及導數資料係基於將目標設計與將由提出之遮罩(例如,CTM)產生的預測設計進行比較。在分佈式程序中計算所有塊。
每一迭代亦包括步驟1041:收集複數個塊中之所有塊的成本及導數資料以計算成本。在一些實施例中,收集之成本包括如步驟960中論述的遮罩之可靠製造性之成本。若在步驟1051中成本不滿足成本準則,則成本及導數資料用以在步驟1061中修改提出之遮罩。步驟1051對應於圖9A之步驟970及980,且步驟1061對應於圖9A之步驟990。接著如循環B所指示對程序進行迭代。在步驟1091中,在已判定成本滿足成本準則之後,將提出之遮罩轉換為輸出之形狀。如步驟995中描述的用於遮罩之可靠製造、MPC或格式輸出之遮罩形狀的進一步處理適用於步驟1091。對圖10B中示出之程序的變化包括:(1)在一些迭代中,不最佳化已滿足最佳化準則且已知未改變其暈區域之塊;(2)在已滿足準則(諸如若干塊滿足最佳化準則)或已執行若干迭代之後,對設計及/或提出之遮罩重新分塊;(3)對於迭代中之一些使用不同的最佳化技術;(4)在繼續最佳化整個設計之前僅最佳化具有高成本之塊以用於新迭代。
在實例實施例中,將目標晶圓圖案或預測之晶圓圖案表示為作為函數樣本陣列(FSA)捕獲之平滑函數,其中該FSA為函數值之陣列,該等函數值可為實數、複數或數之集合體。提供CTM,其中CTM用以產生預測之晶圓圖案,該預測之晶圓圖案橫跨整個設計區域。在一些實施例中,將整個設計分割為複數個塊,且對整個設計區域之CTM進行迭代,直至CTM滿足朝向產生目標晶圓圖案之準則為止。每一迭代包括為至少塊之子集-諸如一個塊,或來自需要最佳化之兩個或更多個塊-計算預測之晶圓圖案,及在下一迭代之前計算子集中之所有塊。在一些實施例中,迭代可包括為每個塊進行計算,其中在下一迭代之前計算所有塊。在一些實施例中,在計算平台上執行計算,該計算平台具有計算平台之所有計算節點之集合的總記憶體,其中集合的總記憶體在所有迭代期間保持整個設計區域之目標晶圓圖案及CTM。在一些實施例中,預測之晶圓圖案的計算進一步包括計算成本及導數資料,成本及導數資料係基於將預測之晶圓圖案與目標晶圓圖案進行比較;及使用成本及導數資料更新該塊之CTM。成本亦可表示為平滑函數,其中成本之平滑函數可不同於目標晶圓圖案、預測之晶圓圖案或CTM之平滑函數。在本揭露中,成本亦可稱作成本函數。在一些實施例中,將CTM表示為作為函數樣本陣列(FSA)捕獲之平滑函數,其中該FSA為函數值之陣列,該等函數值可為實數、複數或數之集合體。CTM之FSA可不同於目標晶圓圖案或預測之晶圓圖案的FSA。例如,目標晶圓圖案可由第一FSA表示,且CTM可表示為第二FSA。
在一些實施例中,每個塊在塊周圍具有暈區;計算係針對每個塊及其暈區而計算;且每一迭代進一步包括在計算預測之晶圓圖案之後更新塊之子集中之個別塊的CTM,及使用個別塊之更新後的CTM來更新與個別塊相鄰之塊的暈區。在某些實施例中,複數個塊中之塊的暈區在該塊周圍具有一厚度,該厚度小至RET之基板微影系統之微影成像鄰近範圍截止值的1.5至4倍。
在一些實施例中,在由圖形處理單元加速之計算節點上執行每個塊之計算。在一些實施例中,將目標晶圓圖案表示為FSA包括將低通濾波器應用於目標晶圓圖案。在一些實施例中,目標晶圓圖案之FSA帶限於基板微影系統之空間頻率截止值,且可選地可在滿足奈奎斯準則之網格上取樣。在一些實施例中,目標晶圓圖案係針對半導體晶片之遮罩層。
在其他實例實施例中,用於RET之方法包括輸入目標晶圓圖案,該目標晶圓圖案橫跨整個設計區域。將整個設計分割為複數個塊,每個塊在該塊周圍具有暈區。對該整個設計區域之提出之遮罩(其可為CTM)進行迭代,直至該提出之遮罩(例如,CTM)滿足朝向產生目標晶圓圖案之準則為止。每一迭代包括為複數個塊之子集計算預測之晶圓圖案,該子集具有複數個塊中之兩個至所有塊,其中計算係針對子集中之每個塊及其暈區執行。每一迭代亦包括更新該塊之提出之遮罩,其中在下一迭代之前計算該子集之所有計算。該子集可包括複數個塊中之兩個或更多個塊,諸如多達所有塊。例如,需要進一步最佳化或尚未超過某一迭代量之塊可包括在子集中以用於該迭代之計算。已滿足最佳化準則或已迭代某一次數之其他塊無需包括在每一迭代中。
在一些實施例中,提出之遮罩為連續色調遮罩(CTM),且CTM表示為作為函數樣本陣列(FSA)捕獲之平滑函數,其中該FSA為函數值(諸如實數、複數或數之集合體)的陣列。函數值表徵網格點處之遮罩值。
在圖10B之方法的一些實施例中,提出之遮罩可以除了CTM之外的某一方式表示。例如,提出之遮罩可為具有意欲產生圖案之幾何形狀的可修改遮罩,其中每個形狀之邊緣可在目標圖案邊緣附近經破壞及操縱。
在一些實施例中,將預測之晶圓圖案表示為作為函數樣本陣列(FSA)捕獲之平滑函數,其中該FSA為實數值、複數或數之集合體的陣列。
在一些實施例中,將目標晶圓圖案表示為作為函數樣本陣列(FSA)捕獲之平滑函數,其中該FSA為實數值、複數或數之集合體的陣列。函數值表徵網格點處之目標晶圓圖案值。將目標晶圓圖案表示為FSA可包括將低通濾波器應用於目標晶圓圖案。目標晶圓圖案之FSA可帶限於基板微影系統之空間頻率截止值,且在滿足奈奎斯準則之網格上取樣。
在一些實施例中,用於每個塊之計算進一步包括計算成本及導數資料,成本及導數資料係基於將預測之晶圓圖案與目標晶圓圖案進行比較,其中成本亦表示為平滑函數。在一些實施例中,每一迭代進一步包括使用複數個塊中之個別塊之更新後的提出之遮罩來更新與個別塊相鄰之塊的暈區。在一些實施例中,在計算平台上執行計算,該計算平台具有計算平台之所有計算節點之集合的總記憶體,其中集合的總記憶體在所有迭代期間保持整個設計區域之目標晶圓圖案及提出之遮罩。
分解及邊界條件
FFT意味著施加週期性邊界條件(PBC),必須解決該等週期性邊界條件以成功地使用它們。基板投影模型之空間局部化意謂可藉由將相鄰遮罩區域之暈增加至正在工作之區使得卷積結果在原始區中為正確的而使PBC顯現為基本無害的。描述基板投影模型之濾波器核被視為在頻率上嚴格受帶限,但其影響在空間中亦較好地局部化,至少當考慮其權重時如此。此與「微影成像鄰近效應」之想法一致。微影成像鄰近範圍截止值r切割
Figure 107144580-A0305-02-0033-6
5-10λ/NA之外的遮罩值不影響區中印刷之內容;因此,關於PBC之大多數問題可在區內計算時藉由增加相鄰遮罩區域之暈及值而避免。
為了正確地計算區中之投影影像,在深度為r切割之周圍暈(邊界層)中需要遮罩值。值r切割為空間局部化距離。此在圖11A中針對具有暈區1100之塊進行了說明,其中將厚度為r切割之第一邊界層(暈)1110增加至塊1120使得能夠 計算影像強度。中心影像計算區(塊1120)中之遮罩值的變化將影像該區加第一暈區域內之影像。此係因為光能量在區域內擴散。投射通過遮罩之特定部分的光將使能量穿透至附近之基板上。投射之顯著能量的距離判定暈距離。因此,為了獲得針對中心區(塊1120)之遮罩值的變化之成本的變化,影像需要校正且包括第一暈1110。在本方法中,此條件藉由增加遮罩值之第二擴展之暈區域1130而實現,如圖11B所示。在圖11B中,增加第二暈區域1130獲得擴展之中心區(具有第一暈1110之塊1120)的正確影像值,其又允許正確地計算中心區(塊1120)內之遮罩值的函數導數。
若計算之區具有為整個層之外邊界中之一者的邊界,則應增加待最佳化之新遮罩區域之暈,及另一個以獲得所要遮罩邊界值,諸如完全穿透或阻隔。待最佳化之新遮罩區域將允許本圖案表示模型化方法為邊緣附近之佈局增加次級解析輔助特徵(SRAF),且其在此區域中之目標將為不印刷任何事物。
若每一事物均表示在所需之最精細網格上,則除了可能繁重的記憶體要求之外,一切良好。可用以避免毯覆式超取樣之標準傅立葉內插因為PBC而引起新的漣波問題。自遮罩或影像網格重新取樣為例如較精細之成本函數網格的傅立葉內插可仍為問題,因為其本質上生來為非局部的。因為PBC引起之任何失配將導致僅衰退為1/R之漣波,其中R為離邊界之距離。必須找到解決方案以減輕漣波,或必須將遮罩超取樣至成本函數網格,此使儲存其所需之記憶體量增大一個或兩個數量級或更多。本揭露之實施例藉由應用局部化濾波器,諸如如先前論述之具有高斯包絡因子之理想的低通濾波器而解決此重新取樣問題。
分解而不縫合解決方案
分佈式計算策略存在兩個主要的資料分佈選項來駕馭足夠的計算能力及記憶體以處置建構帶限連續色調遮罩(CTM):1-D條帶分解或2-D塊分解。 1-D分解使得2-D FFT操作本身能夠並行化。本方法實現2-D分解,但不導致如習知方法中出現之縫合問題。
1-D分解需要大量記憶體且可存在對非常大的陣列計算FFT之算術精度問題。跨越多個圖形處理單元(GPU)、中央處理單元(CPU)及計算節點對整個遮罩層進行之1-D分解意欲允許在遮罩層內並行化2-D FFT。此分解之「計算單元」基本上為整個遮罩層,因為每一事物將如同一次性處置一樣進行。詳言之,將計算改良CTM所需之任何臨時數量且在其儲存釋放之前為整個遮罩層保持該等臨時數量。一次性計算整個遮罩層,因此可能不存在縫合問題。
2-D FFT操作涉及沿著一個軸進行所有的1-D FFT,隨後沿著另一軸進行所有的1-D FFT。因此,藉由條帶分解,首先進行所有局部1-D FFT(沿著每一條帶之長度),執行通信步驟以改變分解軸,且接著沿著(現在的局部的)第二軸進行所有1-D FFT。
在有許多2-D FFT將與其他計算一起執行之情況下,存在進行管線之機會,管線隱藏關於重疊計算之通信負擔中的大部分。主要挑戰為儲存以將整個遮罩層之中間數量保持在精細網格上,及對非常大的陣列計算FFT時的可能的精度問題。跨越整個遮罩層進行計算之一個主要優點為不將條帶縫合回一起,因此完全避免了困惱最簡單之2-D分解方法的縫合問題。
在本揭露中,2-D分塊分解不直接一次性處置整個遮罩層,而是實現相同的效應且無任何縫合。2-D分解將所有計算局部化於塊(加其暈),因此此為計算單元。在一些實施例中,可完全獨立地計算對總成本及成本相對於每個塊之遮罩值之導數的貢獻。類似於成本密度之精細網格數量為臨時的,且僅需要足夠記憶體來容納同時工作之若干塊的臨時值。當所有塊已計算了能量及導數時,淨結果將如同將整個遮罩層作為單元處置一樣,而除了為了錯誤恢復或除錯之檢驗點所需的,甚至無需儲存整體之中間值。
可在此點跨越整個遮罩層進行最佳化步驟,繼之以通信階段以更新每個相鄰塊之暈資料以用於下一迭代。更新可涉及藉由記憶體共用、局部複製,藉由分佈式系統中之訊息傳遞,或藉由其他方式與相鄰塊交換塊資料,且若相鄰塊之記憶體為含有兩個塊之陣列的鄰近子陣列,則可能不必交換塊資料。
在一些實施例中,選擇每個塊以允許其局部計算駐存在單個節點GPU上,同時允許管線化GPU計算及向主記憶體及自主記憶體傳送資料。計算可根據速度、記憶體及準確度之需要以雙浮點精度、單浮點精度、半浮點精度或其他浮點精度,或以各種整數格式執行。
本方法使得能夠在非常大的領域內進行無縫最佳化並有效地消除內插時之PBC失配的影響,因此實現2-D並行分解之可行方法,其中每個塊為計算單元,其中臨時記憶體要求限於同時處置之彼等塊,其中整個遮罩層一起最佳化,且其中在最佳化時正在進行之記憶體要求涉及用於帶限遮罩之網格上的一些數量。
圖12中呈現了1-D實例,圖12示出了對長期資料進行內插,諸如高斯局部內插,將該資料作為暈區域重疊之四個線性地相接之塊處置。下層曲線為以1024個樣本點評估之十三個週期的正弦曲線,且所使用之高斯寬度參數為s=4個樣本。將資料分解為具有十六個樣本之重疊暈的四個大小相等之塊。為了清楚起見,圖12(a)中以垂直偏移示出了四個相接之塊,每個塊具有其暈,具有至10倍較精細網格之習知傅立葉內插。應注意塊之暈區中之每一曲線末端的振鈴。圖12(b)示出了針對常規傅立葉內插及高速局部傅立葉內插的如自中心塊區重新組合之曲線。曲線之間的差異太小以致在此標度下看不見。為了進一步研究,圖12(c)中繪製了重新組合之傅立葉內插曲線與理想的正弦曲線之間的差異。差異在塊邊界處達到1%之水準且示出了到每個塊之中心的長距離。相比之下, 圖12(d)所示的高速局部傅立葉內插之差異在塊邊界處僅達到109之一部分且接著自其極其迅速地衰退。
計算系統
本揭露中描述之計算及處理步驟可使用具有合適的電腦軟件之通用電腦作為計算裝置來實施。多個電腦或處理器核亦可並行地使用。在一些實施例中,單獨地或多個地使用之專用硬體裝置可用以按比使用通用電腦或處理器核大的速度執行一或多個步驟之計算。在某些實施例中,專用硬體裝置可為圖形處理單元(GPU)。在其他實施例中,其他專用硬體裝置可用作共同處理器,諸如數位信號處理器(DSP)、張量處理單元(TPU)、場可程式化閘陣列(FPGA),或特殊應用積體電路(ASIC)。
圖13為可用於執行本揭露中描述之計算的計算硬體裝置1300之實例的方塊圖。計算硬體裝置1300包含具有附接之主記憶體1304的中央處理單元(CPU)1302。CPU 1302可包含例如八個處理核,因此增強多線緒之電腦軟體之任何部分的效能。主記憶體1304之大小可為例如64G位元組。CPU 1302連接至高速周邊組件互連(PCIe)匯流排1320。圖形處理單元(GPU)1314亦可連接至PCIe匯流排1320。在計算硬體裝置1300中,GPU 1314可能連接至或可能不連接至圖形輸出裝置,諸如視訊監視器。若未連接至圖形輸出裝置,則GPU 1314可僅用作高速並行計算引擎。與將CPU 1302用於所有計算相比,計算軟體可藉由將GPU 1314用於計算之一部分而獲得顯著較高之效能。CPU 1302經由PCIe匯流排1320與GPU 1314通信。在其他實施例(未示出)中,GPU 1314可與CPU 1302整合,而非連接至PCIe匯流排1320。磁碟控制器1308亦可附接至PCIe匯流排1320,其中例如兩個磁碟1310連接至磁碟控制器1308。最後,區域網路(LAN)控制器1312亦可附接至PCIe匯流排,且提供與其他電腦之十億位元乙太網路(GbE)連接性。在一些實施例中,電腦軟體及/或設計資料儲存在磁碟1310 上。在其他實施例中,可經由GbE乙太網路或諸如無限頻帶之其他連接性解決方案自其他電腦或檔案私服硬體存取電腦程式或設計資料或電腦程式及設計資料兩者。
圖14為用於執行本實施例之計算之系統的另一實施例。系統1400亦可稱作計算設計平台(CDP),且包括主節點1410、可選觀看節點1420、可選網路檔案系統1430及具有GPU能力之節點1440。觀看節點1420可能不存在或替代地僅具有一個節點,或可具有其他數目個節點。具有GPU能力之節點1440可包括一或多個具有GPU能力之節點。每個具有GPU能力之節點1440可為例如GPU、CPU、配對之GPU及CPU、用於CPU之多個GPU,或GPU與CPU之其他組合。GPU及/或CPU可在單一晶片上,諸如具有CPU之GPU晶片,該CPU由該晶片上之GPU加速,或具有使CPU加速之GPU的CPU晶片。GPU可由其他共同處理器取代。
主節點1410及觀看節點1420可經由開關及高速網路(諸如網路1450、1452及1454)連接至網路檔案系統1430及具有GPU能力之節點1440。在實例實施例中,網路1450可為56Gbps網路,1452可為1Gbps網路,且1454可為管理網路。在各種實施例中,可存在更少或更多數目個此等網路,且可存在諸如高速及低速之網路類型的各種組合。主節點1410控制CDP 1400。外部系統可自外部網路1460連接至主節點1410。在一些實施例中,自外部系統發佈工作。在發佈工作之前將工作之資料載入至網路檔案系統1430上,且程式用以分派及監視具有GPU能力之節點1440上的任務。工作之進程可經由圖形界面(諸如觀看節點1420)或由使用者在主節點1410上看見。使用在CPU上運行合適的執行檔之腳本在CPU上執行任務。執行檔連接至GPU,運行各種計算任務,且接著與GPU斷開。主節點1410亦可用以停用任何故障的具有GPU能力之節點1440且接著就像節點不存在一樣操作。
在一些實施例中,用於光罩增強技術之系統包括電腦處理器,該電腦處理器經組態以:接收將用於光罩增強技術之目標晶圓圖案;且為該目標晶圓圖案計算函數樣本陣列(FSA),該目標晶圓圖案之FSA為平滑函數。電腦處理器亦經組態以:計算連續色調遮罩(CTM),其中該CTM表示為作為函數樣本陣列(FSA)捕獲之平滑函數;且將目標晶圓圖案與由CTM產生的預測之晶圓圖案進行比較。在進一步實施例中,將目標晶圓圖案分割為複數個塊,且該電腦處理器進一步經組態以計算複數個塊中之每個塊的成本及導數資料,該複數個塊之計算係在分佈式程序中執行。成本及導數資料係基於將目標晶圓圖案與由CTM產生的預測之晶圓圖案進行比較。
在一般實施例中,系統為電腦處理器,其在一些實施例中可包括圖形處理單元或其他共同處理器以用於執行分佈式計算,諸如並行處理。在一些實施例中,圖形處理單元或其他共同處理器可經組態以與彼此互連以用於快速通信。電腦處理器經組態以接收將用於光罩增強技術之目標圖案,且為該目標圖案生成目標圖案函數,其中該目標圖案函數為FSA。電腦處理器亦經組態以生成CTM且將目標圖案函數與由CTM產生的預測圖案函數進行比較。CTM為平滑函數。
合法化
在本揭露中,CTM可變換為可靠地製造之遮罩。可對CTM(即,提出之遮罩)進行修改以確保遮罩實體上可實現。
在一些實施例中,CTM之迭代最佳化使用與遮罩形狀之可靠製造性相關的成本。在一些實施例中,與遮罩形狀之可靠製造性相關的約束之集合禁止考慮某些形狀。在一些實施例中,在滿足成本準則之後,可進一步修改遮罩形狀以符合遮罩可製造性之確切規範。遮罩可製造性之成本及準則包括但不限於最小大小及間距、容許之最大曲率、最小劑量裕度及遮罩邊緣誤差因子(MEEF)。 美國專利第8,719,739號「Method and System for Forming Patterns Using Charged Particle Beam Lithography」中揭露了MEEF及其他因子之最佳化,該美國專利由本申請案之受讓人擁有。
除了併入ILT期間之遮罩可製造性成本及約束之外,在ILT期間執行MPC及其他遮罩可靠性增強及遮罩資料準備步驟。組合效應可稱作遮罩晶圓共同最佳化。在一些實施例中,實現遮罩晶圓共同最佳化之技術為在美國專利第9,038,003號「Method and System for Critical Dimension Uniformity Using Charged Particle Beam Lithography」中揭露之雙重模擬,該美國專利由本申請案之受讓人擁有。
CTM具有必須轉換為具有容許穿透值之毗連區的連續值範圍。具有固定穿透值之毗連區對應於可製造遮罩上之形狀。容許穿透值取決於遮罩之類型;例如,其按照慣例對於玻璃上鍍鉻遮罩為0或1,或對於6%衰減相移遮罩為
Figure 107144580-A0305-02-0040-7
及1。
在實施例中,此轉換經由正則化實現,正則化由將有利於可製造遮罩之項增加至成本。
所需之主要正則化有利於各處非常接近容許穿透值之遮罩,可能不同之處為自一個容許值至可含有中間值之另一容許值的過渡。在實施例中,引入項,其應稱作「值整形項」,其有利於容許值且有利於具有一個值之區與具有另一值之邊界區之間的短過渡。
在最佳化中使用值整形項選擇之CTM可含有將難以可靠地製造之形狀。在實施例中,引入第二項,其有利於在製造遮罩時將具有良好的劑量裕度之形狀。此項可使用PSF來量測形狀改變了多少且基於改變計算成本。
已詳細參考所揭露之發明的實施例,附圖中已示出本發明之一或多個實例。每一實例已藉由對本技術之解釋,而非作為對本技術之限制而提供。實 際上,儘管已關於本發明之特定實施例詳細描述了說明書,但應瞭解,熟習此項技術者在獲得對以上內容之理解後可易於想到此等實施例之更改、變化及等效物。例如,作為一個實施例之一部分示出或描述的特徵可與另一實施例一起使用以產生又一實施例。因此,本標的物意欲將所有此等修改及變化涵蓋在所附申請專利範圍及其等效物之範疇內。在不脫離本發明之範疇的情況下,對本發明之此等及其他修改及變化可由一般熟習此項技術者實踐,本發明之範疇在所附申請專利範圍中更具體地進行闡述。此外,一般熟習此項技術者將瞭解,以上描述僅作為實例,且不意欲限制本發明。
700:流程圖
710、720、730、740、750:步驟
711:目標圖案
721:函數
731:計算CTM

Claims (37)

  1. 一種用於光罩增強技術(RET)之方法,該方法包括:將一目標晶圓圖案或一預測之晶圓圖案表示為作為一函數樣本陣列(FSA)捕獲之一平滑函數,其中該FSA為函數值之一陣列,該等函數值可為實數、複數或數之一集合體;提供一連續色調遮罩(CTM),其中該CTM用以產生該預測之晶圓圖案,該預測之晶圓圖案橫跨一整個設計區域;將該整個設計區域分割為複數個塊;及對該整個設計區域之該CTM進行迭代,直至該CTM滿足朝向產生該目標晶圓圖案之準則為止,其中每一迭代包含:為該複數個塊之一子集計算該預測之晶圓圖案;其中在下一迭代之前計算該子集中之所有塊。
  2. 如請求項1之方法,其中該計算係在一計算平台上執行,該計算平台具有該計算平台之所有計算節點之一集合的總記憶體,其中該集合的總記憶體在所有迭代期間保持該整個設計區域之該目標晶圓圖案及該CTM。
  3. 如請求項1之方法,其中該計算進一步包含:計算成本及導數資料,該成本及該導數資料係基於將該預測之晶圓圖案與該目標晶圓圖案進行比較,其中該成本亦表示為一平滑函數;及使用該成本及該導數資料更新該塊之該CTM。
  4. 如請求項1之方法,該方法進一步包括將該CTM表示為作為一CTM函數樣本陣列(FSA)捕獲之一平滑函數,其中該CTM FSA為函數值之一陣列,該等函數值可為實數、複數或數之一集合體。
  5. 如請求項4之方法,進一步包含將該預測之晶圓圖案與該目標晶圓圖案進行比較; 其中該計算包含自該CTM FSA計算該預測之晶圓圖案;以及其中該預測之晶圓圖案被表示為該FSA且該目標晶圓圖案被表示為一第二FSA。
  6. 如請求項1之方法,其中:每個塊在該塊周圍具有一暈區;該計算係針對每個塊及其暈區計算的;且每一迭代進一步包含:在計算該預測之晶圓圖案之後,更新塊之該子集中之一個別塊的該CTM;及使用該個別塊之該更新後的CTM來更新與該個別塊相鄰之塊的該等暈區。
  7. 如請求項6之方法,其中該暈區在該塊周圍具有一厚度,該厚度為用於該RET之一基板微影系統之一微影成像鄰近範圍截止值的1.5至4倍。
  8. 如請求項1之方法,其中每個塊之該計算係在由一圖形處理單元加速之一計算節點上執行。
  9. 如請求項1之方法,其中將該目標晶圓圖案表示為一FSA包含將一低通濾波器應用於該目標晶圓圖案。
  10. 如請求項1之方法,其中該目標晶圓圖案之該FSA帶限於一基板微影系統之一空間頻率截止值,且在滿足一奈奎斯準則之一網格上取樣。
  11. 如請求項1之方法,其中該目標晶圓圖案係針對一半導體晶片之一遮罩層。
  12. 一種用於光罩增強技術(RET)之方法,該方法包括:a)輸入一目標晶圓圖案,該目標晶圓圖案橫跨一整個設計區域;b)將該整個設計區域分割為複數個塊,每個塊在該塊周圍具有一暈區;c)對該整個設計區域之一提出之遮罩進行迭代,直至該提出之遮罩滿足朝 向產生該目標晶圓圖案之準則為止,其中每一迭代包含:為該複數個塊之一子集計算一預測之晶圓圖案,該子集具有該複數個塊中之兩個至所有塊,其中該計算係針對該子集中之每個塊及其暈區執行;及更新該塊之該提出之遮罩;其中在下一迭代之前計算該子集中之所有塊;其中該提出之遮罩為一連續色調遮罩(CTM),且d)將該CTM表示為作為一函數樣本陣列(FSA)捕獲之一平滑函數,其中該FSA為函數值之一陣列,該等函數值可為實數、複數或數之一集合體。
  13. 如請求項12之方法,該方法進一步包括將該預測之晶圓圖案表示為作為一預測之晶圓圖案函數樣本陣列(FSA)捕獲之一平滑函數,其中該預測之晶圓圖案FSA為函數值之一陣列,該等函數值可為實數、複數或數之一集合體。
  14. 如請求項13之方法,該方法進一步包括將該目標晶圓圖案表示為作為一目標晶圓圖案函數樣本陣列(FSA)捕獲之一平滑函數,其中該目標晶圓圖案FSA為函數值之一陣列,該等函數值可為實數、複數或數之一集合體。
  15. 如請求項14之方法,其中將該目標晶圓圖案表示為一目標晶圓圖案FSA包含將一低通濾波器應用於該目標晶圓圖案。
  16. 如請求項14之方法,其中該目標晶圓圖案FSA帶限於一基板微影系統之一空間頻率截止值,且在滿足一奈奎斯準則之一網格上取樣。
  17. 如請求項12之方法,其中用於每個塊之該計算進一步包含計算成本及導數資料,該成本及該導數資料係基於將該預測之晶圓圖案與該目標晶圓圖案進行比較,其中該成本亦表示為一平滑函數。
  18. 如請求項12之方法,其中每一迭代進一步包含使用該複數個塊中之一個別塊之該更新後的提出之遮罩來更新與該個別塊相鄰之塊的暈區。
  19. 如請求項12之方法,其中該計算係在一計算平台上執行,該計算平台具有該計算平台之所有計算節點之一集合的總記憶體,其中該集合的總記憶體在所有迭代期間保持該整個設計區域之該目標晶圓圖案及該提出之遮罩。
  20. 一種用於光罩增強技術(RET)之系統,該系統包括:一電腦處理器,該電腦處理器經組態以:接收將用於光罩增強技術之一目標晶圓圖案,其中該目標晶圓圖案分割為複數個塊;為該目標晶圓圖案計算一函數樣本陣列(FSA),該目標晶圓圖案之該FSA為一平滑函數;計算一連續色調遮罩(CTM),其中該CTM表示為作為一函數樣本陣列(FSA)捕獲之一平滑函數;計算該複數個塊中之每個塊的一成本及導數資料,該複數個塊之該計算係在一分佈式程序中執行;且將該目標晶圓圖案與由該CTM產生的一預測之晶圓圖案進行比較;其中該成本及該導數資料係基於將該目標晶圓圖案與由該CTM產生的該預測之晶圓圖案進行比較。
  21. 一種用於光罩增強技術(RET)之方法,其用於一基板微影系統,該方法包括:將一目標晶圓圖案或一預測之晶圓圖案表示為作為一函數樣本陣列(FSA)捕獲之一平滑函數,其中該FSA為該平滑函數之取樣值之一陣列,其為一連續可微函數;以及提供一連續色調遮罩(CTM),其中該CTM用以產生該預測之晶圓圖案,該預測之晶圓圖案橫跨一整個設計區域。
  22. 如請求項21之方法,進一步包含決定該CTM,其中該CTM捕 獲連續地變化之振幅穿透係數圖之值。
  23. 如請求項21之方法,其中該FSA被帶限於一基板微影系統之一空間頻率截止值且在滿足奈奎斯準則之網格上被取樣。
  24. 如請求項21之方法,進一步包含輸入一目標幾何形狀,其中針對該目標晶圓圖案之該FSA係自輸入之目標幾何形狀生成。
  25. 如請求項21之方法,進一步包含將該CTM表示為作為一第二函數樣本陣列(FSA)捕獲之一第二平滑函數,其中該第二FSA為該第二平滑函數之取樣值之一陣列,其為一連續可微函數。
  26. 如請求項25之方法,其中該預測之晶圓圖案被表示為該FSA且該目標晶圓圖案被表示為一第三FSA。
  27. 如請求項21之方法,其中該目標晶圓圖案或該預測之晶圓圖案之該FSA具有複數個網格點,且該複數個網格點以網格間距間隔開,其中該網格間距係設定為小於該微影成像系統之一微影成像解析度的一過渡距離。
  28. 如請求項21之方法,進一步包含自該CTM計算該預測之晶圓圖案。
  29. 如請求項28之方法,其中該計算進一步包含:計算一成本,該成本係基於將該預測之晶圓圖案與該目標預測之晶圓圖案進行比較,其中該成本表示為一第三平滑函數;以及使用該成本更新該CTM。
  30. 如請求項29之方法,其中該計算進一步包含計算一導數資料,該導數資料係基於將該預測之晶圓圖案與該目標預測之晶圓圖案進行比較。
  31. 如請求項29之方法,其中該預測之晶圓圖案被表示為該FSA且該目標晶圓圖案被表示為一第四FSA。
  32. 如請求項28之方法,進一步包含對該整個設計區域之該CTM進 行迭代直至該CTM滿足朝向產生該目標晶圓圖案之準則為止。
  33. 如請求項32之方法,該計算係在包含複數個計算節點之一計算平台上執行,該複數個計算節點具有該計算平台之所有計算節點之一集合的總記憶體,其中該集合的總記憶體在所有迭代期間保持該整個設計區域之該目標晶圓圖案及該CTM。
  34. 如請求項32之方法,進一步包括:將該整個設計區域分割為複數個塊,其中該迭代包含為該複數個塊之一子集計算該預測之晶圓圖案;以及其中在下一迭代之前計算該子集中之所有塊。
  35. 如請求項34之方法,其中該複數個塊之該子集中的每個塊在該塊周圍具有一暈區,其中針對每個塊的計算包括其暈區,且其中每個迭代進一步包含:在計算針對一個別塊之該預測之晶圓圖案之後,更新塊之該子集中之該個別塊的該CTM;以及使用該個別塊之更新後的該CTM來更新與該個別塊相鄰之塊的該等暈區。
  36. 如請求項35之方法,其中該暈區在該塊周圍具有一厚度,該厚度為用於該RET之一基板微影系統之一微影成像鄰近範圍截止值的1.5至4倍。
  37. 如請求項34之方法,其中該複數個塊之該子集中的一塊之該計算係在由一圖形處理單元加速之一計算節點上執行。
TW107144580A 2017-12-22 2018-12-11 用於光罩增強技術之方法及系統 TWI840340B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/853,311 2017-12-22
US15/853,311 US10657213B2 (en) 2017-12-22 2017-12-22 Modeling of a design in reticle enhancement technology

Publications (2)

Publication Number Publication Date
TW201937269A TW201937269A (zh) 2019-09-16
TWI840340B true TWI840340B (zh) 2024-05-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050076321A1 (en) 2002-01-18 2005-04-07 Smith Bruce W. Method of photomask correction and its optimization using localized frequency analysis

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050076321A1 (en) 2002-01-18 2005-04-07 Smith Bruce W. Method of photomask correction and its optimization using localized frequency analysis

Similar Documents

Publication Publication Date Title
US10909294B2 (en) Modeling of a design in reticle enhancement technology
US11301610B2 (en) Methods for modeling of a design in reticle enhancement technology
TWI360759B (en) Optical proximity correction using progressively s
JP5658317B2 (ja) モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
KR101330344B1 (ko) 포토리소그래피용 시스템, 마스크 및 방법
Pang et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day
US11953824B2 (en) Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US8073288B2 (en) Rendering a mask using coarse mask representation
US8826196B2 (en) Integration of optical proximity correction and mask data preparation
TW202343159A (zh) 決定半導體或平板顯示器製造之形狀的方法與系統
US11783110B2 (en) Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
TWI840340B (zh) 用於光罩增強技術之方法及系統
Pang et al. TrueMask ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i
US20230064987A1 (en) Training of machine learning-based inverse lithography technology for mask synthesis with synthetic pattern generation
CN116710843A (zh) 用于自由形状的光学邻近校正
US20240086607A1 (en) Modeling of a design in reticle enhancement technology
US12019973B2 (en) Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US20240210815A1 (en) Methods and systems for reticle enhancement technology of a design pattern to be manufactured on a substrate
JPH11327120A (ja) 光強度シミュレーション装置および光強度シミュレーション方法並びに光強度シミュレーションプログラムを記録した記録媒体
EP4377749A1 (en) Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
CN115712227A (zh) 基于倏逝波场强衰减特性调制式的光学邻近效应校正方法及装置