TWI833263B - Semiconductor device with contact structure - Google Patents

Semiconductor device with contact structure Download PDF

Info

Publication number
TWI833263B
TWI833263B TW111123954A TW111123954A TWI833263B TW I833263 B TWI833263 B TW I833263B TW 111123954 A TW111123954 A TW 111123954A TW 111123954 A TW111123954 A TW 111123954A TW I833263 B TWI833263 B TW I833263B
Authority
TW
Taiwan
Prior art keywords
layer
mask
opening
plug
dielectric layer
Prior art date
Application number
TW111123954A
Other languages
Chinese (zh)
Other versions
TW202347684A (en
Inventor
葉至軒
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/824,012 external-priority patent/US20230387021A1/en
Priority claimed from US17/824,481 external-priority patent/US20230386900A1/en
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202347684A publication Critical patent/TW202347684A/en
Application granted granted Critical
Publication of TWI833263B publication Critical patent/TWI833263B/en

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present application discloses a semiconductor device. The semiconductor device includes a bottom dielectric layer positioned on a substrate; a bottom conductive layer positioned in the bottom dielectric layer; an etch stop layer positioned on the bottom conductive layer; a first inter-dielectric layer positioned on the etch stop layer; and a contact structure including a body portion positioned along the first inter-dielectric layer and extending to the etch stop layer, and a contact portion positioned in the etch stop layer and contacting the body portion and the bottom conductive layer. A width of the body portion is greater than a width of the contact portion.

Description

具有插塞結構的半導體元件Semiconductor component with plug structure

本申請案主張美國第17/824,012及17/824,481號專利申請案之優先權(即優先權日為「2022年5月25日」),其內容以全文引用之方式併入本文中。This application claims priority to U.S. Patent Application Nos. 17/824,012 and 17/824,481 (that is, the priority date is "May 25, 2022"), the contents of which are incorporated herein by reference in their entirety.

本揭露涉及一種半導體元件,更具體地,本揭露涉及一種具有插塞結構的半導體元件。The present disclosure relates to a semiconductor device, and more specifically, the present disclosure relates to a semiconductor device having a plug structure.

半導體元件被用於各種電子應用中,例如個人計算機,行動電話,數位相機和其他電子設備。半導體元件的尺寸不斷縮小,以滿足日益增長的計算能力需求。然而,縮小尺寸導致了製程中出現各種問題,並且這些問題更不斷衍生出不同狀況。因此,在提高質量、產量、性能和可靠性以及降低複雜性方面仍然存在挑戰。Semiconductor components are used in a variety of electronic applications such as personal computers, mobile phones, digital cameras and other electronic devices. Semiconductor components continue to shrink in size to meet growing demands for computing power. However, shrinking the size has led to various problems in the manufacturing process, and these problems have continuously led to different situations. Therefore, challenges remain in improving quality, yield, performance and reliability, and reducing complexity.

上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。The above description of "prior art" is only to provide background technology, and does not admit that the above description of "prior art" reveals the subject matter of the present disclosure. It does not constitute prior art of the present disclosure, and any description of the above "prior art" None should form any part of this case.

本揭露的一個方面提供一種半導體元件,包括一底部介電層,其設置於一基底上;一底部導電層,其設置於該底部介電層中;一蝕刻停止層,其設置於該底部導電層上;一第一內介電層,其設置於該蝕刻停止層上;及一插塞結構,包括:一主體部分,其沿該第一內介電層設置並延伸到該蝕刻停止層;及一插塞部分,其設置於該蝕刻停止層中並與該主體部分和該底部導電層接觸。該主體部分的寬度大於該插塞部分的寬度。One aspect of the present disclosure provides a semiconductor device, including a bottom dielectric layer disposed on a substrate; a bottom conductive layer disposed in the bottom dielectric layer; and an etch stop layer disposed on the bottom conductive layer layer; a first inner dielectric layer disposed on the etch stop layer; and a plug structure including: a body portion disposed along the first inner dielectric layer and extending to the etch stop layer; and a plug portion disposed in the etch stop layer and in contact with the body portion and the bottom conductive layer. The width of the body portion is greater than the width of the plug portion.

本揭露的另一方面提供一種半導體元件的製備方法,包括提供一光罩,其包括一不透光層,其在一遮罩基底上並圍繞該遮罩基底上的一半透光層,其中該半透光層包括一插塞部分的遮罩開口,其暴露該遮罩基底的部份;提供一堆疊結構,其包括一蝕刻停止層,其在一底部導電層上,與一第一內介電層,其在該蝕刻停止層上;以及形成一製程前遮罩層在該堆疊結構上;利用該光罩圖案化該製程前遮罩層以形成一經圖像化遮罩層,其包括一遮罩區域對應該不透光層,一主體部分的區域對應該半透光層,以及一洞對應該插塞部分的遮罩開口,其中該主體部分的區域的厚度小於該遮罩區域的厚度;執行一開口蝕刻製程,以在該堆疊結構中形成一主體部分的開口和一插塞部分的開口,並暴露出該底部導電層的部分;及形成一插塞結構在該主體部分的開口和該插塞部分的開口中。該主體部分的區域的寬度大於該插塞部分的遮罩開口的寬度。Another aspect of the present disclosure provides a method for manufacturing a semiconductor device, including providing a photomask, which includes an opaque layer on a mask substrate and surrounding a semi-transmissive layer on the mask substrate, wherein the The semi-transparent layer includes a plug portion of the mask opening, which exposes a portion of the mask substrate; a stacked structure is provided, which includes an etch stop layer on a bottom conductive layer and a first intermediary an electrical layer on the etch stop layer; and forming a pre-process mask layer on the stacked structure; patterning the pre-process mask layer using the photomask to form an imaged mask layer, which includes a The mask area corresponds to the opaque layer, a main body portion area corresponds to the semi-transparent layer, and a hole corresponds to the mask opening of the plug portion, wherein the thickness of the main body portion area is smaller than the thickness of the mask area ; Performing an opening etching process to form an opening of the body portion and an opening of the plug portion in the stacked structure, and exposing a portion of the bottom conductive layer; and forming a plug structure in the opening of the body portion and in the opening of the plug part. The width of the area of the body portion is greater than the width of the mask opening of the plug portion.

本揭露的另一方面提供一種半導體元件的製備方法,包括提供一光罩,其包括:一半透光層,其在一遮罩基底上並且包括一插塞部分的遮罩開口,其暴露該遮罩基底的部分;及一不透光層,其在該半透光層上並且包括一主體部分的遮罩開口,其暴露該半透光層的部分和該遮罩基底的部分;提供一堆疊結構,其包括一蝕刻停止層,其在一底部導電層上,與一第一內介電層,其在該蝕刻停止層上;以及形成一製程前遮罩層在該堆疊結構上;利用該光罩圖案化該製程前遮罩層以形成一經圖像化遮罩層,其包括一遮罩區域對應該不透光層,一主體部分的區域對應該半透光層,以及一洞對應該插塞部分的遮罩開口;執行一開口蝕刻製程,以在該堆疊結構中形成一主體部分的開口和一插塞部分的開口,並暴露出該底部導電層的部分;以及形成一插塞結構於該主體部分的開口和該插塞部分的開口中。該主體部分的區域的厚度小於該遮罩區域的厚度。該主體部分的開口的寬度大於該插塞部分的開口的寬度。Another aspect of the present disclosure provides a method for manufacturing a semiconductor device, including providing a photomask, which includes: a semi-transmissive layer on a mask substrate and including a plug portion of the mask opening that exposes the mask. a portion of the mask base; and an opaque layer on the semi-transparent layer and including a mask opening of a body portion that exposes portions of the semi-transmissive layer and portions of the mask base; providing a stack A structure including an etch stop layer on a bottom conductive layer and a first inner dielectric layer on the etch stop layer; and forming a pre-process mask layer on the stacked structure; utilizing the The pre-process mask layer is patterned with a photomask to form an imaged mask layer, which includes a mask area corresponding to the opaque layer, a main body area corresponding to the semi-transparent layer, and a hole corresponding to the mask opening of the plug portion; perform an opening etching process to form an opening of the body portion and an opening of the plug portion in the stacked structure, and expose a portion of the bottom conductive layer; and form a plug structure in the opening of the main body part and the opening of the plug part. The thickness of the area of the body portion is smaller than the thickness of the mask area. The width of the opening of the body portion is greater than the width of the opening of the plug portion.

由於本發明的半導體元件的設計,使用包括半透光層的光罩形成的插塞結構可以具有垂直的插塞側壁,同時保持插塞結構到底部導電層的覆蓋窗口足夠大。因此,接觸電阻可以提高,並且可以降低蝕刻不足的風險。結果,所得半導體元件的產量和/或性能將得以提高。Due to the design of the semiconductor element of the present invention, a plug structure formed using a photomask including a semi-transmissive layer can have vertical plug sidewalls while keeping the coverage window of the plug structure to the bottom conductive layer sufficiently large. Therefore, the contact resistance can be increased and the risk of underetching can be reduced. As a result, the yield and/or performance of the resulting semiconductor device will be improved.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。The technical features and advantages of the present disclosure have been summarized rather broadly above so that the detailed description of the present disclosure below may be better understood. Other technical features and advantages that constitute the subject matter of the patentable scope of the present disclosure will be described below. It should be understood by those of ordinary skill in the art that the concepts and specific embodiments disclosed below can be easily used to modify or design other structures or processes to achieve the same purposes of the present disclosure. Those with ordinary knowledge in the technical field to which the present disclosure belongs should also understand that such equivalent constructions cannot depart from the spirit and scope of the present disclosure as defined in the appended patent application scope.

本揭露之以下說明伴隨併入且組成說明書之一部分的圖式,說明本揭露之實施例,然而本揭露並不受限於該實施例。此外,以下的實施例可適當整合以下實施例以完成另一實施例。The following description of the disclosure, accompanied by the drawings, which are incorporated in and constitute a part of the specification, illustrates embodiments of the disclosure, but the disclosure is not limited to the embodiments. In addition, the following embodiments may be appropriately integrated to complete another embodiment.

「一實施例」、「實施例」、「例示實施例」、「其他實施例」、「另一實施例」等係指本揭露所描述之實施例可包含特定特徵、結構或是特性,然而並非每一實施例必須包含該特定特徵、結構或是特性。再者,重複使用「在實施例中」一語並非必須指相同實施例,然而可為相同實施例。"One embodiment", "an embodiment", "exemplary embodiment", "other embodiments", "another embodiment", etc. mean that the embodiments described in the present disclosure may include specific features, structures or characteristics. However, Not every embodiment must include a particular feature, structure, or characteristic. Furthermore, repeated use of the phrase "in an embodiment" does not necessarily refer to the same embodiment, but may.

為了使得本揭露可被完全理解,以下說明提供詳細的步驟與結構。顯然,本揭露的實施不會限制該技藝中的技術人士已知的特定細節。此外,已知的結構與步驟不再詳述,以免不必要地限制本揭露。本揭露的較佳實施例詳述如下。然而,除了詳細說明之外,本揭露亦可廣泛實施於其他實施例中。本揭露的範圍不限於詳細說明的內容,而是由申請專利範圍定義。In order that the disclosure may be fully understood, the following description provides detailed steps and structures. Obviously, the practice of the present disclosure will not be limited to the specific details known to those skilled in the art. In addition, known structures and steps are not described in detail to avoid unnecessarily limiting the present disclosure. Preferred embodiments of the present disclosure are described in detail below. However, in addition to the detailed description, the present disclosure can also be widely implemented in other embodiments. The scope of the present disclosure is not limited to the contents of the detailed description, but is defined by the patent claims.

在本揭露中,半導體元件通常是指可以通過利用半導體特性而起作用的元件,且電光元件、發光顯示元件、半導體電路和電子元件都包含在半導體元件的類別中。In the present disclosure, a semiconductor element generally refers to an element that can function by utilizing semiconductor characteristics, and electro-optical elements, light-emitting display elements, semiconductor circuits and electronic elements are all included in the category of semiconductor elements.

需要說明的是,在本發明的描述中,上(或上方)對應於Z方向的箭頭方向,下(或下方)對應於Z方向箭頭的相反方向。It should be noted that in the description of the present invention, upper (or upper) corresponds to the direction of the Z-direction arrow, and lower (or lower) corresponds to the opposite direction of the Z-direction arrow.

需要說明的是,“形成”一詞表示任何創造、建立、圖形化、植入或沉積一元素、一摻質或一材料的方法。舉例來說包括原子層沈積、化學氣相沈積、物理氣相沈積、濺鍍、共濺鍍、旋轉塗布、擴散、沈積、長晶、植入、微影、乾式蝕刻與濕式蝕刻等方法,但不以此為限。It should be noted that the word "forming" means any method of creating, establishing, patterning, implanting or depositing an element, a dopant or a material. Examples include atomic layer deposition, chemical vapor deposition, physical vapor deposition, sputtering, co-sputtering, spin coating, diffusion, deposition, crystal growth, implantation, lithography, dry etching and wet etching, etc. But it is not limited to this.

需要說明的是,在本揭露的描述中,功能或步驟可以與圖中指出的順序不同的順序發生。例如,取決於所涉及的功能或步驟,連續示出的兩個圖實際上可以同時執行,或者有時可以以相反的順序執行。It should be noted that in the description of the present disclosure, functions or steps may occur in an order different from the order noted in the figures. For example, two figures shown in succession may actually be executed concurrently or sometimes in the reverse order, depending on the functions or steps involved.

圖1是流程圖,例示本揭露一實施例之利用光罩500A製備半導體元件1A的方法10。圖2至圖16為剖面示意圖,例示本揭露一實施例之利用光罩500A製備半導體元件1A的流程。FIG. 1 is a flow chart illustrating a method 10 for manufacturing a semiconductor device 1A using a photomask 500A according to an embodiment of the present disclosure. 2 to 16 are cross-sectional schematic diagrams illustrating a process of manufacturing a semiconductor device 1A using a photomask 500A according to an embodiment of the present disclosure.

參照圖1至圖3,於步驟S11中,提供一遮罩基底501,在遮罩基底501上形成一不透光層503,圖案化不透光層503,以形成一主體部分的遮罩開口503O於不透光層503中。Referring to Figures 1 to 3, in step S11, a mask substrate 501 is provided, an opaque layer 503 is formed on the mask substrate 501, and the opaque layer 503 is patterned to form a main portion of the mask opening. 503O in the opaque layer 503.

參照圖2,遮罩基底501可以由例如石英、玻璃或任何其他基本上透明的材料形成。玻璃可以是例如鋁矽酸鹽玻璃、氟化鈣或氟化鎂以及鈉鈣玻璃。在一些實施例中,遮罩基底501的厚度在約0.125英寸和約0.25英寸之間。Referring to Figure 2, mask substrate 501 may be formed of, for example, quartz, glass, or any other substantially transparent material. The glass may be, for example, aluminosilicate glass, calcium or magnesium fluoride and soda-lime glass. In some embodiments, mask substrate 501 has a thickness between about 0.125 inches and about 0.25 inches.

參照圖2,不透光層503可以形成在遮罩基底501上。不透光層503可以由例如鉻、或對微影製程的曝光製程的能量源的入射波長足夠不透明的其他合適材料形成,稍後將說明。在一些實施例中,不透光層503可以通過例如化學氣相沉積、射頻濺射或其他合適的沉積製程形成。在一些實施例中,不透光層503的厚度T1在約500埃和約1000埃之間。在一些實施例中,不透光層503的不透明度是100%或基本上約100%。Referring to FIG. 2 , an opaque layer 503 may be formed on the mask substrate 501 . The opaque layer 503 may be formed of, for example, chromium, or other suitable materials that are sufficiently opaque to the incident wavelength of the energy source of the exposure process of the lithography process, as will be described later. In some embodiments, the opaque layer 503 may be formed by, for example, chemical vapor deposition, radio frequency sputtering, or other suitable deposition processes. In some embodiments, the thickness T1 of the opaque layer 503 is between about 500 angstroms and about 1000 angstroms. In some embodiments, the opaque layer 503 has an opacity of 100% or substantially about 100%.

在一些實施例中,替代地,不透光層503可以通過電鍍製程形成。詳細地,遮罩基底501可以在遮罩基底501的底面501BS和側面501LS上塗覆有一覆蓋層(未示出)。然後,對覆蓋有覆蓋層的遮罩基底501進行軟烘烤,以增強遮罩基底501與覆蓋層之間的附著力,並驅除覆蓋層中的所有溶劑。隨後,將塗覆有覆蓋層的遮罩基底501浸入用於表面活化的化學鍍鉻活化劑中。合適的化學鍍鉻活化劑可以是氯化鉻和2-丙醇的鹼性溶液。然後將塗覆有覆蓋層的活化遮罩基底501浸入化學鍍鉻溶液中,以塗覆不透光層503。在覆蓋有覆蓋層的遮罩基底501上形成不透光層503之後,可以將覆蓋層從遮罩基底501上剝離。In some embodiments, the opaque layer 503 may alternatively be formed through an electroplating process. In detail, the mask substrate 501 may be coated with a covering layer (not shown) on the bottom surface 501BS and the side surface 501LS of the mask substrate 501 . Then, the mask substrate 501 covered with the cover layer is soft-baked to enhance the adhesion between the mask substrate 501 and the cover layer and to expel all solvent in the cover layer. Subsequently, the mask substrate 501 coated with the cover layer is immersed in an electroless chromium plating activator for surface activation. A suitable electroless chromium plating activator may be an alkaline solution of chromium chloride and 2-propanol. The activation mask substrate 501 coated with the cover layer is then immersed in an electroless chromium plating solution to coat the opaque layer 503 . After the light-opaque layer 503 is formed on the mask substrate 501 covered with the cover layer, the cover layer can be peeled off from the mask substrate 501 .

參照圖2,通過微影製程在不透光層503上形成一第一遮罩層601。第一遮罩層601包括主體部分的遮罩開口503O的圖案。在一些實施例中,第一遮罩層601可以是光阻劑,例如市售的光阻劑OCG895i或其他合適的光阻劑。Referring to FIG. 2 , a first mask layer 601 is formed on the opaque layer 503 through a photolithography process. The first mask layer 601 includes a pattern of mask openings 503O of the body portion. In some embodiments, the first mask layer 601 may be a photoresist, such as commercially available photoresist OCG895i or other suitable photoresist.

參照圖3,執行使用第一遮罩層601作為遮罩的第一蝕刻製程,以去除不透光層503的部分。在第一蝕刻製程之後,在不透光層503中形成主體部分的遮罩開口503O。遮罩基底501的頂面的第一部分可以通過主體部分的遮罩開口503O暴露。在一些實施例中,在第一次蝕刻過程中,不透光層503與遮罩基底501的蝕刻速率比可以在約100:1和約1.05:1之間、約15:1和約2:1之間、或約10:1和約2:1之間。在形成主體部分的遮罩開口503O之後,第一遮罩層601將被去除。Referring to FIG. 3 , a first etching process using the first mask layer 601 as a mask is performed to remove portions of the opaque layer 503 . After the first etching process, a mask opening 503O of the main body portion is formed in the opaque layer 503 . A first portion of the top surface of the mask base 501 may be exposed through the mask opening 503O of the body portion. In some embodiments, during the first etching process, the etching rate ratio of the opaque layer 503 to the mask substrate 501 may be between about 100:1 and about 1.05:1, about 15:1 and about 2:1. 1, or between about 10:1 and about 2:1. After forming the mask opening 503O of the body portion, the first mask layer 601 will be removed.

參照圖1和圖4至圖6,在步驟S13中,在主體部分的遮罩開口503O中形成一半透光層505,並且圖案化半透光層505以形成一插塞部分的遮罩開口505O,其中遮罩基板501、不透光層503和半透光層505一起構成光罩500A。Referring to FIGS. 1 and 4 to 6 , in step S13 , a semi-transmissive layer 505 is formed in the mask opening 503O of the main body part, and the semi-transmissive layer 505 is patterned to form a mask opening 505O of the plug part. , wherein the mask substrate 501, the opaque layer 503 and the semi-transmissive layer 505 together constitute the photomask 500A.

參照圖4,半透光層505可以包括例如矽化鉬或氮化矽。在一些實施例中,半透光層505可以通過例如化學氣相沉積、濺射或其他適用的沉積製程來形成。在一些實施例中,可以在形成半透光層505之後去除第一遮罩層601。Referring to FIG. 4 , the semi-transmissive layer 505 may include, for example, molybdenum silicide or silicon nitride. In some embodiments, the semi-transparent layer 505 may be formed by, for example, chemical vapor deposition, sputtering, or other suitable deposition processes. In some embodiments, the first mask layer 601 may be removed after the semi-transmissive layer 505 is formed.

在一些實施例中,半透光層505的厚度T2與不透光層503的厚度T1基本相同。在一些實施例中,半透光層505的厚度T2和不透光層503的厚度T1可以不同。例如,半透光層505的厚度T2可以大於或小於不透光層503的厚度T1。在一些實施例中,半透光層505的不透明度與不透光層503的不透明度的不透明度比在約5%和約95%之間。在一些實施例中,半透光層505的不透明度與不透光層503的不透明度的不透明度比在約45%和約75%之間。需要說明的是,遮罩基板501頂面中暴露的第一部分在現階段被透光層505完全覆蓋。In some embodiments, the thickness T2 of the semi-transparent layer 505 is substantially the same as the thickness T1 of the opaque layer 503 . In some embodiments, the thickness T2 of the semi-transparent layer 505 and the thickness T1 of the opaque layer 503 may be different. For example, the thickness T2 of the semi-transmissive layer 505 may be greater or smaller than the thickness T1 of the opaque layer 503 . In some embodiments, the opacity ratio of the opacity of the semi-transmissive layer 505 to the opacity of the opaque layer 503 is between about 5% and about 95%. In some embodiments, the opacity ratio of the opacity of the semi-transmissive layer 505 to the opacity of the opaque layer 503 is between about 45% and about 75%. It should be noted that the exposed first portion of the top surface of the mask substrate 501 is completely covered by the light-transmitting layer 505 at this stage.

參照圖5,通過微影製程形成一第二遮罩層603,以覆蓋不透光層503和部分半透光層505。第二遮罩層603包括插塞部分的遮罩開口505O的圖案。在一些實施例中,第二遮罩層603可以是光阻劑,例如市售的光阻劑OCG895i或其他合適的光阻劑。Referring to FIG. 5 , a second mask layer 603 is formed through a photolithography process to cover the opaque layer 503 and the partially semi-transmissive layer 505 . The second mask layer 603 includes a pattern of mask openings 505O of plug portions. In some embodiments, the second mask layer 603 may be a photoresist, such as commercially available photoresist OCG895i or other suitable photoresist.

參照圖6,執行使用第二遮罩層603作為遮罩的第二蝕刻製程,以去除半透光層505暴露的部分。在第二蝕刻製程之後,在半透光層505中形成插塞部分的遮罩開口505O。遮罩基底501的頂面的第二部分可以通過插塞部分的遮罩開口505O暴露。在一些實施例中,在第二次蝕刻過程中,半透光層505與遮罩基底501的蝕刻速率比可以在約100:1和約1.05:1之間、在約15:1和約2:1之間、或在約10:1和約2:1之間。在形成插塞部分的遮罩開口505O之後,第二遮罩層603將被去除。遮罩基底501的頂面的第一部分的表面積S1大於遮罩基底501的頂面的第二部分的表面積S2。Referring to FIG. 6 , a second etching process using the second mask layer 603 as a mask is performed to remove the exposed portion of the semi-transparent layer 505 . After the second etching process, a mask opening 505O of the plug portion is formed in the semi-transparent layer 505 . A second portion of the top surface of the mask base 501 may be exposed through the mask opening 505O of the plug portion. In some embodiments, during the second etching process, the etching rate ratio of the semi-transparent layer 505 to the mask substrate 501 may be between about 100:1 and about 1.05:1, between about 15:1 and about 2 :1, or between about 10:1 and about 2:1. After forming the mask opening 505O of the plug portion, the second mask layer 603 will be removed. The surface area S1 of the first portion of the top surface of the mask substrate 501 is greater than the surface area S2 of the second portion of the top surface of the mask substrate 501 .

參照圖1和圖7至圖14,在步驟S15,提供一堆疊結構100,在堆疊結構100上形成一硬遮罩結構200,在硬遮罩結構200上形成一製程前遮罩層401,使用光罩500A圖案化製程前遮罩層401以形成一經圖像化遮罩層403,使用經圖像化遮罩層403作為遮罩圖案化硬遮罩結構200,並且執行一開口蝕刻製程以在堆疊結構100中形成一主體部分的開口310O和一插塞部分的開口320O。Referring to Figures 1 and 7 to 14, in step S15, a stacked structure 100 is provided, a hard mask structure 200 is formed on the stacked structure 100, and a pre-process mask layer 401 is formed on the hard mask structure 200, using The photomask 500A patterns the pre-process mask layer 401 to form an imaged mask layer 403, patterns the hard mask structure 200 using the imaged mask layer 403 as a mask, and performs an opening etching process to form the An opening 310O of the main body part and an opening 320O of the plug part are formed in the stacked structure 100 .

參照圖7,堆疊結構100包括一基底101、一底部介電層103、一底部導電層105、一蝕刻停止層107、一第一內介電層109和一第二介電層111。在一些實施例中,基底101包括完全由至少一種半導體材料組成的塊狀半導體基底,塊狀半導體基板可以由矽、鍺等元素半導體;化合物半導體,例如矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦,或其他III-V族化合物半導體或II-VI族化合物半導體;或其組合所形成。Referring to FIG. 7 , the stacked structure 100 includes a substrate 101 , a bottom dielectric layer 103 , a bottom conductive layer 105 , an etch stop layer 107 , a first inner dielectric layer 109 and a second dielectric layer 111 . In some embodiments, the substrate 101 includes a bulk semiconductor substrate composed entirely of at least one semiconductor material. The bulk semiconductor substrate may be composed of element semiconductors such as silicon and germanium; compound semiconductors such as silicon germanium, silicon carbide, gallium arsenide, and phosphorus. It is formed of gallium, indium phosphide, indium arsenide, indium antimonide, or other group III-V compound semiconductors or group II-VI compound semiconductors; or a combination thereof.

在一些實施例中,基底101可以包括絕緣體上半導體結構,該結構從底部到頂部由處理基底、絕緣體層和最頂部的半導體材料層組成。處理基底和最頂部的半導體材料層可以由與上述塊狀半導體基底相同的材料形成。絕緣體層可以是結晶或非結晶介電材料,例如氧化物和/或氮化物。例如,絕緣體層可以是介電氧化物,例如氧化矽。又例如,絕緣體層可以是介電氮化物,例如氮化矽或氮化硼。又例如,絕緣體層可以包括介電氧化物和介電氮化物的堆疊,例如以任何順序堆疊的氧化矽與氮化矽或氮化硼。絕緣體層可以具有約10nm和200nm之間的厚度。In some embodiments, substrate 101 may include a semiconductor-on-insulator structure consisting, from bottom to top, of a processing substrate, an insulator layer, and a topmost layer of semiconductor material. The handling substrate and the topmost semiconductor material layer may be formed from the same materials as the bulk semiconductor substrate described above. The insulator layer may be a crystalline or amorphous dielectric material, such as an oxide and/or nitride. For example, the insulator layer may be a dielectric oxide, such as silicon oxide. As another example, the insulator layer may be a dielectric nitride, such as silicon nitride or boron nitride. As another example, the insulator layer may include a stack of dielectric oxide and dielectric nitride, such as silicon oxide and silicon nitride or boron nitride stacked in any order. The insulator layer may have a thickness of between approximately 10 nm and 200 nm.

應當注意,術語「約」改變本揭露的成分、組分或反應物的量是指例如通過用於製備的典型測量和液體處理程序可發生的數值變化於濃縮物或溶液。此外,變化亦可能源自量測程序中的非故意失誤、製造組合物或實施方法時等情況中所使用之成分的製造、來源或純度上之差異。在一些方面,術語「約」指所示數值10%以內之變化。在另一些方面,術語「約」指所示數值5%以內之變化。然而,在另一方面,術語「約」指在所報告數值的10、9、8、7、6、5、4、3、2或1%內。It should be noted that the term "about" changes an amount of an ingredient, component, or reactant of the present disclosure refers to the numerical change that may occur in a concentrate or solution, such as by typical measurements and liquid handling procedures for preparation. In addition, variations may arise from inadvertent errors in measurement procedures, differences in the manufacture, source, or purity of ingredients used in making compositions or performing methods, for example. In some aspects, the term "about" refers to a variation within 10% of the indicated value. In other aspects, the term "about" refers to a variation within 5% of the indicated value. However, in another aspect, the term "about" means within 10, 9, 8, 7, 6, 5, 4, 3, 2 or 1% of the reported value.

替代地,在一些實施例中,基板101還可以包括多個裝置元件(為清楚起見未示出)、多個介電層(為清楚起見未示出)和多個導電特徵(為清楚起見未示出)。Alternatively, in some embodiments, substrate 101 may also include a plurality of device elements (not shown for clarity), a plurality of dielectric layers (not shown for clarity), and a plurality of conductive features (not shown for clarity). not shown for reasons).

裝置元件可以形成在塊狀半導體基底或最頂部的半導體材料層上。裝置元件的一些部分可以形成在塊狀半導體基底或最頂部的半導體材料層中。裝置元件可以是晶體管,例如互補金屬氧化物半導體晶體管、金屬氧化物半導體場效應晶體管、鰭式場效應晶體管等、或其組合。Device elements may be formed on a bulk semiconductor substrate or a topmost layer of semiconductor material. Portions of the device elements may be formed in the bulk semiconductor substrate or in the topmost layer of semiconductor material. The device elements may be transistors, such as complementary metal oxide semiconductor transistors, metal oxide semiconductor field effect transistors, fin field effect transistors, etc., or combinations thereof.

介電層可以形成在塊狀半導體基底或最頂部的半導體材料層上並且覆蓋裝置元件。在一些實施例中,介電層可由例如氧化矽、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、低介電常數介電材料等、或其組合形成。低介電常數介電材料可以具有小於3.0或小於2.5的介電常數。在一些實施例中,低介電常數介電材料可以具有小於2.0的介電常數。介電層可以通過諸如化學氣相沉積、等離子體增強化學氣相沉積等的沉積製程形成。可在沉積製程之後執行平坦化製程以去除多餘材料,並為後續處理步驟提供基本平坦的表面。The dielectric layer may be formed over the bulk semiconductor substrate or the topmost layer of semiconductor material and covers the device elements. In some embodiments, the dielectric layer may be formed from, for example, silicon oxide, borophosphosilicate glass, undoped silicate glass, fluorinated silicate glass, low-k dielectric materials, etc., or combinations thereof . Low dielectric constant dielectric materials may have a dielectric constant less than 3.0 or less than 2.5. In some embodiments, the low-k dielectric material may have a dielectric constant less than 2.0. The dielectric layer may be formed by a deposition process such as chemical vapor deposition, plasma enhanced chemical vapor deposition, or the like. A planarization process can be performed after the deposition process to remove excess material and provide a substantially flat surface for subsequent processing steps.

導電特徵包括多個互連層和多個導電通孔。互連層彼此分離並且沿著方向Z水平地設置在介電層中。導電通孔連接沿著方向Z的相鄰的互連層、以及相鄰的裝置元件和互連層。在一些實施例中,導電通孔可以改善散熱並且可以提供結構支撐。在一些實施例中,導電特徵可以由例如鎢、鈷、鋯、鉭、鈦、鋁、釕、銅、金屬碳化物(例如,碳化鉭、碳化鈦、碳化鉭鎂)、金屬形成氮化物(例如氮化鈦)、過渡金屬鋁化物、或其組合形成。導電特徵可以在形成介電層期間形成。The conductive features include multiple interconnect layers and multiple conductive vias. The interconnect layers are separated from each other and are arranged horizontally in the dielectric layer along the direction Z. Conductive vias connect adjacent interconnect layers along direction Z, as well as adjacent device elements and interconnect layers. In some embodiments, conductive vias can improve heat dissipation and can provide structural support. In some embodiments, the conductive features may be formed from, for example, tungsten, cobalt, zirconium, tantalum, titanium, aluminum, ruthenium, copper, metal carbides (eg, tantalum carbide, titanium carbide, tantalum magnesium carbide), metal nitrides (eg, Titanium nitride), transition metal aluminides, or combinations thereof. The conductive features may be formed during formation of the dielectric layer.

裝置元件和導電特徵一起構成基底101中的多個功能單元。在本揭露的描述中,功能單元通常是指功能相關的電路,其為了功能目的已經被劃分為不同的單元。在一些實施例中,功能單元通常可以是高度複雜的電路,例如處理器內核、存儲器控制器或加速器單元。在一些其他實施例中,功能單元的複雜性和作用性的程度可視實際需求而定。The device elements and conductive features together constitute multiple functional units in substrate 101 . In the description of the present disclosure, a functional unit generally refers to a functionally related circuit that has been divided into different units for functional purposes. In some embodiments, functional units may typically be highly complex circuits, such as processor cores, memory controllers, or accelerator units. In some other embodiments, the degree of complexity and functionality of the functional units may depend on actual needs.

參照圖7,在一些實施例中,底部介電層103可以形成在基底101上並且可以由例如二氧化矽、未摻雜的矽酸鹽玻璃、氟矽酸鹽玻璃、硼磷矽酸鹽玻璃、或其組合形成。在一些實施例中,底部介電層103可以由例如二氧化矽、未摻雜的矽酸鹽玻璃、氟矽酸鹽玻璃、硼磷矽酸鹽玻璃、旋塗低介電常數介電層、化學氣相沉積低介電常數介電層、或其組合形成。在一些實施例中,底部介電層103可以包括諸如旋塗玻璃的自平面化材料或諸如SiLK TM的旋塗低介電常數介電材料。自平面化介電材料的使用可以避免執行後續平坦化步驟的需要。在一些實施例中,底部介電層103可以通過沉積製程形成,包括例如化學氣相沉積、等離子體增強化學氣相沉積、蒸發或旋塗。在一些實施例中,可以執行平坦化製程,例如化學機械拋光,以為後續處理步驟提供基本平坦的表面。 Referring to FIG. 7 , in some embodiments, bottom dielectric layer 103 may be formed on substrate 101 and may be made of, for example, silicon dioxide, undoped silicate glass, fluorosilicate glass, borophosphosilicate glass , or a combination thereof. In some embodiments, the bottom dielectric layer 103 may be made of, for example, silicon dioxide, undoped silicate glass, fluorosilicate glass, borophosphosilicate glass, spin-on low-k dielectric layer, Formed by chemical vapor deposition of a low-k dielectric layer, or a combination thereof. In some embodiments, bottom dielectric layer 103 may include a self-planarizing material such as spin-on glass or a spin-on low-k dielectric material such as SiLK . The use of self-planar dielectric materials can avoid the need to perform subsequent planarization steps. In some embodiments, bottom dielectric layer 103 may be formed by a deposition process, including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, evaporation, or spin coating. In some embodiments, a planarization process, such as chemical mechanical polishing, may be performed to provide a substantially planar surface for subsequent processing steps.

參照圖7,底部導電層105可以形成在底部介電層103中。底部導電層105可以由例如鎢形成。在一些實施例中,底部導電層105可以由例如鎢、鈷、鋯、鉭、鈦、鋁、釕、銅、金屬碳化物(例如,碳化鉭、碳化鈦、碳化鉭鎂)、金屬氮化物(例如氮化鈦)、過渡金屬鋁化物、或其組合形成。在本實施例中,底部導電層105可視為M0。Referring to FIG. 7 , a bottom conductive layer 105 may be formed in the bottom dielectric layer 103 . The bottom conductive layer 105 may be formed of, for example, tungsten. In some embodiments, the bottom conductive layer 105 may be made of, for example, tungsten, cobalt, zirconium, tantalum, titanium, aluminum, ruthenium, copper, metal carbides (eg, tantalum carbide, titanium carbide, tantalum magnesium carbide), metal nitrides ( For example, titanium nitride), transition metal aluminides, or combinations thereof. In this embodiment, the bottom conductive layer 105 can be regarded as M0.

參照圖7,蝕刻停止層107形成在底部介電層103上。通常,蝕刻停止層可提供在形成導電特徵時停止蝕刻製程的機制。蝕刻停止層可以優選地由具有與相鄰層不同的蝕刻選擇性的介電材料形成。例如,蝕刻停止層107可以由氮化矽、碳氮化矽、碳氧化矽等、或其組合形成。蝕刻停止層107可以通過化學氣相沉積或等離子體增強化學氣相沉積來沉積。Referring to FIG. 7 , an etch stop layer 107 is formed on the bottom dielectric layer 103 . Typically, an etch stop layer provides a mechanism to stop the etch process while forming conductive features. The etch stop layer may preferably be formed of a dielectric material having a different etch selectivity than adjacent layers. For example, the etch stop layer 107 may be formed of silicon nitride, silicon carbonitride, silicon oxycarbonate, etc., or a combination thereof. Etch stop layer 107 may be deposited by chemical vapor deposition or plasma enhanced chemical vapor deposition.

參照圖7,第一內介電層109可以形成在蝕刻停止層107上。第一內介電層109可以由例如二氧化矽、未摻雜的矽酸鹽玻璃、氟矽酸鹽玻璃、硼磷矽酸鹽玻璃、旋塗低介電常數介電層、化學氣相沉積低介電常數介電層、或其組合形成。在一些實施例中,第一內介電層109可以包括諸如旋塗玻璃的自平面化材料或諸如SiLK TM的旋塗低介電常數介電材料。自平面化介電材料的使用可以避免執行後續平坦化步驟的需要。在一些實施例中,第一內介電層109可以通過沉積製程形成,包括例如化學氣相沉積、等離子體增強化學氣相沉積、蒸發或旋塗。在一些實施例中,可以執行諸如化學機械拋光之類的平坦化製程以為後續處理步驟提供基本平坦的表面。 Referring to FIG. 7 , a first inner dielectric layer 109 may be formed on the etch stop layer 107 . The first inner dielectric layer 109 may be formed of, for example, silicon dioxide, undoped silicate glass, fluorosilicate glass, borophosphosilicate glass, spin-on low-k dielectric layer, chemical vapor deposition A low-k dielectric layer, or a combination thereof. In some embodiments, first inner dielectric layer 109 may include a self-planarizing material such as spin-on glass or a spin-on low-k dielectric material such as SiLK . The use of self-planar dielectric materials can avoid the need to perform subsequent planarization steps. In some embodiments, the first inner dielectric layer 109 may be formed by a deposition process, including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, evaporation, or spin coating. In some embodiments, a planarization process, such as chemical mechanical polishing, may be performed to provide a substantially planar surface for subsequent processing steps.

參照圖7,第二介電層111形成在第一內介電層109上。在一些實施例中,第二介電層111可以由與第一內介電層109相同的材料形成。在一些實施例中,第二介電層111可以由與第一內介電層109不同的材料形成。例如,第二介電層111可以由例如二氧化矽、未摻雜矽酸鹽玻璃、氟矽酸鹽玻璃、硼磷矽酸鹽玻璃、旋塗低介電常數介電層、化學氣相沉積低介電常數介電層、或其組合形成。在一些實施例中,第二介電層111可以包括諸如旋塗玻璃的自平面化材料或諸如SiLK TM的旋塗低介電常數介電材料。自平面化介電材料的使用可以避免執行後續平坦化步驟的需要。在一些實施例中,第二介電層111可以通過沉積製程形成,包括例如化學氣相沉積、等離子體增強化學氣相沉積、蒸發或旋塗。在一些實施例中,可以執行平坦化製程,例如化學機械拋光,以為後續處理步驟提供基本平坦的表面。 Referring to FIG. 7 , a second dielectric layer 111 is formed on the first inner dielectric layer 109 . In some embodiments, second dielectric layer 111 may be formed of the same material as first inner dielectric layer 109 . In some embodiments, second dielectric layer 111 may be formed of a different material than first inner dielectric layer 109 . For example, the second dielectric layer 111 may be made of, for example, silicon dioxide, undoped silicate glass, fluorosilicate glass, borophosphosilicate glass, spin-coated low-k dielectric layer, chemical vapor deposition A low dielectric constant dielectric layer, or a combination thereof. In some embodiments, the second dielectric layer 111 may include a self-planarizing material such as spin-on glass or a spin-on low-k dielectric material such as SiLK . The use of self-planar dielectric materials can avoid the need to perform subsequent planarization steps. In some embodiments, the second dielectric layer 111 may be formed by a deposition process, including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, evaporation, or spin coating. In some embodiments, a planarization process, such as chemical mechanical polishing, may be performed to provide a substantially planar surface for subsequent processing steps.

參照圖7,第一內介電層109的厚度可以大於第二介電層111的厚度。在一些實施例中,第二介電層111是可選的。Referring to FIG. 7 , the thickness of the first inner dielectric layer 109 may be greater than the thickness of the second dielectric layer 111 . In some embodiments, second dielectric layer 111 is optional.

參照圖7,硬遮罩結構200可以形成在第二介電層111上,或者如果不存在第二介電層111,則可以形成在第一內介電層109上。硬遮罩結構200可以包括一第一硬遮罩層201和一抗反射塗層203。Referring to FIG. 7 , the hard mask structure 200 may be formed on the second dielectric layer 111 or, if the second dielectric layer 111 is not present, the first inner dielectric layer 109 . The hard mask structure 200 may include a first hard mask layer 201 and an anti-reflective coating 203 .

在一些實施例中,第一硬遮罩層201可以具有在約80nm和約500nm之間的厚度T3。在一些實施例中,第一硬遮罩層201的厚度T3可以在約100nm和約200nm之間。In some embodiments, first hard mask layer 201 may have a thickness T3 between about 80 nm and about 500 nm. In some embodiments, the thickness T3 of the first hard mask layer 201 may be between about 100 nm and about 200 nm.

在一些實施例中,第一硬遮罩層201可以由例如碳膜形成。術語“碳膜”在本文中用於描述質量主要為碳、其結構主要由碳原子定義或其物理和化學性質由其碳含量支配的材料。術語“碳膜”旨在排除作為簡單混合物或包括碳的化合物的材料,例如介電材料,例如碳摻雜的氮氧化矽、碳摻雜的氧化矽或碳摻雜的多晶矽。In some embodiments, the first hard mask layer 201 may be formed of, for example, a carbon film. The term "carbon film" is used herein to describe a material whose mass is primarily carbon, whose structure is defined primarily by carbon atoms, or whose physical and chemical properties are dominated by its carbon content. The term "carbon film" is intended to exclude materials that are simple mixtures or compounds that include carbon, such as dielectric materials such as carbon-doped silicon oxynitride, carbon-doped silicon oxide, or carbon-doped polycrystalline silicon.

在一些實施例中,第一硬遮罩層201可以通過高密度等離子體化學氣相沉積製程形成。可以使用功率在約500瓦和約4000瓦之間的感應耦合射頻來產生高密度等離子體。在一些實施例中,可以使用功率在約500瓦和約4000瓦之間的電容耦合射頻來產生高密度等離子體。碳源可以是甲烷、乙烷、乙炔、苯、或其組合。碳源的流速可以在約50標準立方英尺每分鐘(sccm)和約150sccm之間。碳源可以碳聚合以形成碳-碳鏈。諸如氬氣、氖氣或氦氣的惰性氣體可用作載體氣體以攜帶碳源。載體氣體的流速可以在約10sccm和約150sccm之間。高密度等離子體化學氣相沉積製程的製程壓力可以為約5毫托和約20毫托。高密度等離子體化學氣相沉積製程的製程溫度可以在約240℃和約340℃之間。In some embodiments, the first hard mask layer 201 may be formed through a high-density plasma chemical vapor deposition process. High-density plasmas can be generated using inductively coupled radio frequencies with powers between about 500 watts and about 4000 watts. In some embodiments, high density plasma may be generated using capacitively coupled radio frequency with power between about 500 watts and about 4000 watts. The carbon source can be methane, ethane, acetylene, benzene, or combinations thereof. The flow rate of the carbon source may be between about 50 standard cubic feet per minute (sccm) and about 150 sccm. The carbon source can polymerize carbon to form carbon-carbon chains. An inert gas such as argon, neon or helium can be used as a carrier gas to carry the carbon source. The flow rate of the carrier gas may be between about 10 seem and about 150 seem. The process pressure of the high-density plasma chemical vapor deposition process may be about 5 mTorr and about 20 mTorr. The process temperature of the high-density plasma chemical vapor deposition process can be between about 240°C and about 340°C.

替代地,在一些實施例中,第一硬遮罩層201可以由例如氮化硼、氮化硼矽、磷氮化硼、硼碳氮化矽等形成。第一硬遮罩層201可以通過成膜製程和處理製程形成。詳細地,在成膜製程中,可以在第二介電層111(或第一內介電層109)上方引入第一前驅物以形成硼基層,第一前驅物可以是硼基前驅物。隨後,在處理製程中,可以引入第二前驅物以與硼基層反應並且將硼基層變成第一硬遮罩層201,第二前驅物可以是基於氮的前驅物。在一些實施例中,第一前驅物為如乙硼烷、環硼氮烷或環硼氮烷的烷基取代的硼衍生物。在一些實施例中,第二前驅物可以是例如氨或肼。Alternatively, in some embodiments, first hard mask layer 201 may be formed of, for example, boron nitride, silicon boron nitride, boron nitride phosphorus, silicon boron carbon nitride, or the like. The first hard mask layer 201 may be formed through a film forming process and a processing process. In detail, during the film formation process, a first precursor may be introduced above the second dielectric layer 111 (or the first inner dielectric layer 109) to form a boron-based layer, and the first precursor may be a boron-based precursor. Subsequently, during the processing process, a second precursor, which may be a nitrogen-based precursor, may be introduced to react with the boron-based layer and turn the boron-based layer into the first hard mask layer 201 . In some embodiments, the first precursor is an alkyl-substituted boron derivative such as diborane, borazine, or borazane. In some embodiments, the second precursor may be ammonia or hydrazine, for example.

參照圖7,抗反射塗層203可以形成在第一硬遮罩層201上。抗反射塗層203可用於減少下層材料的反射、駐波、薄膜干涉和鏡面反射。在一些實施例中,抗反射塗層203可以由薄膜結構組成,薄膜結構具有對比折射率交替的層。在一些實施例中,抗反射塗層203可以是例如由Rohm and Haas Electronic Materials (Phoenix, Ariz.)商業供應的AR 40 Anti-Reflectant。在一些實施例中,抗反射塗層203的厚度T4在約30nm和約50nm之間。在一些實施例中,抗反射塗層203是可選的。Referring to FIG. 7 , an anti-reflective coating 203 may be formed on the first hard mask layer 201 . Anti-reflective coating 203 can be used to reduce reflections from underlying materials, standing waves, thin film interference, and specular reflections. In some embodiments, anti-reflective coating 203 may be composed of a thin film structure having alternating layers of contrasting refractive index. In some embodiments, anti-reflective coating 203 may be, for example, AR 40 Anti-Reflectant, commercially available from Rohm and Haas Electronic Materials (Phoenix, Ariz.). In some embodiments, the thickness T4 of the anti-reflective coating 203 is between about 30 nm and about 50 nm. In some embodiments, anti-reflective coating 203 is optional.

參照圖7,可以通過例如旋塗在硬遮罩結構200上形成製程前遮罩層401。可以執行軟烘烤製程以驅除殘留在製程前遮罩層401中的溶劑。在一些實施例中,製程前遮罩層401可以是光阻劑,例如市售的光阻劑OCG895i或其他合適的光阻劑。Referring to FIG. 7 , a pre-process mask layer 401 may be formed on the hard mask structure 200 by, for example, spin coating. A soft bake process may be performed to remove solvent remaining in the pre-process mask layer 401 . In some embodiments, the pre-process mask layer 401 may be a photoresist, such as commercially available photoresist OCG895i or other suitable photoresist.

參照7,光罩500A可以置於堆疊結構100上方並與堆疊結構100對齊。Referring to 7, the photomask 500A may be placed over and aligned with the stacked structure 100.

參照圖8,可以使用光罩500A執行曝光製程。可以使用輻射源來執行曝光製程。輻射源可以是例如紫外輻射、深紫外輻射(通常為193nm或248nm)或極紫外輻射(通常為13.5nm)。可以在曝光製程之後立即執行曝光後烘烤製程。隨後,可以執行顯影製程。在顯影製程中,將鹼性水溶液添加到曝光和烘烤過的製程前遮罩層401中,並且溶解部分製程前遮罩層401。在曝光製程、曝光後烘烤製程和顯影製程之後,製程前遮罩層401將轉變成經圖像化遮罩層403。Referring to FIG. 8 , the exposure process may be performed using a photomask 500A. A radiation source can be used to perform the exposure process. The radiation source may be, for example, ultraviolet radiation, deep ultraviolet radiation (usually 193 nm or 248 nm) or extreme ultraviolet radiation (usually 13.5 nm). The post-exposure bake process can be performed immediately after the exposure process. Subsequently, the development process can be performed. During the development process, an alkaline aqueous solution is added to the exposed and baked pre-process mask layer 401 and dissolves part of the pre-process mask layer 401 . After the exposure process, post-exposure bake process, and development process, the pre-process mask layer 401 will be converted into an imaged mask layer 403.

參照圖8,經圖像化遮罩層403包括一遮罩區域403M、一主體部分的區域403B和一插塞部分的洞403C。遮罩區域403M圍繞主體部分的區域403B。遮罩區域403M可以對應於不透光層503。也就是說,遮罩區域403M和不透光層503在俯視圖中可以完全相互重疊(未示出)。主體部分的區域403B可以對應於半透光層505。也就是說,主體部分的區域403B和半透光層505在俯視圖中可以完全相互重疊(未示出)。由主體部分的區域403B包圍的空間可視為插塞部分的洞403C。硬遮罩結構200的頂面的一部分可以通過插塞部分的洞403C暴露。插塞部分的洞403C可以對應於插塞部分的遮罩開口505O。即,插塞部分的洞403C和插塞部分的遮罩開口505O可以在俯視圖中可以完全相互重疊(未示出)。Referring to FIG. 8 , the imaged mask layer 403 includes a mask region 403M, a body portion region 403B, and a plug portion hole 403C. The mask area 403M surrounds the area 403B of the body portion. The mask area 403M may correspond to the opaque layer 503 . That is, the mask area 403M and the opaque layer 503 may completely overlap each other in a top view (not shown). The area 403B of the body portion may correspond to the semi-transmissive layer 505 . That is, the area 403B of the main body part and the semi-transparent layer 505 may completely overlap each other in a top view (not shown). The space enclosed by the area 403B of the body portion can be considered as the hole 403C of the plug portion. A portion of the top surface of the hard mask structure 200 may be exposed through the plug portion hole 403C. The hole 403C of the plug portion may correspond to the mask opening 505O of the plug portion. That is, the hole 403C of the plug portion and the mask opening 505O of the plug portion may completely overlap each other in a top view (not shown).

參照圖8,遮罩區域403M的厚度T5可以大於主體部分的區域403B的厚度T6。在一些實施例中,主體部分的區域403B的厚度T6與遮罩區域403M的厚度T5的厚度比在約25%和約85%之間。在一些實施例中,主體部分的區域403B的厚度T6與遮罩區域403M的厚度T5的厚度比在約45%和約65%之間。Referring to FIG. 8 , the thickness T5 of the mask area 403M may be greater than the thickness T6 of the area 403B of the body part. In some embodiments, the thickness ratio of the thickness T6 of the body portion region 403B to the thickness T5 of the mask region 403M is between about 25% and about 85%. In some embodiments, the thickness ratio of the thickness T6 of the body portion region 403B to the thickness T5 of the mask region 403M is between about 45% and about 65%.

參照圖9至圖12,執行使用經圖像化遮罩層403作為遮罩的硬遮罩蝕刻製程以圖案化硬遮罩結構200。在一些實施例中,硬遮罩蝕刻製程可以是各向異性蝕刻製程。在一些實施例中,硬遮罩蝕刻製程可以包括多個階段,例如四個階段,但不限於此。Referring to FIGS. 9-12 , a hard mask etching process using the imaged mask layer 403 as a mask is performed to pattern the hard mask structure 200 . In some embodiments, the hard mask etch process may be an anisotropic etch process. In some embodiments, the hard mask etching process may include multiple stages, such as four stages, but is not limited thereto.

參照圖9,在硬遮罩蝕刻製程的第一階段,主體部分的區域403B下方的硬遮罩結構200可以被經圖像化遮罩層403的主體部分的區域403B臨時保護著。詳細地,在硬遮罩蝕刻製程的第一階段,經圖像化遮罩層403的主體部分的區域403B可以作為蝕刻緩衝層以保護下方的抗反射塗層203。結果,對應於主體部分的區域403B的抗反射塗層203在硬遮罩蝕刻製程的第一階段將完好無損。需要說明的是,經圖像化遮罩層403的主體部分的區域403B在硬遮罩蝕刻製程的第一階段會持續被消耗。在硬遮罩蝕刻製程的第一階段之後,主體部分的區域403B將被完全消耗或僅留下一點。Referring to FIG. 9 , in the first stage of the hard mask etching process, the hard mask structure 200 beneath the body region 403B may be temporarily protected by the body region 403B of the imaged mask layer 403 . In detail, during the first stage of the hard mask etching process, the region 403B of the main portion of the imaged mask layer 403 may serve as an etch buffer layer to protect the underlying anti-reflective coating 203 . As a result, the anti-reflective coating 203 corresponding to the area 403B of the body portion will remain intact during the first stage of the hard mask etch process. It should be noted that the area 403B of the main part of the imaged mask layer 403 will continue to be consumed in the first stage of the hard mask etching process. After the first stage of the hard mask etching process, the area 403B of the body portion will be completely consumed or only a little will remain.

相反地,對於對應於經圖像化遮罩層403中插塞部分的洞403C的抗反射塗層203,沒有經圖像化遮罩層403存在可作為臨時蝕刻緩衝層。因此,在硬遮罩蝕刻製程的第一階段,對應於插塞部分的洞403C的抗反射塗層203將被去除,而對應於主體部分的區域403B的抗反射塗層203仍然由經圖像化遮罩層403的主體部分的區域403B所保護。結果,在第一階段的硬遮罩蝕刻製程之後,與插塞部分的洞403C對應的抗反射塗層203將被去除,以形成沿著抗反射塗層203的插塞部分的開口320O。第一硬遮罩層201的一部分可以通過插塞部分的開口320O暴露。在一些實施例中,在硬遮罩蝕刻製程的第一階段,通過插塞部分的開口320O暴露的第一硬遮罩層201的一小部分亦被移除。換言之,插塞部分的開口320O將延伸到第一硬遮罩層201(未示出)。In contrast, for the anti-reflective coating 203 corresponding to the hole 403C in the plug portion of the imaged mask layer 403, no imaged mask layer 403 is present to serve as a temporary etch buffer. Therefore, in the first stage of the hard mask etching process, the anti-reflective coating 203 corresponding to the hole 403C of the plug portion will be removed, while the anti-reflective coating 203 corresponding to the area 403B of the body portion will still be formed by the image. The main body portion of the mask layer 403 is protected by the region 403B. As a result, after the first stage hard mask etching process, the anti-reflective coating 203 corresponding to the plug portion hole 403C will be removed to form the opening 320O along the plug portion of the anti-reflective coating 203 . A portion of the first hard mask layer 201 may be exposed through the opening 320O of the plug portion. In some embodiments, during the first stage of the hard mask etching process, a small portion of the first hard mask layer 201 exposed through the opening 320O of the plug portion is also removed. In other words, the opening 320O of the plug portion will extend to the first hard mask layer 201 (not shown).

在一些實施例中,在硬遮罩蝕刻製程的第一階段,經圖像化遮罩層403與抗反射塗層203的蝕刻速率比在約20:1和約1.5:1之間、約10:1和約2:1之間、或約5:1和約2:1之間。在一些實施例中,在硬遮罩蝕刻製程的第一階段,經圖像化遮罩層403與第一硬遮罩層201的蝕刻速率比可以在約100:1和約2:1之間、約15:1和約2:1之間、或約10:1和約2:1之間。In some embodiments, in the first stage of the hard mask etch process, the etch rate ratio of the imaged mask layer 403 to the anti-reflective coating 203 is between about 20:1 and about 1.5:1, about 10 :1 and about 2:1, or between about 5:1 and about 2:1. In some embodiments, during the first stage of the hard mask etch process, the etch rate ratio of the imaged mask layer 403 to the first hard mask layer 201 may be between about 100:1 and about 2:1 , between about 15:1 and about 2:1, or between about 10:1 and about 2:1.

參照圖10,在硬遮罩蝕刻製程的第二階段,由於主體部分的區域403B在硬遮罩蝕刻製程的第一階段完全消耗,對應於主體部分的區域403B的抗反射塗層203將被去除。結果,沿著抗反射塗層203的插塞部分的開口320O將被加寬,以形成沿著抗反射塗層203的主體部分的開口310O。在一些實施例中,對應於主體部分的區域403B的第一硬遮罩層201的一小部分亦被去除。換言之,主體部分的開口310O可以延伸到第一硬遮罩層201(未示出)。Referring to FIG. 10 , in the second stage of the hard mask etching process, since the area 403B of the body part is completely consumed in the first stage of the hard mask etching process, the anti-reflective coating 203 corresponding to the area 403B of the body part will be removed. . As a result, the opening 320O along the plug portion of the anti-reflective coating 203 will be widened to form an opening 310O along the body portion of the anti-reflective coating 203 . In some embodiments, a small portion of the first hard mask layer 201 corresponding to the area 403B of the body portion is also removed. In other words, the opening 310O of the body portion may extend to the first hard mask layer 201 (not shown).

同時,通過插塞部分的開口320O暴露的第一硬遮罩層201(加寬之前)將被去除,以將插塞部分的開口320O延伸到第一硬遮罩層201。需要說明的是,插塞部分的開口320O比主體部分的開口310O深,且主體部分的開口310O與插塞部分的開口320O相連通。在硬遮罩蝕刻製程的第二階段之後,經圖像化遮罩層403將被完全消耗或僅留下一點點(例如,可以留下遮罩區域403M,未示出)。At the same time, the first hard mask layer 201 exposed through the opening 320O of the plug portion (before widening) will be removed to extend the opening 320O of the plug portion to the first hard mask layer 201 . It should be noted that the opening 320O of the plug part is deeper than the opening 310O of the main part, and the opening 310O of the main part is connected with the opening 320O of the plug part. After the second stage of the hard mask etch process, the imaged mask layer 403 will be completely consumed or only a little will remain (eg, a mask area 403M may be left, not shown).

在一些實施例中,硬遮罩蝕刻製程的第二階段和硬遮罩蝕刻製程的第一階段可以用相同的蝕刻配方來執行。在一些實施例中,在硬遮罩蝕刻製程的第二階段,經圖像化遮罩層403與抗反射塗層203的蝕刻速率比可以在約20:1和約1.5:1之間、約10:1和約2:1之間、或約5:1之和約2:1間。在一些實施例中,在硬遮罩蝕刻製程的第二階段,經圖像化遮罩層403與第一硬遮罩層201的蝕刻速率比可以在約100:1和約2:1之間、約15:1和約2:1之間、或約10:1和約2:1之間。In some embodiments, the second stage of the hard mask etch process and the first stage of the hard mask etch process can be performed using the same etch recipe. In some embodiments, during the second stage of the hard mask etch process, the etch rate ratio of the imaged mask layer 403 to the anti-reflective coating 203 may be between about 20:1 and about 1.5:1, about Between 10:1 and about 2:1, or between about 5:1 and about 2:1. In some embodiments, in the second stage of the hard mask etch process, the etch rate ratio of the imaged mask layer 403 to the first hard mask layer 201 may be between about 100:1 and about 2:1 , between about 15:1 and about 2:1, or between about 10:1 and about 2:1.

參照圖11,在硬遮罩蝕刻製程的第三階段,通過主體部分的開口310O和插塞部分的開口320O暴露的第一硬遮罩層201將被同時去除。也就是說,插塞部分的開口320O將朝著第二介電層111加深,並且主體部分的開口310O將延伸到第一硬遮罩層201。在一些實施例中,通過插塞部分的開口320O暴露的第二介電層111的一部分亦被去除。換言之,插塞部分的開口320O將延伸至第二介電層111。需要說明的是,插塞部分的開口320O仍比主體部分的開口310O深。Referring to FIG. 11 , in the third stage of the hard mask etching process, the first hard mask layer 201 exposed through the opening 310O of the body part and the opening 320O of the plug part will be removed simultaneously. That is, the opening 320O of the plug portion will be deepened toward the second dielectric layer 111 , and the opening 310O of the body portion will extend to the first hard mask layer 201 . In some embodiments, a portion of the second dielectric layer 111 exposed through the opening 320O of the plug portion is also removed. In other words, the opening 320O of the plug portion will extend to the second dielectric layer 111 . It should be noted that the opening 320O of the plug part is still deeper than the opening 310O of the main body part.

在一些實施例中,硬遮罩蝕刻製程的第三階段和硬遮罩蝕刻製程的第二階段可以用不同的蝕刻配方來執行。在一些實施例中,在硬遮罩蝕刻製程的第三階段,第一硬遮罩層201與抗反射塗層203的蝕刻速率比可以在約100:1和約1.5:1之間、在約50:1和約2:1之間、或在約5:1和約2:1之間。在一些實施例中,在硬遮罩蝕刻製程的第三階段,第二介電層111與抗反射塗層203的蝕刻速率比可以在約50:1和約2:1之間、約15:1和約2:1之間、或約5:1和約2:1之間。In some embodiments, the third stage of the hard mask etch process and the second stage of the hard mask etch process may be performed using different etch recipes. In some embodiments, in the third stage of the hard mask etching process, the etch rate ratio of the first hard mask layer 201 to the anti-reflective coating 203 may be between about 100:1 and about 1.5:1, between about Between 50:1 and about 2:1, or between about 5:1 and about 2:1. In some embodiments, in the third stage of the hard mask etching process, the etch rate ratio of the second dielectric layer 111 to the anti-reflective coating 203 may be between about 50:1 and about 2:1, about 15:1. Between 1 and about 2:1, or between about 5:1 and about 2:1.

參照圖12,在硬遮罩蝕刻製程的第四階段,抗反射塗層203將被完全去除。主體部分的開口310O和插塞部分的開口320O可以保持與硬遮罩蝕刻製程的第三階段相同的深度/輪廓,或者主體部分的開口310O和插塞部分的開口320O與硬遮罩蝕刻製程的第三階段相比略有加深。Referring to FIG. 12 , in the fourth stage of the hard mask etching process, the anti-reflective coating 203 will be completely removed. The body portion opening 310O and the plug portion opening 320O may remain at the same depth/profile as in the third stage of the hard mask etch process, or the body portion opening 310O and the plug portion opening 320O may be the same as those of the hard mask etch process. Compared with the third stage, it is slightly deeper.

在一些實施例中,硬遮罩蝕刻製程的第四階段和硬遮罩蝕刻製程的第三階段可以用不同的蝕刻配方來執行。在一些實施例中,在硬遮罩蝕刻製程的第四階段,抗反射塗層203與第一硬遮罩層201的蝕刻速率比可以在約100:1和約1.5:1之間、在約50:1和約2:1之間、或在約5:1和約2:1之間。在一些實施例中,在硬遮罩蝕刻製程的第四階段,抗反射塗層203與第二介電層111的蝕刻速率比可以在約100:1和約2:1之間、約50:1和約2:1之間、或約5:1和約2:1之間。In some embodiments, the fourth stage of the hard mask etch process and the third stage of the hard mask etch process may be performed using different etch recipes. In some embodiments, in the fourth stage of the hard mask etching process, the etch rate ratio of the anti-reflective coating 203 to the first hard mask layer 201 may be between about 100:1 and about 1.5:1, between about Between 50:1 and about 2:1, or between about 5:1 and about 2:1. In some embodiments, in the fourth stage of the hard mask etching process, the etch rate ratio of the anti-reflective coating 203 to the second dielectric layer 111 may be between about 100:1 and about 2:1, about 50:1. Between 1 and about 2:1, or between about 5:1 and about 2:1.

在一些實施例中,硬遮罩蝕刻製程的第四階段是可選的,剩餘的抗反射塗層203可以在隨後的開口蝕刻製程中作為遮罩的一部分。In some embodiments, the fourth stage of the hard mask etch process is optional and the remaining anti-reflective coating 203 can be used as part of the mask in the subsequent opening etch process.

參照圖13和14,執行使用經圖像化的第一硬遮罩層201作為遮罩的開口蝕刻製程。在一些實施例中,開口蝕刻製程可以是各向異性製程。在一些實施例中,開口蝕刻製程可以包括多個階段,例如兩個階段,但不限於此。在一些實施例中,開口蝕刻製程是無聚合物製程,其導致的開口側壁上的聚合物再沉積較少。由較少的聚合物再沉積所製備的開口可以具有更直的側壁輪廓。Referring to FIGS. 13 and 14 , an opening etching process using the imaged first hard mask layer 201 as a mask is performed. In some embodiments, the opening etching process may be an anisotropic process. In some embodiments, the opening etching process may include multiple stages, such as two stages, but is not limited thereto. In some embodiments, the opening etch process is a polymer-free process, which results in less polymer redeposition on the sidewalls of the opening. Openings prepared with less polymer redeposition can have straighter sidewall profiles.

參照圖13,在開口蝕刻製程的第一階段,插塞部分的開口320O和主體部分的開口310O將同時加深。插塞部分的開口320O將延伸到第一內介電層109,且主體部分的開口310O將延伸到第二介電層111。第一硬遮罩層201在開口蝕刻製程的第一階段將被持續消耗。Referring to FIG. 13 , in the first stage of the opening etching process, the opening 320O of the plug part and the opening 310O of the body part will be deepened simultaneously. The opening 320O of the plug portion will extend to the first inner dielectric layer 109, and the opening 310O of the body portion will extend to the second dielectric layer 111. The first hard mask layer 201 will continue to be consumed during the first stage of the opening etching process.

在一些實施例中,在開口蝕刻製程的第一階段,第二介電層111與第一硬遮罩層201的蝕刻速率比可以在約50:1和約1.5:1之間、約15:1和約2:1之間、或約5:1和約2:1之間。在一些實施例中,在開口蝕刻製程的第一階段,第一內介電層109與第一硬遮罩層201的蝕刻速率比可以在約50:1和約2:1之間、約15:1和約2:1之間、或約5:1和約2:1之間。In some embodiments, in the first stage of the opening etching process, the etch rate ratio of the second dielectric layer 111 to the first hard mask layer 201 may be between about 50:1 and about 1.5:1, about 15:1. Between 1 and about 2:1, or between about 5:1 and about 2:1. In some embodiments, in the first stage of the opening etching process, the etch rate ratio of the first inner dielectric layer 109 to the first hard mask layer 201 may be between about 50:1 and about 2:1, about 15 :1 and about 2:1, or between about 5:1 and about 2:1.

參照圖14,在開口蝕刻製程的第二階段,插塞部分的開口320O和主體部分的開口310O將同時加深。插塞部分的開口320O將延伸到蝕刻停止層107。底部導電層105的一部分可以通過插塞部分的開口320O暴露。主體部分的開口310O將延伸到蝕刻停止層107。需要說明的是,插塞部分的開口320O仍比主體部分的開口310O深。第一硬遮罩層201在開口蝕刻製程的第二階段期間將被持續消耗。在開口蝕刻製程之後,第一硬遮罩層201將被完全去除或者僅被留下一點,可以執行額外的去除製程以去除剩餘的第一硬遮罩層201。Referring to FIG. 14 , in the second stage of the opening etching process, the opening 320O of the plug part and the opening 310O of the body part will be deepened simultaneously. The opening 320O of the plug portion will extend to the etch stop layer 107 . A portion of the bottom conductive layer 105 may be exposed through the opening 320O of the plug portion. The opening 310O of the body portion will extend to the etch stop layer 107 . It should be noted that the opening 320O of the plug part is still deeper than the opening 310O of the main body part. The first hard mask layer 201 will continue to be consumed during the second stage of the opening etching process. After the opening etching process, the first hard mask layer 201 will be completely removed or only left a little, and an additional removal process may be performed to remove the remaining first hard mask layer 201 .

在一些實施例中,在開口蝕刻製程的第二階段,第二介電層111與第一硬遮罩層201的蝕刻速率比在約100:1和約1.5:1之間、約50:1和約2:1之間、或約5:1和約2:1之間。在一些實施例中,在開口蝕刻製程的第二階段,第一內介電層109與第一硬遮罩層201的蝕刻速率比在約100:1和約2:1之間、在約50:1和約2:1之間、或在約5:1和約2:1之間。在一些實施例中,在開口蝕刻製程的第二階段,蝕刻停止層107與第一硬遮罩層201的蝕刻速率比在約100:1和約2:1之間、約50:1和約2:1之間、或約5:1和約2:1之間。In some embodiments, in the second stage of the opening etching process, the etch rate ratio of the second dielectric layer 111 to the first hard mask layer 201 is between about 100:1 and about 1.5:1, about 50:1 and between John 2:1, or between John 5:1 and John 2:1. In some embodiments, in the second stage of the opening etching process, the etch rate ratio of the first inner dielectric layer 109 to the first hard mask layer 201 is between about 100:1 and about 2:1, between about 50 :1 and about 2:1, or between about 5:1 and about 2:1. In some embodiments, in the second stage of the opening etching process, the etch rate ratio of the etch stop layer 107 to the first hard mask layer 201 is between about 100:1 and about 2:1, about 50:1 and about Between 2:1, or between about 5:1 and about 2:1.

在一些實施例中,主體部分的開口310O的寬度W1可以大於插塞部分的開口320O的寬度W2。在一些實施例中,插塞部分的開口320O的寬度W2與主體部分的開口310O的寬度W1的寬度比可以在約10%和約75%之間、或在約30%和約60%之間。在一些實施例中,底部導電層105的寬度W3可以大於主體部分的開口310O的寬度W1。在一些實施例中,主體部分的開口310O的寬度W1與底部導電層105的寬度W3的寬度比在約5%和約70%之間、或在約10%和約50%之間。In some embodiments, the width W1 of the opening 310O of the body portion may be greater than the width W2 of the opening 320O of the plug portion. In some embodiments, the width ratio of the width W2 of the plug portion opening 320O to the width W1 of the body portion opening 310O may be between about 10% and about 75%, or between about 30% and about 60%. . In some embodiments, the width W3 of the bottom conductive layer 105 may be greater than the width W1 of the opening 310O of the body portion. In some embodiments, the width ratio of the width W1 of the opening 310O of the body portion to the width W3 of the bottom conductive layer 105 is between about 5% and about 70%, or between about 10% and about 50%.

參照圖1、15和16,在步驟S17,在主體部分的開口310O和插塞部分的開口320O中形成一插塞結構300。1, 15 and 16, in step S17, a plug structure 300 is formed in the opening 310O of the main body part and the opening 320O of the plug part.

參照圖15,可以通過沉積製程將一第一導電材料605的層沉積到主體部分的開口310O和插塞部分的開口320O中。第一導電材料605例如是鎢、鈷、鋯、鉭、鈦、鋁、釕、銅、金屬碳化物(例如,碳化鉭、碳化鈦、碳化鉭鎂)、金屬氮化物(例如,氮化鈦)、過渡金屬鋁化物、或其組合。Referring to FIG. 15 , a layer of first conductive material 605 may be deposited into the opening 310O of the body part and the opening 320O of the plug part through a deposition process. The first conductive material 605 is, for example, tungsten, cobalt, zirconium, tantalum, titanium, aluminum, ruthenium, copper, metal carbide (eg, tantalum carbide, titanium carbide, tantalum magnesium carbide), metal nitride (eg, titanium nitride) , transition metal aluminides, or combinations thereof.

參照圖16,在沉積製程之後,可以執行平坦化製程,例如化學機械拋光,直到暴露第二介電層111的頂面,以去除多餘的材料,為後續製程步驟提供基本平坦的表面,並形成插塞結構300於主體部分的開口310O和插塞部分的開口320O中。基底101、底部介電層103、底部導電層105、蝕刻停止層107、第一內介電層109、第二介電層111和插塞結構300共同構成半導體元件1A。Referring to FIG. 16 , after the deposition process, a planarization process, such as chemical mechanical polishing, may be performed until the top surface of the second dielectric layer 111 is exposed to remove excess material, provide a substantially flat surface for subsequent process steps, and form The plug structure 300 is disposed in the opening 310O of the main body part and the opening 320O of the plug part. The substrate 101, the bottom dielectric layer 103, the bottom conductive layer 105, the etching stop layer 107, the first inner dielectric layer 109, the second dielectric layer 111 and the plug structure 300 together form the semiconductor device 1A.

參照圖16,在本實施例中,插塞結構300可以視為C0,並且包括一主體部分310和一插塞部分320。主體部分310形成在主體部分的開口310O中,插塞部分320形成在插塞部分的開口320O中且與底部導電層105和主體部分310接觸。在本實施例中,主體部分310的底面310B可以處於比蝕刻停止層107的頂面107T的垂直水平V2低的垂直水平V1處。Referring to FIG. 16 , in this embodiment, the plug structure 300 can be regarded as C0 and includes a main body part 310 and a plug part 320 . The main body portion 310 is formed in the opening 310O of the main body portion, and the plug portion 320 is formed in the opening 320O of the plug portion and contacts the bottom conductive layer 105 and the main body portion 310 . In this embodiment, the bottom surface 310B of the body portion 310 may be at a lower vertical level V1 than the vertical level V2 of the top surface 107T of the etch stop layer 107 .

在一些實施例中,主體部分310的側壁310S可以是基本上垂直的。在一些實施例中,插塞部分320的側壁320S可以是基本上垂直的。需要說明的是,在本揭露的描述中,一表面(例如,側壁310S或側壁320S)是「基本上垂直的」,表示存在一垂直面,該垂直面與該表面的偏離不超過該表面粗糙度的均方根的三倍。替代地,在一些實施例中,如果開口製程的第二階段使用富含聚合物的蝕刻製程,則插塞部分320的側壁320S將是錐形的。In some embodiments, sidewalls 310S of body portion 310 may be substantially vertical. In some embodiments, sidewalls 320S of plug portion 320 may be substantially vertical. It should be noted that in the description of the present disclosure, a surface (for example, the side wall 310S or the side wall 320S) is "substantially vertical", which means that there is a vertical plane, and the vertical plane deviates from the surface by no more than the surface roughness. three times the root mean square of the degree. Alternatively, in some embodiments, if the second stage of the opening process uses a polymer-rich etch process, the sidewalls 320S of the plug portion 320 will be tapered.

在一些實施例中,主體部分310的寬度W4可以大於插塞部分320的寬度W5。在一些實施例中,插塞部分320的寬度W5與主體部分310的寬度W4的寬度比在約10%和約75%之間、或在約30%和約60%之間。在一些實施例中,底部導電層105的寬度W3可以大於主體部分310的寬度W4。在一些實施例中,主體部分310的寬度W4與底部導電層105的寬度W3的寬度比在約5%和約70%之間、或在約10%和約50%之間。In some embodiments, the width W4 of the body portion 310 may be greater than the width W5 of the plug portion 320 . In some embodiments, the width ratio of the width W5 of the plug portion 320 to the width W4 of the body portion 310 is between about 10% and about 75%, or between about 30% and about 60%. In some embodiments, the width W3 of the bottom conductive layer 105 may be greater than the width W4 of the body portion 310 . In some embodiments, the width ratio of the width W4 of the body portion 310 to the width W3 of the bottom conductive layer 105 is between about 5% and about 70%, or between about 10% and about 50%.

在一些實施例中,主體部分310的高度H1可以大於插塞部分320的高度H2。主體部分310的高度H1和插塞部分320的高度H2的總和可以視為插塞結構300的總高度HT。在一些實施例中,插塞部分320的高度H2與插塞結構300的總高度HT的高度比在5%和約45%之間、在約5%和約25%之間、或在約5%和約15%之間。In some embodiments, the height H1 of the body portion 310 may be greater than the height H2 of the plug portion 320 . The sum of the height H1 of the body portion 310 and the height H2 of the plug portion 320 may be considered the total height HT of the plug structure 300 . In some embodiments, the height ratio of height H2 of plug portion 320 to the total height HT of plug structure 300 is between 5% and about 45%, between about 5% and about 25%, or between about 5% % and about 15%.

傳統上,為了增加C0到M0的覆蓋窗口,可以採用富含聚合物的蝕刻製程,且獲得具有錐形側壁的插塞。然而,插塞的錐形側壁可能會增加接觸電阻並增加蝕刻不足的風險。Traditionally, to increase the C0 to M0 coverage window, a polymer-rich etching process can be used and a plug with tapered sidewalls can be obtained. However, the tapered sidewalls of the plug may increase contact resistance and increase the risk of under-etching.

相對地,通過採用包括半透光層505的光罩500A,插塞結構300可以形成有垂直的插塞側壁310S、320S,同時保持C0至M0的覆蓋窗口足夠大。即,接觸電阻將提升,並且蝕刻不足的風險將降低。結果,所得半導體元件1A的產量和/或性能將提高。In contrast, by using the photomask 500A including the semi-transmissive layer 505, the plug structure 300 can be formed with vertical plug sidewalls 310S, 320S while keeping the coverage window of C0 to M0 sufficiently large. That is, the contact resistance will increase and the risk of under-etching will decrease. As a result, the yield and/or performance of the resulting semiconductor element 1A will be improved.

圖17是流程圖,例示本揭露另一實施例之利用光罩500B製備半導體元件1B的方法20。圖18至圖26為剖面示意圖,例示本揭露另一實施例之利用光罩500B製備半導體元件1B的流程。FIG. 17 is a flow chart illustrating a method 20 for manufacturing a semiconductor device 1B using a photomask 500B according to another embodiment of the present disclosure. 18 to 26 are schematic cross-sectional views illustrating a process of manufacturing a semiconductor device 1B using a photomask 500B according to another embodiment of the present disclosure.

參照圖17至圖19,在步驟S21,提供一遮罩基底501,在遮罩基底501上形成一透光層505,且在透光層505上形成一不透光層503。Referring to FIGS. 17 to 19 , in step S21 , a mask substrate 501 is provided, a light-transmitting layer 505 is formed on the mask substrate 501 , and an opaque layer 503 is formed on the light-transmitting layer 505 .

參照圖18,遮罩基底501具有與圖2所示的遮罩基底501類似的結構,在此不再贅述。半透光層505形成在遮罩基底501上並完全覆蓋遮罩基底501。半透光層505的厚度T2、材料、不透明度與圖4所示的半透光層505相似,在此不再贅述。Referring to FIG. 18 , the mask base 501 has a similar structure to the mask base 501 shown in FIG. 2 , which will not be described again. The semi-transparent layer 505 is formed on the mask substrate 501 and completely covers the mask substrate 501 . The thickness T2, material, and opacity of the semi-transparent layer 505 are similar to those of the semi-transmissive layer 505 shown in FIG. 4, and will not be described again here.

參照圖19,不透光層503形成在半透光層505上。需要說明的是,在本實施例中,不透光層503可以與遮罩基底501相對,其間夾有透光層505。不透光層503的厚度T1、材料、不透明度類似於圖2中所示的不透光層503,在此不再贅述。Referring to FIG. 19 , an opaque layer 503 is formed on the semi-transmissive layer 505 . It should be noted that in this embodiment, the opaque layer 503 may be opposite to the mask base 501 with the light-transmitting layer 505 sandwiched therebetween. The thickness T1, material, and opacity of the opaque layer 503 are similar to the opaque layer 503 shown in FIG. 2 and will not be described again here.

參照圖17、20和21,在步驟S23,圖案化不透光層503以在不透光層503中形成一主體部分的遮罩開口503O。Referring to FIGS. 17 , 20 and 21 , in step S23 , the opaque layer 503 is patterned to form a main portion of the mask opening 503O in the opaque layer 503 .

參照圖20,在不透光層503上形成一第一遮罩層601。第一遮罩層601具有與圖2所示的第一遮罩層601類似的結構,在此不再贅述。Referring to FIG. 20 , a first mask layer 601 is formed on the opaque layer 503 . The first mask layer 601 has a similar structure to the first mask layer 601 shown in FIG. 2 , which will not be described again here.

參照圖21,執行使用第一遮罩層601作為遮罩的第一蝕刻製程,以去除不透光層503的一部分。在第一蝕刻製程之後,可以在不透光層503中形成主體部分的遮罩開口503O。半透光層505的頂面的一第一部分可以通過主體部分的遮罩開口503O暴露。在一些實施例中,在第一次蝕刻過程中,不透光層503與半透光層505的蝕刻速率比可以在約100:1和約1.05:1之間、約15:1和約2:1之間、或約10:1和約2:1之間。在形成主體部分的遮罩開口503O之後,第一遮罩層601將被去除。Referring to FIG. 21 , a first etching process using the first mask layer 601 as a mask is performed to remove a portion of the opaque layer 503 . After the first etching process, a mask opening 503O of the main body portion may be formed in the opaque layer 503 . A first portion of the top surface of the semi-transmissive layer 505 may be exposed through the mask opening 503O of the main body portion. In some embodiments, during the first etching process, the etching rate ratio of the opaque layer 503 to the semi-transmissive layer 505 may be between about 100:1 and about 1.05:1, about 15:1 and about 2 :1, or between about 10:1 and about 2:1. After forming the mask opening 503O of the body portion, the first mask layer 601 will be removed.

參照圖17、22和23,在步驟S25,對半透光層505進行圖案化,以形成一插塞部分的遮罩開口505O,其中遮罩基底501、不透光層503和半透光層505共同構成一光罩500B。17, 22 and 23, in step S25, the semi-transparent layer 505 is patterned to form a plug-part mask opening 505O, in which the mask base 501, the opaque layer 503 and the semi-transmissive layer 505 together form a photomask 500B.

參照圖22,在不透光層503和半透光層505上形成一第二遮罩層603。第二遮罩層603具有與圖5所示的第二遮罩層603相似的結構,在此不再贅述。Referring to Figure 22, a second mask layer 603 is formed on the opaque layer 503 and the semi-transparent layer 505. The second mask layer 603 has a similar structure to the second mask layer 603 shown in FIG. 5 , which will not be described again here.

參照圖23,可以利用類似於圖6所示的程序來執行第二蝕刻製程,在此不再贅述。Referring to FIG. 23 , a process similar to that shown in FIG. 6 may be used to perform the second etching process, which will not be described again.

參照圖17和圖24至圖26,在步驟S27,提供一堆疊結構100,在堆疊結構100上形成一硬遮罩結構200,在硬遮罩結構200上形成一製程前遮罩層401,使用光罩500B圖案化製程前遮罩層401以形成一經圖像化遮罩層403,使用經圖像化遮罩層403作為遮罩圖案化硬遮罩結構200,且執行一開口蝕刻製程以在堆疊結構100中形成一主體部分的開口310O和一插塞部分的開口320O。Referring to Figures 17 and 24 to 26, in step S27, a stacked structure 100 is provided, a hard mask structure 200 is formed on the stacked structure 100, and a pre-process mask layer 401 is formed on the hard mask structure 200, using The photomask 500B patterns the pre-process mask layer 401 to form an imaged mask layer 403, patterns the hard mask structure 200 using the imaged mask layer 403 as a mask, and performs an opening etching process to form the An opening 310O of the main body part and an opening 320O of the plug part are formed in the stacked structure 100 .

參照圖24,堆疊結構100、硬遮罩結構200和製程前遮罩層401可以具有類似於7所示的結構,圖24中與圖7中相同或相似的元件,已經被標記相同或相似的標號,且省略重複的描述。Referring to Figure 24, the stacked structure 100, the hard mask structure 200 and the pre-process mask layer 401 may have a structure similar to that shown in Figure 7. The same or similar elements in Figure 24 as those in Figure 7 have been labeled with the same or similar label, and omit repeated descriptions.

參照圖25,圖案化製程前遮罩層401,以形成經圖像化遮罩層403,其程序類似於圖8所示的程序,在此不再贅述。Referring to FIG. 25 , the pre-process mask layer 401 is patterned to form the imaged mask layer 403 . The process is similar to the process shown in FIG. 8 and will not be described again.

參照圖26,硬遮罩蝕刻製程和開口蝕刻製程可以用與圖9至圖14所示的相似的程序來執行,在此不再贅述。Referring to FIG. 26 , the hard mask etching process and the opening etching process can be performed using procedures similar to those shown in FIGS. 9 to 14 , and will not be described again.

參照圖17、25和26,在步驟S29,在主體部分的開口310O和插塞部分的開口320O中形成一插塞結構300。17, 25 and 26, in step S29, a plug structure 300 is formed in the opening 310O of the main body part and the opening 320O of the plug part.

參照圖25,插塞結構300可以用類似於圖15和圖16所示的程序形成,在此不再贅述。基底101、底部介電層103、底部導電層105、蝕刻停止層107、第一內介電層109、第二介電層111和插塞結構300共同構成半導體元件1B。Referring to Figure 25, the plug structure 300 can be formed using a procedure similar to that shown in Figures 15 and 16, which will not be described again. The substrate 101, the bottom dielectric layer 103, the bottom conductive layer 105, the etching stop layer 107, the first inner dielectric layer 109, the second dielectric layer 111 and the plug structure 300 together constitute the semiconductor element 1B.

圖27為剖面示意圖,例示本揭露另一實施例的半導體元件1C。FIG. 27 is a schematic cross-sectional view illustrating a semiconductor device 1C according to another embodiment of the present disclosure.

參照圖27所示,半導體元件1C可以具有與圖16所示類似的結構,圖27中與圖16中相同或相似的元件,已經被標記相同或相似的標號,且省略重複的描述。Referring to FIG. 27 , the semiconductor element 1C may have a similar structure to that shown in FIG. 16 . The same or similar elements in FIG. 27 as those in FIG. 16 have been marked with the same or similar numbers, and repeated descriptions are omitted.

參照圖27所示,主體部分310的底面310B可以處於比蝕刻停止層107的頂面107T的垂直水平V2高的垂直水平V1。插塞部分320的高度H2與插塞結構300的總高度HT的高度比可以在15%和約45%之間、在約15%和約25%之間、或在約15%和約20%之間。Referring to FIG. 27 , the bottom surface 310B of the body portion 310 may be at a higher vertical level V1 than the vertical level V2 of the top surface 107T of the etch stop layer 107 . The height ratio of the height H2 of the plug portion 320 to the total height HT of the plug structure 300 may be between 15% and about 45%, between about 15% and about 25%, or between about 15% and about 20% between.

本發明的一個方面提供一種半導體元件,包括一底部介電層,其設置於一基底上;一底部導電層,其設置於該底部介電層中;一蝕刻停止層,其設置於該底部導電層上;一第一內介電層,其設置於該蝕刻停止層上;及一插塞結構,包括:一主體部分,其沿該第一內介電層設置並延伸到該蝕刻停止層;及一插塞部分,其設置於該蝕刻停止層中並與該主體部分和該底部導電層接觸。該主體部分的寬度大於該插塞部分的寬度。One aspect of the present invention provides a semiconductor device, including a bottom dielectric layer disposed on a substrate; a bottom conductive layer disposed in the bottom dielectric layer; and an etch stop layer disposed on the bottom conductive layer layer; a first inner dielectric layer disposed on the etch stop layer; and a plug structure including: a body portion disposed along the first inner dielectric layer and extending to the etch stop layer; and a plug portion disposed in the etch stop layer and in contact with the body portion and the bottom conductive layer. The width of the body portion is greater than the width of the plug portion.

本公開的另一方面提供一種半導體元件的製備方法,包括提供一光罩,其包括一不透光層,其在一遮罩基底上並圍繞該遮罩基底上的一半透光層,其中該半透光層包括一插塞部分的遮罩開口,其暴露該遮罩基底的部份;提供一堆疊結構,其包括一蝕刻停止層,其在一底部導電層上,與一第一內介電層,其在該蝕刻停止層上;以及形成一製程前遮罩層在該堆疊結構上;利用該光罩圖案化該製程前遮罩層以形成一經圖像化遮罩層,其包括一遮罩區域對應該不透光層,一主體部分的區域對應該半透光層,以及一洞對應該插塞部分的遮罩開口,其中該主體部分的區域的厚度小於該遮罩區域的厚度;執行一開口蝕刻製程,以在該堆疊結構中形成一主體部分的開口和一插塞部分的開口,並暴露出該底部導電層的部分;及形成一插塞結構在該主體部分的開口和該插塞部分的開口中。該主體部分的區域的寬度大於該插塞部分的遮罩開口的寬度。Another aspect of the present disclosure provides a method for manufacturing a semiconductor device, including providing a photomask, which includes an opaque layer on a mask substrate and surrounding a semi-transmissive layer on the mask substrate, wherein the The semi-transparent layer includes a plug portion of the mask opening, which exposes a portion of the mask substrate; a stacked structure is provided, which includes an etch stop layer on a bottom conductive layer and a first intermediary an electrical layer on the etch stop layer; and forming a pre-process mask layer on the stacked structure; patterning the pre-process mask layer using the photomask to form an imaged mask layer, which includes a The mask area corresponds to the opaque layer, a main body portion area corresponds to the semi-transparent layer, and a hole corresponds to the mask opening of the plug portion, wherein the thickness of the main body portion area is smaller than the thickness of the mask area ; Performing an opening etching process to form an opening of the body portion and an opening of the plug portion in the stacked structure, and exposing a portion of the bottom conductive layer; and forming a plug structure in the opening of the body portion and in the opening of the plug part. The width of the area of the body portion is greater than the width of the mask opening of the plug portion.

本公開的另一方面提供一種半導體元件的製備方法,包括提供一光罩,其包括:一半透光層,其在一遮罩基底上並且包括一插塞部分的遮罩開口,其暴露該遮罩基底的部分;及一不透光層,其在該半透光層上並且包括一主體部分的遮罩開口,其暴露該半透光層的部分和該遮罩基底的部分;提供一堆疊結構,其包括一蝕刻停止層,其在一底部導電層上,與一第一內介電層,其在該蝕刻停止層上;以及形成一製程前遮罩層在該堆疊結構上;利用該光罩圖案化該製程前遮罩層以形成一經圖像化遮罩層,其包括一遮罩區域對應該不透光層,一主體部分的區域對應該半透光層,以及一洞對應該插塞部分的遮罩開口;執行一開口蝕刻製程,以在該堆疊結構中形成一主體部分的開口和一插塞部分的開口,並暴露出該底部導電層的部分;以及形成一插塞結構於該主體部分的開口和該插塞部分的開口中。該主體部分的區域的厚度小於該遮罩區域的厚度。該主體部分的開口的寬度大於該插塞部分的開口的寬度。Another aspect of the present disclosure provides a method for manufacturing a semiconductor device, including providing a photomask, which includes: a semi-transmissive layer on a mask substrate and including a plug portion of the mask opening that exposes the mask. a portion of the mask base; and an opaque layer on the semi-transmissive layer and including a mask opening of a body portion that exposes portions of the semi-transmissive layer and portions of the mask base; providing a stack A structure, which includes an etch stop layer on a bottom conductive layer and a first inner dielectric layer on the etch stop layer; and forming a pre-process mask layer on the stacked structure; utilizing the The pre-process mask layer is patterned with a photomask to form an imaged mask layer, which includes a mask area corresponding to the opaque layer, a main body area corresponding to the semi-transparent layer, and a hole corresponding to the mask opening of the plug portion; perform an opening etching process to form an opening of the body portion and an opening of the plug portion in the stacked structure, and expose a portion of the bottom conductive layer; and form a plug structure in the opening of the main body part and the opening of the plug part. The thickness of the area of the body portion is smaller than the thickness of the mask area. The width of the opening of the body portion is greater than the width of the opening of the plug portion.

由於本揭露的半導體元件的設計,使用包括半透光層505的光罩500A形成的插塞結構300可以具有垂直的插塞側壁,同時保持插塞結構300到底部導電層105的覆蓋窗口(overlay windows)足夠大。因此,接觸電阻可以提高,並且可以降低蝕刻不足的風險。結果,所得半導體元件的產量和/或性能將得以提高。Due to the design of the semiconductor device of the present disclosure, the plug structure 300 formed using the photomask 500A including the semi-transparent layer 505 can have vertical plug sidewalls while maintaining an overlay of the plug structure 300 to the bottom conductive layer 105 windows) are large enough. Therefore, the contact resistance can be increased and the risk of underetching can be reduced. As a result, the yield and/or performance of the resulting semiconductor device will be improved.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。Although the disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and substitutions can be made without departing from the spirit and scope of the disclosure as defined by the claimed claims. For example, many of the processes described above may be implemented in different ways and replaced with other processes or combinations thereof.

再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。Furthermore, the scope of the present application is not limited to the specific embodiments of the process, machinery, manufacture, material compositions, means, methods and steps described in the specification. Those skilled in the art can understand from the disclosure content of this disclosure that existing or future developed processes, machinery, manufacturing, etc. that have the same functions or achieve substantially the same results as the corresponding embodiments described herein can be used according to the present disclosure. A material composition, means, method, or step. Accordingly, such processes, machines, manufacturing, material compositions, means, methods, or steps are included in the patentable scope of this application.

1A:半導體元件 1B:半導體元件 1C:半導體元件 10:製備方法 100:堆疊結構 101:基底 103:底部介電層 105:底部導電層 107:蝕刻停止層 107T:頂面 109:第一內介電層 111:第二介電層 20:製備方法 200:硬遮罩結構 201:第一硬遮罩層 203:抗反射塗層 300:插塞結構 310:本體部分 310B:底面 310O:開口 310S:側壁 320:插塞部分 320O:開口 320S:側壁 401:製程前遮罩層 403:經圖像化遮罩層 403B:區域 403C:洞 403M:遮罩區域 500A:光罩 500B:光罩 501:遮罩基底 501BS:底面 501LS:側面 503:不透光層 503O:遮罩開口 505:半透光層 505O:遮罩開口 601:第一遮罩層 603:第二遮罩層 605:第一導電材料 H1:高度 H2:高度 HT:總高度 S1:表面積 S2:表面積 T1:厚度 T2:厚度 T3:厚度 T4:厚度 T5:厚度 T6:厚度 V1:垂直水平 V2:垂直水平 W1:寬度 W2:寬度 W3:寬度 W4:寬度 W5:寬度 1A: Semiconductor components 1B:Semiconductor components 1C: Semiconductor components 10:Preparation method 100:Stacked structure 101: Base 103: Bottom dielectric layer 105: Bottom conductive layer 107: Etch stop layer 107T:Top surface 109: First inner dielectric layer 111: Second dielectric layer 20:Preparation method 200: Hard mask structure 201: First hard mask layer 203: Anti-reflective coating 300: Plug structure 310: Ontology part 310B: Bottom 310O:Open your mouth 310S: Side wall 320: Plug part 320O:Open your mouth 320S: Sidewall 401: Mask layer before process 403: Imaged mask layer 403B:Region 403C:hole 403M: Mask area 500A: Photomask 500B: Photomask 501: Mask base 501BS: Bottom 501LS: Side 503: Opaque layer 503O: Mask opening 505: Semi-transparent layer 505O: Mask opening 601: First mask layer 603: Second mask layer 605: First conductive material H1: height H2: height HT: total height S1: surface area S2: surface area T1:Thickness T2:Thickness T3:Thickness T4:Thickness T5:Thickness T6:Thickness V1: vertical horizontal V2: vertical horizontal W1: Width W2: Width W3: Width W4: Width W5: Width

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 圖1是流程圖,例示本揭露一實施例之利用光罩製備半導體元件的方法; 圖2至圖16為剖面示意圖,例示本揭露一實施例之利用光罩製備半導體元件的流程; 圖17是流程圖,例示本揭露另一實施例之利用光罩製備半導體元件的方法; 圖18至圖26為剖面示意圖,例示本揭露另一實施例之利用光罩製備半導體元件的流程; 圖27為剖面示意圖,例示本揭露另一實施例的半導體元件。 The disclosure content of this application can be more fully understood by referring to the embodiments and the patent scope combined with the drawings. The same element symbols in the drawings refer to the same elements. FIG. 1 is a flow chart illustrating a method of manufacturing a semiconductor device using a photomask according to an embodiment of the present disclosure; 2 to 16 are cross-sectional schematic diagrams illustrating a process of preparing a semiconductor device using a photomask according to an embodiment of the present disclosure; FIG. 17 is a flow chart illustrating a method of manufacturing a semiconductor device using a photomask according to another embodiment of the present disclosure; 18 to 26 are cross-sectional schematic diagrams illustrating a process of preparing a semiconductor device using a photomask according to another embodiment of the present disclosure; FIG. 27 is a schematic cross-sectional view illustrating a semiconductor device according to another embodiment of the present disclosure.

1A:半導體元件 100:堆疊結構 101:基底 103:底部介電層 105:底部導電層 107:蝕刻停止層 107T:頂面 109:第一內介電層 111:第二介電層 300:插塞結構 310:本體部分 310B:底面 310O:開口 310S:側壁 320:插塞部分 320O:開口 320S:側壁 H1:高度 H2:高度 HT:總高度 V1:垂直水平 V2:垂直水平 W3:寬度 W4:寬度 W5:寬度 1A: Semiconductor components 100:Stacked structure 101: Base 103: Bottom dielectric layer 105: Bottom conductive layer 107: Etch stop layer 107T:Top surface 109: First inner dielectric layer 111: Second dielectric layer 300: Plug structure 310: Ontology part 310B: Bottom 310O:Open your mouth 310S: Side wall 320: Plug part 320O:Open your mouth 320S: Sidewall H1: height H2: height HT: total height V1: vertical horizontal V2: vertical horizontal W3: Width W4: Width W5: Width

Claims (10)

一種半導體元件,包括:一底部介電層,其設置於一基底上;一底部導電層,其設置於該底部介電層中;一蝕刻停止層,其設置於該底部導電層上;一第一內介電層,其設置於該蝕刻停止層上;及一插塞結構,包括:一主體部分,其沿該第一內介電層設置並延伸到該蝕刻停止層;及一插塞部分,其設置於該蝕刻停止層中並與該主體部分和該底部導電層接觸;其中,該主體部分的寬度大於該插塞部分的寬度,該插塞部分的寬度小於該底部導電層的寬度,以及該主體部分的一底面高度低於該蝕刻停止層的一頂面高度。 A semiconductor element includes: a bottom dielectric layer disposed on a substrate; a bottom conductive layer disposed in the bottom dielectric layer; an etching stop layer disposed on the bottom conductive layer; a first an inner dielectric layer disposed on the etch stop layer; and a plug structure including: a body portion disposed along the first inner dielectric layer and extending to the etch stop layer; and a plug portion , which is disposed in the etching stop layer and in contact with the main body part and the bottom conductive layer; wherein the width of the main body part is greater than the width of the plug part, and the width of the plug part is less than the width of the bottom conductive layer, And a bottom surface height of the main body part is lower than a top surface height of the etching stop layer. 如請求項1所述的半導體元件,其中該主體部分的側壁基本上是垂直的。 The semiconductor device of claim 1, wherein the sidewalls of the body portion are substantially vertical. 如請求項2所述的半導體元件,其中該插塞部分的側壁基本上是垂直的。 The semiconductor device of claim 2, wherein the side walls of the plug portion are substantially vertical. 如請求項3所述的半導體元件,其中該插塞部分的寬度與該主體部分 的寬度的寬度比在約10%和約75%之間。 The semiconductor device as claimed in claim 3, wherein the plug portion has a width equal to that of the body portion The width-to-width ratio is between about 10% and about 75%. 如請求項4所述的半導體元件,其中該主體部分的高度大於該插塞部分的高度。 The semiconductor component as claimed in claim 4, wherein the height of the body part is greater than the height of the plug part. 如請求項5所述的半導體元件,其中該插塞部分的高度和該插塞結構的總高度的高度比在約5%和約45%之間。 The semiconductor device of claim 5, wherein a height ratio of the height of the plug portion to the total height of the plug structure is between about 5% and about 45%. 如請求項6所述的半導體元件,其中該主體部分的寬度和該底部導電層的寬度的寬度比在約5%和約70%之間。 The semiconductor device of claim 6, wherein a width ratio of the width of the body portion to the width of the bottom conductive layer is between about 5% and about 70%. 如請求項7所述的半導體元件,更包括一第二介電層,其設置於該第一內介電層上,其中該插塞結構的主體部分沿著該第二介電層和該第一內介電層設置,並延伸到該蝕刻停止層。 The semiconductor device of claim 7, further comprising a second dielectric layer disposed on the first inner dielectric layer, wherein the main body portion of the plug structure is along the second dielectric layer and the third An inner dielectric layer is provided and extends to the etch stop layer. 如請求項8所述的半導體元件,其中該第一內介電層和該第二介電層包括相同的材料。 The semiconductor device of claim 8, wherein the first inner dielectric layer and the second dielectric layer include the same material. 如請求項9所述的半導體元件,其中該蝕刻停止層包括氮化矽、碳氮化矽、碳氧化矽或其的組合。 The semiconductor device of claim 9, wherein the etching stop layer includes silicon nitride, silicon carbonitride, silicon oxycarbonate or a combination thereof.
TW111123954A 2022-05-25 2022-06-27 Semiconductor device with contact structure TWI833263B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/824,012 US20230387021A1 (en) 2022-05-25 2022-05-25 Semiconductor device with contact structure
US17/824,481 US20230386900A1 (en) 2022-05-25 2022-05-25 Method for fabricating semiconductor device with contact structure
US17/824,481 2022-05-25
US17/824,012 2022-05-25

Publications (2)

Publication Number Publication Date
TW202347684A TW202347684A (en) 2023-12-01
TWI833263B true TWI833263B (en) 2024-02-21

Family

ID=90039397

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111123954A TWI833263B (en) 2022-05-25 2022-06-27 Semiconductor device with contact structure
TW111123957A TWI825807B (en) 2022-05-25 2022-06-27 Method for fabricating semiconductor device with contact structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111123957A TWI825807B (en) 2022-05-25 2022-06-27 Method for fabricating semiconductor device with contact structure

Country Status (1)

Country Link
TW (2) TWI833263B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149682A1 (en) * 2002-07-25 2004-08-05 Dongbu Electronics Co., Ltd. Method of forming damascene pattern in a semiconductor device
US20080202685A1 (en) * 2007-02-28 2008-08-28 Daniel Fischer High yield plasma etch process for interlayer dielectrics
US20140242794A1 (en) * 2012-05-07 2014-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of Patterning Small Via Pitch Dimensions
US20200006140A1 (en) * 2018-06-27 2020-01-02 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
US20210193513A1 (en) * 2019-12-20 2021-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition of a protective layer to reduce interconnect structure critical dimensions

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW432617B (en) * 1999-07-30 2001-05-01 United Microelectronics Corp Manufacturing method for metal interconnect
KR101143005B1 (en) * 2004-12-14 2012-05-08 삼성전자주식회사 Mask and method for manufacturing semiconductor device and thin film transistor array panel using the mask
CN108803232A (en) * 2018-05-31 2018-11-13 云谷(固安)科技有限公司 Exposure light shield and preparation method thereof, photoresist graphic method and engraving method
CN110544671A (en) * 2019-08-26 2019-12-06 上海新微技术研发中心有限公司 Method for forming semiconductor structure
CN110556298A (en) * 2019-08-26 2019-12-10 上海新微技术研发中心有限公司 Method for manufacturing field effect transistor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149682A1 (en) * 2002-07-25 2004-08-05 Dongbu Electronics Co., Ltd. Method of forming damascene pattern in a semiconductor device
US20080202685A1 (en) * 2007-02-28 2008-08-28 Daniel Fischer High yield plasma etch process for interlayer dielectrics
US20140242794A1 (en) * 2012-05-07 2014-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of Patterning Small Via Pitch Dimensions
US20200006140A1 (en) * 2018-06-27 2020-01-02 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
US20210193513A1 (en) * 2019-12-20 2021-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition of a protective layer to reduce interconnect structure critical dimensions

Also Published As

Publication number Publication date
TW202347684A (en) 2023-12-01
TW202347435A (en) 2023-12-01
TWI825807B (en) 2023-12-11

Similar Documents

Publication Publication Date Title
US9768031B2 (en) Semiconductor device manufacturing methods
US7901869B2 (en) Double patterning with a double layer cap on carbonaceous hardmask
JP7464539B2 (en) Conformal carbon film deposition
TW202011455A (en) Method of fabricating semiconductor structure
TW202109618A (en) Patterning method for semiconductor devices
TWI833263B (en) Semiconductor device with contact structure
US11854798B2 (en) Semiconductor device and method
CN117133712A (en) Method for manufacturing semiconductor element
US20230387021A1 (en) Semiconductor device with contact structure
TWI840826B (en) Method for fabricating photomask and method for fabricating semiconductor device
TWI817529B (en) Method for fabricating semiconductor device with damascene structure
US20230307248A1 (en) Method for fabricating semiconductor device with damascene structure by using etch stop layer
US20230298932A1 (en) Method for fabricating photomask and method for fabricating semiconductor device with damascene structure
US20230307288A1 (en) Method for fabricating semiconductor device with damascene structure
US10879108B2 (en) Topographic planarization method for lithography process
TWI817891B (en) Semiconductor device with porous dielectric layers
TWI833216B (en) Semiconductor device with decoupling unit
JP2001015597A (en) Method for manufacturing semiconductor device
JP2001338895A (en) Method for selectively forming copper film