TWI823639B - Semiconductor device and methods for forming the same - Google Patents

Semiconductor device and methods for forming the same Download PDF

Info

Publication number
TWI823639B
TWI823639B TW111139746A TW111139746A TWI823639B TW I823639 B TWI823639 B TW I823639B TW 111139746 A TW111139746 A TW 111139746A TW 111139746 A TW111139746 A TW 111139746A TW I823639 B TWI823639 B TW I823639B
Authority
TW
Taiwan
Prior art keywords
heavily doped
conductive
region
semiconductor device
gate
Prior art date
Application number
TW111139746A
Other languages
Chinese (zh)
Other versions
TW202418592A (en
Inventor
李文山
李宗曄
陳富信
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW111139746A priority Critical patent/TWI823639B/en
Application granted granted Critical
Publication of TWI823639B publication Critical patent/TWI823639B/en
Publication of TW202418592A publication Critical patent/TW202418592A/en

Links

Images

Landscapes

  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A semiconductor device includes a substrate having a first conductive type, an epitaxial layer formed on the substrate, a well region extending from a top surface of the epitaxial layer into the epitaxial layer, a drift region formed in the epitaxial layer and in contact with the bottom surface of the well region, a gate structure and a conductive structure. The epitaxial layer has the first conductive type, the well region has the second conductive type, and the drift region has the first conductive type. The gate structure that extends from the top surface of the epitaxial layer penetrates the well region and is in contact with the drift region. The conductive structure is formed in the drift region and disposed below the gate structure. A gate electrode of the gate structure is separated from the undelying conductive structure by a gate dielectric layer of the gate structure.

Description

半導體裝置及其形成方法Semiconductor device and method of forming same

本發明是關於半導體裝置及其形成方法,特別是關於具有蕭特基二極體(Schottky diode)的半導體裝置及其形成方法。The present invention relates to a semiconductor device and a method of forming the same, and in particular to a semiconductor device having a Schottky diode and a method of forming the same.

半導體產業持續地改善不同的電子組件之整合密度,藉由持續降低最小元件尺寸,讓更多組件能夠在給定的面積中整合。例如,被廣泛地應用在電力開關(power switch)元件之溝槽式閘極金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor;MOSFET),便是利用垂直結構的設計,降低單元間距(cell pitch)以提升功能密度,其利用晶片之背面做為汲極,而於晶片之正面製作多個電晶體的源極以及閘極,因此驅動電流由平面方向的流動發展為垂直方向的流動,如此也可以使半導體裝置達到高反向耐壓與低導通電阻。The semiconductor industry continues to improve the integration density of different electronic components by continuing to reduce the minimum component size, allowing more components to be integrated in a given area. For example, the trench gate metal-oxide-semiconductor field effect transistor (MOSFET), which is widely used in power switch components, uses a vertical structure design to reduce the cost. The cell pitch (cell pitch) is used to increase functional density. It uses the back side of the chip as the drain, and makes the sources and gates of multiple transistors on the front side of the chip. Therefore, the driving current develops from the flow in the plane direction to the vertical direction. The flow can also enable the semiconductor device to achieve high reverse withstand voltage and low on-resistance.

然而,隨著對半導體裝置的功能密度要求不斷提升,半導體裝置所整合的組件及其形成方法的複雜度亦跟著增加,並且有一些性能權衡折衷(trade off)的電子特性需要考量。因此,雖然現有的半導體裝置通常是適當的而且足以滿足它們的預期目的,但是它們在所有方面並不是完全令人滿意的。However, as the functional density requirements for semiconductor devices continue to increase, the complexity of the components integrated in the semiconductor devices and their formation methods also increases, and there are some performance trade-off electronic characteristics that need to be considered. Therefore, while existing semiconductor devices are generally suitable and sufficient for their intended purposes, they are not entirely satisfactory in all respects.

本揭露的一些實施例提供一種半導體裝置,包括具有一第一導電類型的一基底、形成於前述基底上的一磊晶層、自前述磊晶層的頂表面延伸至磊晶層中的一井區、形成於前述磊晶層中且與前述井區的底表面接觸的一飄移區、一閘極結構以及一導電結構。前述磊晶層具有前述第一導電類型,前述井區具有一第二導電類型,且前述飄移區具有前述第一導電類型。前述閘極結構是自前述磊晶層的前述頂表面延伸穿過前述井區並且接觸前述飄移區。前述導電結構形成於前述飄移區中且位於前述閘極結構的下方,其中前述閘極結構的一閘極介電層分隔前述導電結構和前述閘極結構的一閘極電極。Some embodiments of the present disclosure provide a semiconductor device, including a substrate having a first conductivity type, an epitaxial layer formed on the substrate, and a well extending from a top surface of the epitaxial layer into the epitaxial layer. region, a drift region formed in the epitaxial layer and in contact with the bottom surface of the well region, a gate structure and a conductive structure. The epitaxial layer has the first conductivity type, the well region has a second conductivity type, and the drift region has the first conductivity type. The gate structure extends from the top surface of the epitaxial layer through the well region and contacts the drift region. The conductive structure is formed in the drift region and is located below the gate structure, wherein a gate dielectric layer of the gate structure separates the conductive structure and a gate electrode of the gate structure.

本揭露的一些實施例提供一種半導體裝置的形成方法,包括提供具有一第一導電類型的一基底;在前述基底上形成具有前述第一導電類型的一磊晶層;自前述磊晶層的頂表面摻雜,以在前述磊晶層中形成一井區,且前述井區具有一第二導電類型,其中在前述井區的下方為一飄移區,前述飄移區具有前述第一導電類型且與前述井區的底表面接觸;在前述飄移區中形成複數個導電結構;在前述導電結構的上方分別形成閘極結構,其中前述閘極結構自前述磊晶層的前述頂表面延伸穿過前述井區,該些閘極結構的底部部分位於前述飄移區中,且前述閘極結構各包括一閘極介電層包覆一閘極電極。其中,前述閘極介電層分隔相應的前述導電結構和前述閘極結構。Some embodiments of the present disclosure provide a method for forming a semiconductor device, including providing a substrate with a first conductivity type; forming an epitaxial layer with the first conductivity type on the substrate; The surface is doped to form a well region in the epitaxial layer, and the well region has a second conductivity type, wherein below the well region is a drift region, the drift region has the first conductivity type and is connected to The bottom surface of the aforementioned well region is in contact; a plurality of conductive structures are formed in the aforementioned drift region; gate structures are respectively formed above the aforementioned conductive structures, wherein the aforementioned gate electrode structures extend from the aforementioned top surface of the aforementioned epitaxial layer through the aforementioned wells region, the bottom portions of the gate structures are located in the aforementioned drift region, and the aforementioned gate structures each include a gate dielectric layer covering a gate electrode. Wherein, the gate dielectric layer separates the corresponding conductive structure and the gate structure.

以下揭露提供了許多的實施例或範例,用於實施所提供的半導體裝置之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例之間的關係。The following disclosure provides numerous embodiments or examples for implementing different elements of the provided semiconductor devices. Specific examples of each component and its configuration are described below to simplify the description of the embodiments of the present invention. Of course, these are only examples and are not intended to limit the embodiments of the present invention. For example, if the description mentions that a first element is formed on a second element, it may include an embodiment in which the first and second elements are in direct contact, or may include an additional element formed between the first and second elements. , so that they are not in direct contact. In addition, embodiments of the present invention may repeat reference numbers and/or letters in different examples. This repetition is for the sake of brevity and clarity and is not intended to indicate the relationship between the various embodiments discussed.

再者,在以下敘述中可使用空間上相關措辭,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語,以簡化一元件或部件與其他元件或其他部件之間如圖所示之關係的陳述。此空間相關措辭除了包含圖式所描繪之方向,還包含裝置在使用或操作中的不同方位。裝置可以朝其他方向定位(旋轉90度或在其他方向),且在此使用的空間相關描述可依此相應地解讀。Furthermore, spatially related expressions may be used in the following descriptions, such as "under", "under", "below", "above", "above" and other similar terms A term used to simplify the statement of the relationship between one element or component and other elements or other components as shown in the figure. Such spatially relative terms include, in addition to the directions depicted in the drawings, various orientations of the device during use or operation. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的元件符號被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的步驟,且一些敘述的步驟可為了該方法的其他實施例被取代或刪除。Some variations of the embodiments are described below. Similar reference numbers are used to identify similar elements in the various drawings and illustrated embodiments. It will be appreciated that additional steps may be provided before, during, and after the method, and some of the recited steps may be replaced or deleted for other embodiments of the method.

本揭露內容的實施例係提供了半導體裝置及其形成方法,可製得包含有蕭特基二極體(Schottky diode)的半導體裝置,以使基體二極體(body diode)失能,進而降低導通電阻和減少功率損失,改善半導體裝置的開關特性。並且,實施例提出將可構成蕭特基二極體的導電結構設置於閘極結構的下方,除了可以減少閘極-汲極電容(Cgd),亦不需要額外佔用磊晶層的台面(mesa)區域。換言之,不用額外提供磊晶層表面的橫向空間來構成蕭特基二極體,因此實施例所提出的半導體裝置可以縮小裝置中相鄰單元之間的間距(cell pitch),例如兩個相鄰閘極結構的間距,進而降低通道區電阻。實施例的內容可應用於金屬氧化物半導體(metal-oxide-semiconductor;MOS)裝置,例如金屬氧化物半導體場效電晶體(MOS field effect transistor;MOSFET)。在以下的一些實施例中,是以溝槽式閘極金屬氧化物半導體場效電晶體(trench gate MOSFET)做為半導體結構的示例說明。Embodiments of the present disclosure provide a semiconductor device and a method for forming the same, which can produce a semiconductor device including a Schottky diode so as to disable the body diode and thereby reduce the energy consumption of the body diode. On-resistance and power loss are reduced, and the switching characteristics of semiconductor devices are improved. Moreover, the embodiment proposes to arrange the conductive structure that can form the Schottky diode under the gate structure, which can not only reduce the gate-drain capacitance (Cgd), but also does not require additional mesa (mesa) of the epitaxial layer. ) area. In other words, there is no need to provide additional lateral space on the surface of the epitaxial layer to form a Schottky diode. Therefore, the semiconductor device proposed in the embodiment can reduce the cell pitch between adjacent units in the device, such as two adjacent units. The spacing of the gate structure thereby reduces the channel area resistance. The contents of the embodiments may be applied to metal-oxide-semiconductor (MOS) devices, such as metal-oxide-semiconductor field effect transistors (MOSFET). In some of the following embodiments, a trench gate metal oxide semiconductor field effect transistor (trench gate MOSFET) is used as an example of a semiconductor structure.

第1~18圖是根據本揭露的一些實施例中,包含閘極結構和導電結構的半導體裝置在各個中間製造階段的剖面示意圖。導電結構形成於閘極結構的下方,且各個導電結構在後續製程中與源極電極電性連接,以與飄移區整體構成一蕭特基二極體(Schottky diode),使基體二極體失能,進而降低導通電阻和減少功率損失。1 to 18 are schematic cross-sectional views of a semiconductor device including a gate structure and a conductive structure at various intermediate manufacturing stages according to some embodiments of the present disclosure. The conductive structure is formed under the gate structure, and each conductive structure is electrically connected to the source electrode in the subsequent process to form a Schottky diode integrally with the drift region, so that the base diode is lost. can, thereby reducing on-resistance and power loss.

參照第1圖,根據一些實施例,提供具有第一導電類型的一基底100。在一些實施例中,基底100可為一塊狀半導體基板,像是一半導體晶圓。例如,基底100為一矽晶圓。在一些實施例中,基底100可由矽或其他半導體材料製成,或者,基底100可包含其他元素半導體材料,例如鍺(Ge)。在一些實施例中,基底100可包括化合物半導體,例如碳化矽、氮化鎵、砷化鎵、砷化銦、或磷化銦。在一些實施例中,基底100可包括合金半導體,例如矽鍺、碳化矽鍺、磷化砷鎵、或磷化銦鎵。在一些實施例中,基底100也可包括一絕緣層上覆矽(silicon on insulator;SOI)或其他合適的基底。可利用氧植入隔離(SIMOX)製程、晶圓接合製程、其他可應用的方式、或前述之組合形成SOI基板。在一些實施例中,基底100可由不同半導體材料組成,例如矽、矽鍺、碳化矽等。在此一示例中,基底100例如是摻雜有第一導電類型的摻雜物的矽晶圓。在一垂直型溝槽式閘極金屬氧化物半導體場效電晶體(vertical trench-gate MOSFET)的應用中,具有第一導電類型的基底100可做為半導體裝置的汲極區域(drain region)。再者,在此示例中,第一導電類型為n型,但本揭露並不限定於此。在一些其他的示例中,第一導電類型也可以是p型。Referring to FIG. 1 , according to some embodiments, a substrate 100 having a first conductivity type is provided. In some embodiments, the substrate 100 can be a piece of semiconductor substrate, such as a semiconductor wafer. For example, the substrate 100 is a silicon wafer. In some embodiments, the substrate 100 may be made of silicon or other semiconductor materials, or the substrate 100 may include other elemental semiconductor materials, such as germanium (Ge). In some embodiments, substrate 100 may include a compound semiconductor such as silicon carbide, gallium nitride, gallium arsenide, indium arsenide, or indium phosphide. In some embodiments, substrate 100 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or indium gallium phosphide. In some embodiments, the substrate 100 may also include a silicon on insulator (SOI) or other suitable substrate. The SOI substrate can be formed using an oxygen implant isolation (SIMOX) process, a wafer bonding process, other applicable methods, or a combination of the foregoing. In some embodiments, the substrate 100 may be composed of different semiconductor materials, such as silicon, silicon germanium, silicon carbide, etc. In this example, the substrate 100 is, for example, a silicon wafer doped with a dopant of the first conductivity type. In the application of a vertical trench-gate metal oxide semiconductor field effect transistor (vertical trench-gate MOSFET), the substrate 100 having the first conductivity type can be used as a drain region of the semiconductor device. Furthermore, in this example, the first conductivity type is n-type, but the present disclosure is not limited thereto. In some other examples, the first conductivity type may also be p-type.

在一些實施例中,進行一磊晶成長(epitaxial growth)製程,以在基底100上形成一磊晶層102。磊晶過程中例如是朝著第一方向D1(例如Z方向)成長,而形成磊晶層102。在此示例中,是以分兩階段的方式形成磊晶層102,以在磊晶層102的內部形成摻雜區。此些摻雜區可作為後續形成的導電結構的遮蔽區域(shielding regions)。In some embodiments, an epitaxial growth process is performed to form an epitaxial layer 102 on the substrate 100 . During the epitaxial process, for example, the epitaxial layer 102 is formed by growing in the first direction D1 (eg, the Z direction). In this example, the epitaxial layer 102 is formed in two stages to form a doped region inside the epitaxial layer 102 . These doped regions can serve as shielding regions for subsequently formed conductive structures.

參照第1圖,根據一些實施例,在基底100的頂表面100a上進行磊晶成長製程,以形成磊晶層102的第一磊晶部份1021。之後,在磊晶層102的第一磊晶部份1021中進行佈植,以形成摻雜區104(例如1041和1042)。在一示例中,摻雜區1041和摻雜區1042之間在第二方向D2(例如X方向)上彼此相隔一距離。再者,摻雜區1041和摻雜區1042可以是(但不限於)鄰近於磊晶層102的第一磊晶部份1021的頂表面1021a。可通過調整佈植能量或其他合適的方式,來控制在磊晶層102的第一磊晶部份1021的適當深度中形成摻雜區1041和摻雜區1042。Referring to FIG. 1 , according to some embodiments, an epitaxial growth process is performed on the top surface 100 a of the substrate 100 to form a first epitaxial portion 1021 of the epitaxial layer 102 . Thereafter, implantation is performed in the first epitaxial portion 1021 of the epitaxial layer 102 to form doped regions 104 (eg, 1041 and 1042). In an example, the doping region 1041 and the doping region 1042 are separated from each other by a distance in the second direction D2 (for example, the X direction). Furthermore, the doped regions 1041 and 1042 may be (but are not limited to) adjacent to the top surface 1021a of the first epitaxial portion 1021 of the epitaxial layer 102 . The formation of the doped regions 1041 and 1042 in the appropriate depth of the first epitaxial portion 1021 of the epitaxial layer 102 can be controlled by adjusting the implantation energy or other appropriate methods.

在一些實施例中,基底100和磊晶層102的第一磊晶部份1021具有相同的導電類型,例如第一導電類型。在此示例中,基底100和磊晶層102的第一磊晶部份1021為n型。再者,磊晶層102的第一磊晶部份1021的摻雜濃度小於基底100的摻雜濃度。In some embodiments, the substrate 100 and the first epitaxial portion 1021 of the epitaxial layer 102 have the same conductivity type, such as a first conductivity type. In this example, the substrate 100 and the first epitaxial portion 1021 of the epitaxial layer 102 are n-type. Furthermore, the doping concentration of the first epitaxial portion 1021 of the epitaxial layer 102 is smaller than the doping concentration of the substrate 100 .

在一些實施例中,摻雜區1041和摻雜區1042具有與磊晶層102不同的導電類型,例如第二導電類型。在此示例中,摻雜區1041和摻雜區1042為p型。在一些實施例中,摻雜區1041和摻雜區1042的摻雜物可為 鋁(Al)、或其他合適的摻雜物。在一些實施例中,摻雜區1041和摻雜區1042的摻雜濃度在大約1E16 atoms/cm 3至大約1E18 atoms/cm 3的範圍內。 In some embodiments, the doped regions 1041 and 1042 have a different conductivity type than the epitaxial layer 102, such as a second conductivity type. In this example, doped regions 1041 and 1042 are p-type. In some embodiments, the dopant of the doped region 1041 and the doped region 1042 may be aluminum (Al), or other suitable dopants. In some embodiments, the doping concentration of the doped regions 1041 and 1042 ranges from about 1E16 atoms/cm 3 to about 1E18 atoms/cm 3 .

之後,參照第2圖,根據一些實施例,在第一磊晶部分1021的頂表面1021a上繼續朝著第一方向D1(例如Z方向)磊晶成長,而形成第二磊晶部分1022。第二磊晶部分1022同樣具有第一導電類型,例如n型。此示例中,第一磊晶部分1021和第二磊晶部分1022共同構成一磊晶層102。2, according to some embodiments, epitaxial growth continues on the top surface 1021a of the first epitaxial portion 1021 toward the first direction D1 (eg, the Z direction) to form the second epitaxial portion 1022. The second epitaxial portion 1022 also has a first conductivity type, such as n-type. In this example, the first epitaxial portion 1021 and the second epitaxial portion 1022 together form an epitaxial layer 102 .

在一些實施例中,可以通過金屬有機物化學氣相沉積(metal organic chemical vapor deposition;MOCVD)、、分子束磊晶(molecular beam epitaxy;MBE)、氫化物氣相磊晶(hydride vapour phase epitaxy;HVPE)、液相磊晶(liquid phase epitaxy;LPE)、氯化物氣相磊晶(Cl-VPE)、其他合適的製程方法或前述方法的組合,以進行上述的磊晶成長製程,而形成磊晶層102。在一半導體裝置例如垂直型溝槽式閘極金屬氧化物半導體場效電晶體(MOSFET)的應用中,在完成電晶體的製作後,具有第一導電類型(例如n型)的磊晶層102可做為半導體裝置的漂移區(drift region)。In some embodiments, metal organic chemical vapor deposition (MOCVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE) can be used. ), liquid phase epitaxy (LPE), chloride vapor phase epitaxy (Cl-VPE), other suitable process methods or a combination of the aforementioned methods to perform the above epitaxy growth process to form epitaxial crystals Layer 102. In the application of a semiconductor device such as a vertical trench gate metal oxide semiconductor field effect transistor (MOSFET), after completing the fabrication of the transistor, the epitaxial layer 102 has a first conductivity type (eg, n-type). It can be used as the drift region of a semiconductor device.

參照第3圖,根據一些實施例,形成一井區106於磊晶層102中,且此井區106具有與磊晶層102不同的導電類型,例如第二導電類型。在此示例中,井區106為p型(又可稱p型基體區域(p-body region))。井區106、摻雜區1041和摻雜區1042的導電類型相同。在一些實施例中,井區106的摻雜濃度小於摻雜區1041和摻雜區1042的摻雜濃度。在一些實施例中,井區106的摻雜濃度在大約1E16 atoms/cm 3至大約1E18 atoms/cm 3的範圍之間。根據一些實施例,井區106可做為一半導體裝置的通道區。 Referring to FIG. 3 , according to some embodiments, a well region 106 is formed in the epitaxial layer 102 , and the well region 106 has a conductivity type different from that of the epitaxial layer 102 , such as a second conductivity type. In this example, well region 106 is p-type (also called a p-body region). The well region 106, the doped region 1041 and the doped region 1042 have the same conductivity type. In some embodiments, the doping concentration of well region 106 is less than the doping concentrations of doping regions 1041 and 1042 . In some embodiments, the doping concentration of well region 106 ranges from about 1E16 atoms/cm 3 to about 1E18 atoms/cm 3 . According to some embodiments, the well region 106 may serve as a channel region of a semiconductor device.

在一些實施例中,可通過例如一離子佈植製程IP-1,以在磊晶層102中形成井區106。在一示例中,可自磊晶層102的頂表面102a摻雜,以在磊晶層102中形成一井區106。因此,井區106是自磊晶層102的頂表面102a向下摻雜至磊晶層102的一特定深度。井區106是在第一方向D1、第二方向D2和第三方向D3上延伸的一摻雜區域。再者,在井區106之下的磊晶部分則為一飄移區(drift region)R D,此飄移區R D具有第一導電類型(例如n型),且此飄移區R D接觸井區106的底表面106b,如第3圖所示。 In some embodiments, the well region 106 may be formed in the epitaxial layer 102 through, for example, an ion implantation process IP-1. In one example, doping may be performed from the top surface 102 a of the epitaxial layer 102 to form a well region 106 in the epitaxial layer 102 . Therefore, the well region 106 is doped from the top surface 102 a of the epitaxial layer 102 downward to a specific depth of the epitaxial layer 102 . The well region 106 is a doped region extending in the first direction D1, the second direction D2, and the third direction D3. Furthermore, the epitaxial portion under the well region 106 is a drift region RD . The drift region RD has a first conductivity type (for example, n-type), and the drift region RD contacts the well region. The bottom surface 106b of 106, as shown in Figure 3.

根據一些實施例,可以通過沉積製程、微影圖案化製程、蝕刻製程以及佈植(implantation)製程,而形成上述的井區106。例如在一示例中,可在磊晶層102的頂表面102a(第2圖)上方沉積一氧化物硬質遮罩材料層(oxide hardmask material layer)(未示出),然後在此氧化物硬質遮罩材料層上形成對應井區106位置的一圖案化光阻(patterned PR)、根據此圖案化光阻對氧化物硬質遮罩材料層進行蝕刻以形成一氧化物硬質遮罩、去除圖案化光阻、根據形成的氧化物硬質遮罩對磊晶層102進行摻雜,以在磊晶層102中形成井區106,之後去除氧化物硬質遮罩。According to some embodiments, the above-mentioned well region 106 can be formed through a deposition process, a photolithographic patterning process, an etching process, and an implantation process. For example, in one example, an oxide hardmask material layer (not shown) may be deposited over the top surface 102a (FIG. 2) of the epitaxial layer 102, and then the oxide hardmask material layer may be A patterned photoresist (patterned PR) corresponding to the position of the well region 106 is formed on the mask material layer. The oxide hard mask material layer is etched according to the patterned photoresist to form an oxide hard mask. The patterned PR is removed. The epitaxial layer 102 is doped according to the formed oxide hard mask to form a well region 106 in the epitaxial layer 102, and then the oxide hard mask is removed.

接著,根據一些實施例,如第4~6圖所示,在井區106中交替形成不同導電類型的第一重摻雜部(first heavily doped portions)1110和第二重摻雜部1120(second heavily doped portions)。第一重摻雜部1110和第二重摻雜部1120的形成方法例如是類似於井區106的形成方法。Next, according to some embodiments, as shown in Figures 4 to 6, first heavily doped portions 1110 and second heavily doped portions 1120 of different conductivity types are alternately formed in the well region 106. heavily doped portions). The formation method of the first heavily doped portion 1110 and the second heavily doped portion 1120 is, for example, similar to the formation method of the well region 106 .

參照第4圖,根據一些實施例,例如自井區106的頂表面106a(即,磊晶層102的頂表面102a)在106井區中摻雜,以在井區106中形成複數個第一重摻雜部1110。且此些第一重摻雜部1110是(例如在第二方向D2上)相距設置。在一示例中,此些第一重摻雜部1110具有與井區106相同的第二導電類型,例如p型。在一些實施例中,第一重摻雜部1110的摻雜濃度是大於井區106的摻雜濃度。在一些實施例中,第一重摻雜部1110的摻雜濃度在大約1E18 atoms/cm 3至大約1E21 atoms/cm 3的範圍之間。 Referring to FIG. 4 , according to some embodiments, for example, the top surface 106 a of the well region 106 (ie, the top surface 102 a of the epitaxial layer 102 ) is doped in the well region 106 to form a plurality of first first elements in the well region 106 . Heavily doped portion 1110. And the first heavily doped portions 1110 are arranged apart (for example, in the second direction D2). In one example, the first heavily doped portions 1110 have the same second conductivity type as the well region 106 , such as p-type. In some embodiments, the doping concentration of the first heavily doped portion 1110 is greater than the doping concentration of the well region 106 . In some embodiments, the doping concentration of the first heavily doped portion 1110 ranges from about 1E18 atoms/cm 3 to about 1E21 atoms/cm 3 .

根據一些實施例,可以通過沉積製程、微影圖案化製程、蝕刻製程以及佈植(implantation)製程,而形成上述的第一重摻雜部1110。在一示例中,可在井區106的頂表面106a上方沉積一硬質遮罩材料層(hardmask material layer)(未示出)(例如氧化物硬質遮罩材料層),然後在此硬質遮罩材料層上形成一圖案化光阻(未示出)、根據此圖案化光阻對硬質遮罩材料層進行蝕刻以形成一圖案化硬質遮罩108(例如氧化物硬質遮罩)。圖案化硬質遮罩108的多個開口108H對應於欲形成的第一重摻雜部1110的位置。之後,去除圖案化光阻,留下圖案化硬質遮罩108,如第4圖所示。根據形成的圖案化硬質遮罩108對井區106進行一離子佈植製程IP-2,以在井區106中形成第一重摻雜部1110。因此第一重摻雜部1110係自井區106的頂表面106a(即,磊晶層102的頂表面102a)向下延伸至井區106中。之後,去除圖案化硬質遮罩108。According to some embodiments, the above-mentioned first heavily doped portion 1110 can be formed through a deposition process, a photolithographic patterning process, an etching process, and an implantation process. In one example, a hardmask material layer (not shown) (eg, an oxide hardmask material layer) may be deposited over top surface 106a of well region 106 and then the hardmask material layer A patterned photoresist (not shown) is formed on the layer, and the hard mask material layer is etched according to the patterned photoresist to form a patterned hard mask 108 (eg, an oxide hard mask). The plurality of openings 108H of the patterned hard mask 108 correspond to the locations of the first heavily doped portions 1110 to be formed. Afterwards, the patterned photoresist is removed, leaving the patterned hard mask 108, as shown in Figure 4. An ion implantation process IP-2 is performed on the well region 106 according to the formed patterned hard mask 108 to form the first heavily doped portion 1110 in the well region 106 . Therefore, the first heavily doped portion 1110 extends downwardly from the top surface 106a of the well region 106 (ie, the top surface 102a of the epitaxial layer 102) into the well region 106. Afterwards, the patterned hard mask 108 is removed.

參照第5圖,根據一些實施例,例如自井區106的頂表面106a(即,磊晶層102的頂表面102a)在106井區中摻雜,以在井區106中形成複數個第二重摻雜部1120。且此些第二重摻雜部1120(例如在第二方向D2上)相距設置。在一示例中,此些第二重摻雜部1120具有與磊晶層102導電類型相同的第一導電類型,例如n型。在一些實施例中,第二重摻雜部1120的摻雜濃度是大於磊晶層102的摻雜濃度。在一些實施例中,第二重摻雜部1120的摻雜濃度在大約1E18 atoms/cm 3至大約1E21 atoms/cm 3的範圍之間。 Referring to FIG. 5 , according to some embodiments, for example, top surface 106 a of well region 106 (ie, top surface 102 a of epitaxial layer 102 ) is doped in well region 106 to form a plurality of second Heavily doped portion 1120. And these second heavily doped portions 1120 are arranged apart (for example, in the second direction D2). In one example, the second heavily doped portions 1120 have the same first conductivity type as the epitaxial layer 102 , such as n-type. In some embodiments, the doping concentration of the second heavily doped portion 1120 is greater than the doping concentration of the epitaxial layer 102 . In some embodiments, the doping concentration of the second heavily doped portion 1120 ranges from about 1E18 atoms/cm 3 to about 1E21 atoms/cm 3 .

根據一些實施例,可以通過沉積製程、微影圖案化製程、蝕刻製程以及佈植(implantation)製程,而形成上述的第二重摻雜部1120。在一示例中,可在井區106的頂表面106a上方沉積另一硬質遮罩材料層(hardmask material layer)(未示出)(例如氧化物硬質遮罩材料層),然後在此硬質遮罩材料層上形成另一圖案化光阻(未示出)、根據此圖案化光阻對硬質遮罩材料層進行蝕刻以形成一圖案化硬質遮罩109(例如氧化物硬質遮罩)。圖案化硬質遮罩109的多個開口109H對應於欲形成的第二重摻雜部1120的位置。之後,去除圖案化光阻,留下圖案化硬質遮罩109,如第5圖所示。根據形成的圖案化硬質遮罩109對井區106進行一離子佈植製程IP-3,以在井區106中形成第二重摻雜部1120。因此第二重摻雜部1120係自井區106的頂表面106a(即,磊晶層102的頂表面102a)向下延伸至井區106中。之後,去除圖案化硬質遮罩109。According to some embodiments, the above-mentioned second heavily doped portion 1120 can be formed through a deposition process, a photolithographic patterning process, an etching process, and an implantation process. In one example, another hardmask material layer (not shown) (eg, an oxide hardmask material layer) may be deposited over top surface 106a of well region 106 and then hardmask Another patterned photoresist (not shown) is formed on the material layer, and the hard mask material layer is etched according to the patterned photoresist to form a patterned hard mask 109 (eg, an oxide hard mask). The plurality of openings 109H of the patterned hard mask 109 correspond to the locations of the second heavily doped portions 1120 to be formed. Afterwards, the patterned photoresist is removed, leaving the patterned hard mask 109, as shown in Figure 5. An ion implantation process IP-3 is performed on the well region 106 according to the formed patterned hard mask 109 to form the second heavily doped portion 1120 in the well region 106 . Therefore, the second heavily doped portion 1120 extends downwardly from the top surface 106a of the well region 106 (ie, the top surface 102a of the epitaxial layer 102) into the well region 106. Afterwards, the patterned hard mask 109 is removed.

參照第6圖,根據一些實施例,去除圖案化硬質遮罩109(第5圖)之後,可以通過高溫活化製程(high temperature activation),以活化第一重摻雜部1110和第二重摻雜部1120中的摻雜物。如第6圖所示,第一重摻雜部1110和第二重摻雜部1120在井區106的頂表面106a(即,磊晶層102的頂表面102a)處交替地設置。Referring to Figure 6, according to some embodiments, after removing the patterned hard mask 109 (Figure 5), a high temperature activation process can be used to activate the first heavily doped portion 1110 and the second heavily doped portion. Dopants in part 1120. As shown in FIG. 6 , the first heavily doped portions 1110 and the second heavily doped portions 1120 are alternately provided at the top surface 106a of the well region 106 (ie, the top surface 102a of the epitaxial layer 102).

再者,在磊晶層102包含碳化矽(SiC)的一些實施例中,可在磊晶層102的頂表面102a上覆蓋一石墨層(graphite cap)(未示出)之後進行高溫活化製程。石墨層可以保護碳化矽表面在高溫活化製程期間免於矽的向外擴散(out-diffusion of Si)。在完成高溫活化製程後,去除石墨層。Furthermore, in some embodiments in which the epitaxial layer 102 includes silicon carbide (SiC), a high-temperature activation process may be performed after covering the top surface 102 a of the epitaxial layer 102 with a graphite cap (not shown). The graphite layer can protect the silicon carbide surface from out-diffusion of Si during the high-temperature activation process. After completing the high-temperature activation process, the graphite layer is removed.

之後,參照第7圖,根據一些實施例,去除第一重摻雜部1110的部分、第二重摻雜部1120的部分、部分的井區106和部分的磊晶層102,以形成複數個第一溝槽121。在一些實施例中,此些第一溝槽121的位置是對應於之後可作為後續形成的導電結構的遮蔽區域(shielding regions)104’的位置,例如第一溝槽121-1和第一溝槽121-2的位置分別對應於下方具有第二導電類型(例如p型)的摻雜區1041和摻雜區1042。7 , according to some embodiments, portions of the first heavily doped portion 1110 , portions of the second heavily doped portion 1120 , portions of the well region 106 and portions of the epitaxial layer 102 are removed to form a plurality of First trench 121. In some embodiments, the positions of these first trenches 121 correspond to positions of shielding regions 104' that can later serve as subsequently formed conductive structures, such as the first trench 121-1 and the first trench 121-1. The positions of the grooves 121-2 respectively correspond to the doped regions 1041 and 1042 having the second conductivity type (eg, p-type) below.

在一些實施例中,此些第一溝槽121,例如第7圖中相距設置的兩個第一溝槽121-1和121-2,係自磊晶層102的頂表面102a延伸穿過井區106,且到達飄移區R D,其中第一溝槽121的側表面121s的下方部分和底表面121b係暴露出飄移區R DIn some embodiments, the first trenches 121, such as the two first trenches 121-1 and 121-2 disposed apart in FIG. 7, extend from the top surface 102a of the epitaxial layer 102 through the wells. area 106, and reaches the drift area RD , where the lower portion of the side surface 121s and the bottom surface 121b of the first trench 121 expose the drift area RD .

再者,形成第一溝槽121之後,第一重摻雜部1110的留下部分成為第一重摻雜區111,可使後續在第一重摻雜區111上方形成的接觸插塞172(第20圖)與井區106有良好的歐姆接觸(ohmic contact)。而第二重摻雜部1120的留下部分成為第二重摻雜區112,之後可作為源極區域(source regions)。第一重摻雜區111具有第二導電類型,例如p型。第二重摻雜區112具有第一導電類型,例如n型。在一些實施例中,如第7圖所示,各個第一溝槽121的相對兩側分別接觸第一重摻雜區111的其中一者和第二重摻雜區112的其中一者。而第一溝槽121的側表面121s的上方部分則暴露出井區106、第一重摻雜區111以及第二重摻雜區112。Furthermore, after the first trench 121 is formed, the remaining portion of the first heavily doped portion 1110 becomes the first heavily doped region 111, which allows the subsequent contact plug 172 to be formed above the first heavily doped region 111 ( Figure 20) has good ohmic contact with the well area 106. The remaining portion of the second heavily doped portion 1120 becomes the second heavily doped region 112, which can later be used as source regions. The first heavily doped region 111 has a second conductivity type, such as p-type. The second heavily doped region 112 has a first conductivity type, such as n-type. In some embodiments, as shown in FIG. 7 , opposite sides of each first trench 121 contact one of the first heavily doped regions 111 and one of the second heavily doped regions 112 respectively. The upper portion of the side surface 121s of the first trench 121 exposes the well region 106, the first heavily doped region 111 and the second heavily doped region 112.

根據一些實施例,可以通過沉積製程、微影圖案化製程以及蝕刻製程,而形成上述的第一溝槽121。在一示例中,可在第一重摻雜部1110和第二重摻雜部1120的上方沉積一襯墊氧化材料層(pad oxide material layer)(未示出)和一氮化物硬質遮罩材料層(nitride hardmask material layer)(未示出),並且在氮化物硬質遮罩材料層上形成一圖案化光阻層117。襯墊氧化材料層的設置可以避免氮化物硬質遮罩材料層與磊晶層(例如包含碳化矽)直接接觸而產生的過高應力。然後,根據此圖案化光阻層117對氮化物硬質遮罩材料層、襯墊氧化材料層和下方的重摻雜部(包括部分的第一重摻雜部1110、部分的第二重摻雜部1120)以及井區106依序進行蝕刻製程,並且去除部分的飄移區R D,而形成上述的第一溝槽121。在一些實施例中,前述蝕刻製程包括一乾式蝕刻製程、一濕式蝕刻製程、一電漿蝕刻製程、一反應性離子蝕刻製程、其他合適的製程、或前述製程之組合。另外,可以理解的是,第一溝槽121的尺寸、形狀、以及位置僅為例示說明之用,並非用以限制本發明的實施例。 According to some embodiments, the above-mentioned first trench 121 can be formed through a deposition process, a photolithographic patterning process, and an etching process. In one example, a pad oxide material layer (not shown) and a nitride hard mask material may be deposited over the first heavily doped portion 1110 and the second heavily doped portion 1120 A nitride hardmask material layer (not shown) is formed, and a patterned photoresist layer 117 is formed on the nitride hardmask material layer. The arrangement of the liner oxide material layer can avoid excessive stress caused by direct contact between the nitride hard mask material layer and the epitaxial layer (for example, containing silicon carbide). Then, according to the patterned photoresist layer 117, the nitride hard mask material layer, the pad oxide material layer and the heavily doped part below (including part of the first heavily doped part 1110, part of the second heavily doped part portion 1120) and the well region 106 are sequentially etched, and a portion of the drift region RD is removed to form the above-mentioned first trench 121. In some embodiments, the etching process includes a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching process, other suitable processes, or a combination of the foregoing processes. In addition, it can be understood that the size, shape, and position of the first groove 121 are only for illustrative purposes and are not intended to limit the embodiments of the present invention.

在一些實施例中,形成第一溝槽121之後,去除圖案化光阻層117,而在第一重摻雜區111以及第二重摻雜區112的上方留下襯墊氧化層114以及氮化物硬質遮罩116。並且對結構進行一清洗製程(clean process)。In some embodiments, after forming the first trench 121, the patterned photoresist layer 117 is removed, leaving the pad oxide layer 114 and nitrogen above the first heavily doped region 111 and the second heavily doped region 112. Chemical hard mask 116. And a cleaning process is performed on the structure.

之後,參照第8圖,根據一些實施例,在第一溝槽121的側表面121s和底表面121b上形成一絕緣層(insulating layer)123。在一些實施例中,絕緣層123可為氧化矽、或其它合適的半導體氧化物材料、或前述材料的組合。在一些示例中,可透過一氧化製程(oxidation process),以在絕緣層123的側表面121s和底表面121b上順應性的(conformably)形成絕緣層123。在一些實施例中,氧化製程可以是熱氧化法(thermal oxidation)、自由基氧化法(radical oxidation)、或是其他合適的製程。在磊晶層102包含碳化矽(SiC)的一示例中,通過一高溫製程(例如使用高溫爐管),使第一溝槽121的側表面121s和底表面121b的碳化矽氧化而形成氧化矽,以作為絕緣層123。8, according to some embodiments, an insulating layer 123 is formed on the side surface 121s and the bottom surface 121b of the first trench 121. In some embodiments, the insulating layer 123 may be silicon oxide, or other suitable semiconductor oxide materials, or a combination of the foregoing materials. In some examples, the insulating layer 123 may be conformably formed on the side surface 121s and the bottom surface 121b of the insulating layer 123 through an oxidation process. In some embodiments, the oxidation process may be thermal oxidation, radical oxidation, or other suitable processes. In an example in which the epitaxial layer 102 includes silicon carbide (SiC), the silicon carbide on the side surface 121s and the bottom surface 121b of the first trench 121 is oxidized through a high-temperature process (for example, using a high-temperature furnace tube) to form silicon oxide. , as the insulating layer 123.

之後,在第一溝槽121的下方進行導電結構130(第14圖)的製作。根據本揭露的一些實施例,製作導電結構130的一些中間階段例如(但不限於)第9~14圖所示。After that, the conductive structure 130 (FIG. 14) is fabricated below the first trench 121. According to some embodiments of the present disclosure, some intermediate stages of fabricating the conductive structure 130 are, for example, but not limited to, as shown in Figures 9-14.

參照第9圖,根據一些實施例,形成一間隔物層(spacer layer)124於第一溝槽121中。在一些實施例中,可通過一沉積製程在氮化物硬質遮罩116的頂表面和側表面上、襯墊氧化層114的側表面上、以及第一溝槽121中的絕緣層123上共形的沉積間隔物層124。在此一示例中,間隔物層124可覆蓋第一溝槽121中的絕緣層123的所有暴露表面。間隔物層124也縮小了第一溝槽121在第二方向D2(例如X方向)上的寬度。Referring to FIG. 9 , according to some embodiments, a spacer layer 124 is formed in the first trench 121 . In some embodiments, a deposition process may be performed on the top and side surfaces of the nitride hard mask 116 , the side surfaces of the pad oxide layer 114 , and the insulating layer 123 in the first trench 121 . The spacer layer 124 is deposited. In this example, the spacer layer 124 may cover all exposed surfaces of the insulating layer 123 in the first trench 121 . The spacer layer 124 also reduces the width of the first trench 121 in the second direction D2 (eg, X direction).

在一些實施例中,間隔物層124包括氮化矽、氮氧化矽、其它合適的間隔物材料、或前述材料的組合。間隔物層124的材料可以與氮化物硬質遮罩116的材料相同、或是與氮化物硬質遮罩116的材料不同。在此一示例中,間隔物層124與氮化物硬質遮罩116包括氮化矽。再者,間隔物層124的沉積製程例如是一順應性沉積製程(conformal deposition process),且可以是一物理氣相沉積(PVD)製程、一化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、其他合適的沉積製程、或前述製程之組合。In some embodiments, spacer layer 124 includes silicon nitride, silicon oxynitride, other suitable spacer materials, or combinations of the foregoing materials. The material of the spacer layer 124 may be the same as the material of the nitride hard mask 116 , or may be different from the material of the nitride hard mask 116 . In this example, spacer layer 124 and nitride hard mask 116 include silicon nitride. Furthermore, the deposition process of the spacer layer 124 is, for example, a conformal deposition process, and may be a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, or atomic layer deposition (ALD). ALD) process, other suitable deposition processes, or a combination of the foregoing processes.

參照第10圖,根據一些實施例,可通過蝕刻製程,去除一部分的間隔物層124和一部分的絕緣層123,以暴露出飄移區R D的磊晶材料。此蝕刻製程為非等向性蝕刻(anisotropic etching) 製程,可使第一溝槽121繼續朝著基底100的方向延伸,例如沿著第一方向D1(例如Z方向)延伸。具體而言,對於待蝕刻的材料層,前述蝕刻製程在第一方向D1上蝕刻,但在第二方向D2上大致上不蝕刻。 Referring to FIG. 10 , according to some embodiments, a portion of the spacer layer 124 and a portion of the insulating layer 123 may be removed through an etching process to expose the epitaxial material of the drift region RD . This etching process is an anisotropic etching process, which allows the first trench 121 to continue extending toward the direction of the substrate 100 , for example, along the first direction D1 (eg, the Z direction). Specifically, for the material layer to be etched, the aforementioned etching process etches in the first direction D1, but does not substantially etch in the second direction D2.

在一些實施例中,上述蝕刻製程包括一毯式蝕刻製程(blanket etch),在無需使用任何光阻的情況下,沿著間隔物層124在第一溝槽121中的側壁124s,對間隔物層124的底部和絕緣層123的底部進行非等向性蝕刻,以去除部分的間隔物層124和部分的絕緣層123,並且以磊晶層102(飄移區R D的磊晶材料層)為此毯式蝕刻製程的一蝕刻停止層。在此一示例中,前述毯式蝕刻製程為一乾式蝕刻製程。 In some embodiments, the above-mentioned etching process includes a blanket etching process, without using any photoresist, to etch the spacers along the sidewalls 124s of the spacer layer 124 in the first trench 121. The bottom of the layer 124 and the bottom of the insulating layer 123 are anisotropically etched to remove part of the spacer layer 124 and part of the insulating layer 123, and the epitaxial layer 102 (the epitaxial material layer of the drift region RD ) is An etch stop layer for this blanket etching process. In this example, the blanket etching process is a dry etching process.

再者,在此一示例中,留在襯墊氧化層114上方的氮化物硬質遮罩116可以阻擋前述毯式蝕刻製程,以避免沿著第一方向D1進行的非等向性蝕刻損傷到襯墊氧化層114和下方的第一重摻雜區111和第二重摻雜區112。Furthermore, in this example, the nitride hard mask 116 left above the pad oxide layer 114 can block the aforementioned blanket etching process to prevent the anisotropic etching along the first direction D1 from damaging the pad. The pad oxide layer 114 and the first heavily doped region 111 and the second heavily doped region 112 below.

參照第11圖,根據一些實施例,自延伸的第一溝槽121的底表面去除部分的磊晶層102(飄移區R D的磊晶材料層)、部分的摻雜區1041以及部分的摻雜區1042,以形成複數個第二溝槽(second trenches)126。此些第二溝槽126分別連通相應的第一溝槽121,並且第二溝槽126的底表面126b是停止在摻雜區1041和摻雜區1042中。再者,在一些實施例中,第二溝槽126的側表面126s是自對準(self-aligned)於在第一溝槽121中的間隔物層124的側壁124s。 Referring to Figure 11, according to some embodiments, a portion of the epitaxial layer 102 (the epitaxial material layer of the drift region RD ), a portion of the doped region 1041 and a portion of the doped region are removed from the bottom surface of the extended first trench 121. The impurity region 1042 is formed to form a plurality of second trenches 126. The second trenches 126 are connected to the corresponding first trenches 121 respectively, and the bottom surfaces 126b of the second trenches 126 stop in the doped regions 1041 and 1042 . Furthermore, in some embodiments, the side surfaces 126s of the second trench 126 are self-aligned with the sidewalls 124s of the spacer layer 124 in the first trench 121.

具體而言,在此一示例中,第二溝槽126-1的位置例如是接續第一溝槽121-1以在磊晶層102中延伸,並去除部分的摻雜區1041,使第二溝槽126-1的底表面126b停止在摻雜區1041中。形成第二溝槽126-1後,摻雜區1041的留下部分可做為後續形成的導電結構130(第14圖)的遮蔽區域(shielding region)1041’。同樣的,第二溝槽126-2的位置例如是接續第一溝槽121-2以在磊晶層102中延伸,並去除部分的摻雜區1042,使第二溝槽126-2的底表面126b停止在摻雜區1042中。形成第二溝槽126-2後,摻雜區1042的留下部分可做為後續形成的導電結構130(第14圖)的遮蔽區域1042’。Specifically, in this example, the position of the second trench 126-1 is, for example, to continue the first trench 121-1 to extend in the epitaxial layer 102, and remove part of the doped region 1041, so that the second trench 126-1 is Bottom surface 126b of trench 126-1 stops in doped region 1041. After the second trench 126-1 is formed, the remaining portion of the doped region 1041 can be used as a shielding region 1041' for the subsequently formed conductive structure 130 (FIG. 14). Similarly, the position of the second trench 126-2 is, for example, to continue the first trench 121-2 to extend in the epitaxial layer 102, and remove part of the doped region 1042, so that the bottom of the second trench 126-2 Surface 126b stops in doped region 1042. After the second trench 126-2 is formed, the remaining portion of the doped region 1042 can be used as a shielding region 1042' for the subsequently formed conductive structure 130 (FIG. 14).

在一些實施例中,此些第二溝槽126,例如第11圖中相距設置的兩個第二溝槽126-1和126-2,係接續第一溝槽121而在磊晶層102中延伸,並且到達遮蔽區域1041’和遮蔽區域1042’。換言之,在此示例中,第二溝槽126-1的側表面126s的上方部分暴露出飄移區R D,第二溝槽126-1的側表面126s的下方部分和底表面126b則暴露出遮蔽區域1041’。第二溝槽126-2的側表面126s的上方部分暴露出飄移區R D,第二溝槽126-2的側表面126s的下方部分和底表面126b則暴露出遮蔽區域1042’。 In some embodiments, these second trenches 126, such as the two second trenches 126-1 and 126-2 disposed apart in FIG. 11, are connected to the first trench 121 in the epitaxial layer 102. Extend and reach the shielding area 1041' and the shielding area 1042'. In other words, in this example, the upper portion of the side surface 126s of the second trench 126-1 exposes the drift region RD , and the lower portion of the side surface 126s and the bottom surface 126b of the second trench 126-1 expose the shielding Area 1041'. The upper portion of the side surface 126s of the second trench 126-2 exposes the drift region RD , and the lower portion of the side surface 126s and the bottom surface 126b of the second trench 126-2 exposes the shielding area 1042'.

在一些實施例中,可通過合適的蝕刻製程以去除部分的磊晶層102(飄移區R D的磊晶材料層)、部分的摻雜區1041以及部分的摻雜區1042,而形成第二溝槽126。前述蝕刻製程包括一乾式蝕刻製程、一濕式蝕刻製程、一電漿蝕刻製程、一反應性離子蝕刻製程、其他合適的製程、或前述製程之組合。另外,可以理解的是,第二溝槽126的尺寸、形狀、以及位置僅為例示說明之用,並非用以限制本發明的實施例。 In some embodiments, a suitable etching process may be used to remove part of the epitaxial layer 102 (the epitaxial material layer of the drift region RD ), part of the doped region 1041 and part of the doped region 1042 to form a second Groove 126. The aforementioned etching process includes a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching process, other suitable processes, or a combination of the foregoing processes. In addition, it can be understood that the size, shape, and position of the second groove 126 are only for illustrative purposes and are not intended to limit the embodiments of the present invention.

在形成第二溝槽126後,去除間隔物層124和氮化物硬質遮罩116,因而暴露出位於第一重摻雜區111和第二重摻雜區112上方的襯墊氧化層114以及位於第一溝槽121中的絕緣層123的留下部分。在一些實施例中,例如通過等向蝕刻製程(isotropic etchprocess)製程、濕式蝕刻製程(例如酸蝕)、或是其他可接受的製程,以將上述間隔物層124和氮化物硬質遮罩116去除。After the second trench 126 is formed, the spacer layer 124 and the nitride hard mask 116 are removed, thereby exposing the pad oxide layer 114 above the first and second heavily doped regions 111 and 112 and the pad oxide layer 114 and the nitride hard mask 116 . The remaining portion of the insulating layer 123 in the first trench 121 . In some embodiments, the spacer layer 124 and the nitride hard mask 116 are formed by, for example, an isotropic etch process, a wet etching process (such as acid etching), or other acceptable processes. Remove.

再者,在一些實施例中,在去除間隔物層124和氮化物硬質遮罩116之後,各個第一溝槽121的寬度(例如在第二方向D2上的寬度)W1是大於各個第二溝槽126的寬度(例如在第二方向D2上的寬度)W2。Furthermore, in some embodiments, after the spacer layer 124 and the nitride hard mask 116 are removed, the width W1 of each first trench 121 (eg, the width in the second direction D2) is larger than that of each second trench. The width of the groove 126 (eg, the width in the second direction D2) W2.

根據上述,所形成的第二溝槽126在後續製程中會填入合適的導電材料,而形成導電結構130。According to the above, the formed second trench 126 will be filled with a suitable conductive material in subsequent processes to form the conductive structure 130 .

參照第12圖,根據一些實施例,在各個第二溝槽126的側表面126s和底表面126b上形成一金屬矽化物襯層(metal silicide liner)131。在一些實施例中,各個第二溝槽126中的金屬矽化物襯層131是與磊晶層102(飄移區R D的磊晶材料層)以及遮蔽區域(例如遮蔽區域1041’或遮蔽區域1042’)直接接觸。 Referring to FIG. 12, according to some embodiments, a metal silicide liner 131 is formed on the side surface 126s and the bottom surface 126b of each second trench 126. In some embodiments, the metal silicide liner 131 in each second trench 126 is connected to the epitaxial layer 102 (the epitaxial material layer of the drift region RD ) and the shielding area (eg, the shielding area 1041' or the shielding area 1042 ') direct contact.

在磊晶層102包含碳化矽(SiC)的一示例中,金屬矽化物襯層131例如是包括可與碳化矽反應的一金屬材料在反應後所產生的金屬矽化物。在一些實施例中,金屬矽化物襯層131包括矽化鈦(titanium silicide;TiSi 2)、矽化鎳(nickel silicide;NiSi)、矽化鉑(platinum silicide;PtSi)、或其他合適的金屬矽化物材料。 In an example in which the epitaxial layer 102 includes silicon carbide (SiC), the metal silicide lining layer 131 includes, for example, metal silicide produced by reacting a metal material that can react with silicon carbide. In some embodiments, the silicide liner 131 includes titanium silicide (TiSi 2 ), nickel silicide (NiSi), platinum silicide (PtSi), or other suitable silicide materials.

根據一些實施例,磊晶層102包含碳化矽(SiC),可以先整面的沉積可與碳化矽反應的一金屬材料層,此金屬材料層例如是共形的沉積於襯墊氧化層114、第一溝槽121中的絕緣層123的留下部分以及第二溝槽126的側表面126s和底表面126b之上。在一些實施例中,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他合適的製程、或前述製程之組合,以沉積金屬材料層。然後,例如通過快速加熱製程(rapid thermal processing,RTP),使得沉積於第二溝槽126中的金屬材料層可以與碳化矽(SiC)產生矽化(silicidation)反應,而形成金屬矽化物,例如矽化鈦、矽化鎳、矽化鉑或其他的金屬矽化物。而沉積在襯墊氧化層114和絕緣層(例如包括氧化物)123的留下部分上的金屬材料層,則不與襯墊氧化層114和絕緣層123反應而仍為金屬材料層。之後,去除(例如使用合適的酸蝕刻劑)未反應的金屬材料層,以暴露出襯墊氧化層114和第一溝槽121中的絕緣層123的留下部分,而在各個第二溝槽126的側表面126s和底表面126b上形成金屬矽化物襯層131,如第12圖所示。在一些實施例中,各個第二溝槽126中的金屬矽化物襯層131的最頂部是鄰近、或直接接觸第一溝槽121中絕緣層123的留下部分的最底部。According to some embodiments, the epitaxial layer 102 includes silicon carbide (SiC), and a metal material layer that can react with silicon carbide can be deposited on the entire surface first. This metal material layer is, for example, conformally deposited on the pad oxide layer 114, The remaining portion of the insulating layer 123 in the first trench 121 and above the side surface 126s and the bottom surface 126b of the second trench 126. In some embodiments, the metal material can be deposited by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, other suitable processes, or a combination of the foregoing processes. layer. Then, for example, through rapid thermal processing (RTP), the metal material layer deposited in the second trench 126 can react with silicon carbide (SiC) to form silicidation, such as silicide. Titanium, nickel silicide, platinum silicide or other metal silicides. The metal material layer deposited on the remaining portion of the pad oxide layer 114 and the insulating layer (for example, including oxide) 123 does not react with the pad oxide layer 114 and the insulating layer 123 and remains a metal material layer. Thereafter, the unreacted metal material layer is removed (for example, using a suitable acid etchant) to expose the pad oxide layer 114 and the remaining portion of the insulating layer 123 in the first trench 121, while in each second trench A metal silicide liner 131 is formed on the side surface 126s and the bottom surface 126b of 126, as shown in Figure 12. In some embodiments, the topmost portion of the metal silicide liner 131 in each second trench 126 is adjacent to, or in direct contact with, the bottommost portion of the remaining portion of the insulating layer 123 in the first trench 121 .

在各個第二溝槽126中形成金屬矽化物襯層131之後,係形成一導電部132於各個第二溝槽126中,以在第二溝槽126中形成導電結構130。一些示例的製法說明如下。After forming the metal silicide liner 131 in each second trench 126 , a conductive portion 132 is formed in each second trench 126 to form a conductive structure 130 in the second trench 126 . Some example recipes are shown below.

參照第13圖,根據一些實施例,在如第12圖所示的結構上方沉積第一導電材料1320,且此第一導電材料1320填滿第二溝槽126和第一溝槽121,並過量地高過襯墊氧化層114的頂表面114a。如第13圖所示。Referring to Figure 13, according to some embodiments, a first conductive material 1320 is deposited over the structure shown in Figure 12, and the first conductive material 1320 fills the second trench 126 and the first trench 121, and is excessively The ground is higher than the top surface 114a of the pad oxide layer 114. As shown in Figure 13.

在一些實施例中,第一導電材料1320可以由金屬、合金、多晶矽、其他合適的導電材料、或前述材料之組合所形成。在一些實施例中,第一導電材料1320可以是單層或多層結構。在此一示例中,第一導電材料1320包括單層的多晶矽。在第二溝槽126中的第一導電材料1320的部分是以金屬矽化物襯層131而與磊晶層102分隔開來。In some embodiments, the first conductive material 1320 may be formed of metal, alloy, polysilicon, other suitable conductive materials, or a combination of the foregoing materials. In some embodiments, the first conductive material 1320 may be a single layer or a multi-layer structure. In this example, first conductive material 1320 includes a single layer of polysilicon. Portions of the first conductive material 1320 in the second trench 126 are separated from the epitaxial layer 102 by a metal silicide liner 131 .

在一些示例中,上述沉積製程可以是物理氣相沉積(physical vapor deposition;PVD)製程、化學氣相沉積(CVD)製程、其他合適的製程、或是前述製程之組合,以沉積第一導電材料1320。In some examples, the deposition process may be a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, other suitable processes, or a combination of the foregoing processes to deposit the first conductive material. 1320.

之後,參照第14圖,根據一些實施例,去除部分的第一導電材料1320,使第一導電材料1320的留下部分填滿第二溝槽126,而形成導電部132。Then, referring to FIG. 14 , according to some embodiments, a portion of the first conductive material 1320 is removed, so that the remaining portion of the first conductive material 1320 fills the second trench 126 to form the conductive portion 132 .

在一些實施例中,各個第二溝槽126中的金屬矽化物襯層131和導電部132是共同構成一導電結構130。其中,金屬矽化物襯層131是包覆導電部132的側壁132s和底表面132b,如第14圖所示。In some embodiments, the metal silicide liner 131 and the conductive portion 132 in each second trench 126 jointly form a conductive structure 130 . Among them, the metal silicide lining layer 131 covers the side walls 132s and the bottom surface 132b of the conductive part 132, as shown in Figure 14.

在一些示例中,上述去除部分的第一導電材料1320的步驟可以(但不限於)包含:在第一導電材料1320的上方形成一圖案化光阻,並根據圖案化光阻對第一導電材料1320進行蝕刻,以去除部分的第一導電材料1320至一特定深度,而在第二溝槽126中形成如第14圖所示的導電部132。In some examples, the step of removing a portion of the first conductive material 1320 may (but is not limited to) include: forming a patterned photoresist above the first conductive material 1320, and applying the patterned photoresist to the first conductive material. Etch 1320 is performed to remove part of the first conductive material 1320 to a specific depth, and form a conductive portion 132 as shown in FIG. 14 in the second trench 126 .

在一些其他的示例中,上述去除部分的第一導電材料1320的步驟可以(但不限於)包含:首先以一平坦化製程去除第一導電材料1320的過量部分,例如位於襯墊氧化層114上方的第一導電材料1320的部分,以暴露出襯墊氧化層114。上述平坦化製程例如是一化學機械研磨(CMP)製程、一機械拋光製程、一蝕刻製程、其它合適的製程、或前述製程之組合。之後,對於在第一溝槽126中的第一導電材料1320的部份進行回蝕刻,以使第一導電材料1320下凹一特定深度至第二溝槽126中,而形成如第14圖所示的導電部132。In some other examples, the step of removing a portion of the first conductive material 1320 may (but is not limited to) include: first removing an excess portion of the first conductive material 1320 through a planarization process, such as above the pad oxide layer 114 portion of the first conductive material 1320 to expose the pad oxide layer 114 . The above-mentioned planarization process is, for example, a chemical mechanical polishing (CMP) process, a mechanical polishing process, an etching process, other suitable processes, or a combination of the foregoing processes. After that, the portion of the first conductive material 1320 in the first trench 126 is etched back, so that the first conductive material 1320 is recessed to a specific depth into the second trench 126 to form a structure as shown in FIG. 14 The conductive portion 132 is shown.

根據一些實施例,在第二溝槽126中的導電結構130,其金屬矽化物襯層131可作為具有第二導電類型(例如n型)的飄移區R D和導電部132的接面的一蕭特基阻障部(Schottky barrier)。而且各個導電結構130在後續製程中與源極電極(例如第20圖的金屬層182)電性連接,以與飄移區R D整體構成一個蕭特基二極體(Schottky diode)。在不同導電類型的井區106和飄移區R D的界面所固有寄生的二極體(intrinsic diode)稱為基體二極體(body diode),實施例的蕭特基二極體會與基體二極體並聯。由於蕭特基二極體的能障比基體二極體的能障更低,即導通電阻(Von)更低,在操作半導體裝置時,載子會經由蕭特基二極體而非基體二極體流動。因此,根據實施例提出的導電結構130在後續電性連接到源極電極後,可形成上述的蕭特基二極體,而使基體二極體失能,進而使半導體裝置達到降低導通電阻和減少功率損失的益處。 According to some embodiments, the metal silicide liner 131 of the conductive structure 130 in the second trench 126 can serve as a junction between the drift region RD and the conductive portion 132 having a second conductivity type (eg, n-type). Schottky barrier. Moreover, each conductive structure 130 is electrically connected to the source electrode (such as the metal layer 182 in FIG. 20) in subsequent processes, so as to form a Schottky diode (Schottky diode) with the drift region RD as a whole. The intrinsic parasitic diode at the interface between the well region 106 and the drift region RD of different conductivity types is called a body diode. The Schottky diode of the embodiment will be different from the body diode. bodies in parallel. Since the energy barrier of the Schottky diode is lower than that of the base diode, that is, the on-resistance (Von) is lower, when operating a semiconductor device, carriers will pass through the Schottky diode instead of the base diode. Polar body flow. Therefore, after the conductive structure 130 proposed according to the embodiment is subsequently electrically connected to the source electrode, it can form the above-mentioned Schottky diode, thereby disabling the base diode, thereby enabling the semiconductor device to reduce the on-resistance and Benefits of reduced power loss.

再者,根據本揭露的一些實施例,導電結構130位於下方的飄移區R D中,而非鄰近磊晶層102的頂表面102a而佔據磊晶層102的台面(mesa)區域。因此,實施例提出的導電結構130並不需要額外佔用磊晶層102的台面區域,因此可縮小裝置中相鄰單元之間的間距(cell pitch),進而降低通道區電阻。 Furthermore, according to some embodiments of the present disclosure, the conductive structure 130 is located in the underlying drift region RD rather than occupying the mesa region of the epitaxial layer 102 adjacent to the top surface 102 a of the epitaxial layer 102 . Therefore, the conductive structure 130 proposed in the embodiment does not need to occupy additional mesa area of the epitaxial layer 102, so it can reduce the cell pitch between adjacent cells in the device, thereby reducing the channel area resistance.

在一些實施例中,如第14圖所示,導電結構130的頂表面130a(亦即,導電部132的頂表面132a)是低於磊晶層102的頂表面(亦即,井區106的頂表面106a)。In some embodiments, as shown in FIG. 14 , the top surface 130 a of the conductive structure 130 (ie, the top surface 132 a of the conductive portion 132 ) is lower than the top surface of the epitaxial layer 102 (ie, the top surface of the well region 106 Top surface 106a).

在一些實施例中,如第14圖所示,導電結構130的頂表面130a(亦即,導電部132的頂表面132a)是低於第一重摻雜區111的頂表面111a,也低於第一重摻雜區111的底表面111b。類似的,導電結構130的頂表面130a是低於第二重摻雜區112的頂表面112a,也低於第二重摻雜區112的底表面112b。In some embodiments, as shown in FIG. 14, the top surface 130a of the conductive structure 130 (ie, the top surface 132a of the conductive portion 132) is lower than the top surface 111a of the first heavily doped region 111 and is also lower than the top surface 111a of the first heavily doped region 111. The bottom surface 111b of the first heavily doped region 111. Similarly, the top surface 130a of the conductive structure 130 is lower than the top surface 112a of the second heavily doped region 112 and is also lower than the bottom surface 112b of the second heavily doped region 112.

在一些實施例中,如第14圖所示,導電結構130的頂表面130a是低於井區106的底表面106b。因此,根據一些實施例,導電結構130是埋置於飄移區R D中,並且導電結構130的頂表面130a與井區106的底表面106b在第一方向D1(例如Z方向)上相隔一距離。 In some embodiments, as shown in FIG. 14 , the top surface 130 a of the conductive structure 130 is lower than the bottom surface 106 b of the well region 106 . Therefore, according to some embodiments, the conductive structure 130 is buried in the drift region RD , and the top surface 130a of the conductive structure 130 and the bottom surface 106b of the well region 106 are separated by a distance in the first direction D1 (eg, Z direction) .

之後,在導電結構130上方進行閘極結構GS(第18圖)的製作。根據本揭露的一些實施例,製作閘極結構GS的一些中間階段例如(但不限於)第15~18圖所示。Afterwards, the gate structure GS (FIG. 18) is fabricated above the conductive structure 130. According to some embodiments of the present disclosure, some intermediate stages of fabricating the gate structure GS are, for example, but not limited to, as shown in Figures 15 to 18.

參照第15圖,根據一些實施例,至少在第一溝槽121的側表面121s和底表面121b上形成一介電層134。在此一示例中,在如第14圖所示的結構上形成一介電材料,包括在襯墊氧化層114上以及在第一溝槽121中的絕緣層123的留下部分上形成此介電材料,而形成如第15圖所示的介電層134。具體而言,在第一溝槽121中,在導電結構130的上方並且對應沉積於第一溝槽121的下方部分所形成的介電材料是介電層134的底部部分(bottom portion)135。在第一溝槽121中,於絕緣層123的留下部分(第14圖)上形成的介電材料係與絕緣層123共同稱為介電層134的側壁部分(sidewall portions)136。在襯墊氧化層114(第14圖)上形成的介電材料與襯墊氧化層114共同稱為介電層134的頂部部分(top portions)137。亦即,介電層134包括前述之底部部分135、側壁部分136以及頂部部分137。Referring to FIG. 15, according to some embodiments, a dielectric layer 134 is formed on at least the side surface 121s and the bottom surface 121b of the first trench 121. In this example, forming a dielectric material on the structure shown in FIG. 14 includes forming the dielectric material on the pad oxide layer 114 and on the remaining portion of the insulating layer 123 in the first trench 121 . electrical material to form the dielectric layer 134 as shown in FIG. 15 . Specifically, in the first trench 121 , the dielectric material formed above the conductive structure 130 and corresponding to the portion deposited below the first trench 121 is the bottom portion 135 of the dielectric layer 134 . In the first trench 121 , the dielectric material formed on the remaining portion of the insulating layer 123 ( FIG. 14 ) is collectively referred to as sidewall portions 136 of the dielectric layer 134 together with the insulating layer 123 . The dielectric material formed on pad oxide layer 114 (FIG. 14), together with pad oxide layer 114, is referred to as top portions 137 of dielectric layer 134. That is, the dielectric layer 134 includes the aforementioned bottom portion 135, sidewall portions 136, and top portion 137.

在一些實施例中,如第15圖所示,介電層134的底部部分135的厚度T B大於介電層134的側壁部分136的厚度T S。厚度T B例如是在第一方向D1(如Z方向)上的厚度,厚度T S例如是在第二方向D2(如Z方向)上的厚度。在一些實施例中,第一溝槽121中的介電層134的底部部分135可以用來使後續形成的閘極電極142’與下方的導電結構130電性隔絕。而因此,具有足夠厚度T B的介電層134的底部部分135可以使閘極電極142’與導電結構130良好地電性隔絕。 In some embodiments, as shown in FIG. 15 , the thickness TB of the bottom portion 135 of the dielectric layer 134 is greater than the thickness TS of the sidewall portion 136 of the dielectric layer 134 . The thickness TB is, for example, the thickness in the first direction D1 (eg, the Z direction), and the thickness TS is, for example, the thickness in the second direction D2 (eg, the Z direction). In some embodiments, the bottom portion 135 of the dielectric layer 134 in the first trench 121 can be used to electrically isolate the subsequently formed gate electrode 142' from the underlying conductive structure 130. Therefore, the bottom portion 135 of the dielectric layer 134 with sufficient thickness TB can well electrically isolate the gate electrode 142' from the conductive structure 130.

在一些實施例中,絕緣層123可以與介電層134包括相同材料、或不同材料。在一些實施例中,襯墊氧化層114可以與介電層134包括相同材料、或不同材料。為簡化圖式,第15~20圖係省略示出襯墊氧化層114以及/或絕緣層123。In some embodiments, the insulating layer 123 and the dielectric layer 134 may include the same material, or different materials. In some embodiments, pad oxide layer 114 may include the same material as dielectric layer 134 , or a different material. To simplify the drawings, the pad oxide layer 114 and/or the insulating layer 123 are omitted in Figures 15 to 20.

在一些實施例中,介電層134可包括氧化物例如氧化矽、或是其它合適的介電材料,或是前述材料的組合。在一些實施例中,介電層134可以是單層或多層的介電材料。In some embodiments, the dielectric layer 134 may include an oxide such as silicon oxide, other suitable dielectric materials, or a combination of the foregoing materials. In some embodiments, dielectric layer 134 may be a single layer or multiple layers of dielectric material.

在一些實施例中,可通過一沉積製程在第一重摻雜區111、第二重摻雜區112、以及第一溝槽121的側表面121s和底表面121b上形成介電層134。前述沉積製程例如是一順應性沉積製程(conformal deposition process),且可以是一化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、其他合適的沉積製程、或前述製程之組合。In some embodiments, the dielectric layer 134 may be formed on the first heavily doped region 111, the second heavily doped region 112, and the side surface 121s and the bottom surface 121b of the first trench 121 through a deposition process. The aforementioned deposition process is, for example, a conformal deposition process, and may be a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, other suitable deposition processes, or a combination of the foregoing processes.

在此一示例中,可使用一高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP CVD)製程進行介電材料的沉積,以形成如第15圖所示的介電層134。在高密度電漿化學氣相沉積製程中,介電材料在垂直方向(例如第一方向D1)上的沉積速度會大於在水平方向(例如第二方向D2)上的沉積速度。因此,在一些實施例中,如第15圖所示,介電層134的底部部分135的厚度T B大於介電層134的側壁部分136的厚度T S。再者,介電層134的頂部部分137也由於在垂直方向和水平方向上沉積速度的差異,而形成錐形剖面,如第15圖所示。然而,可以理解的是,如第15圖所示的介電層134的尺寸、形狀及其形成方法,僅為例示說明之用,並非用以限制本發明的實施例。 In this example, a high density plasma chemical vapor deposition (HDP CVD) process may be used to deposit the dielectric material to form the dielectric layer 134 as shown in FIG. 15 . In the high-density plasma chemical vapor deposition process, the deposition speed of the dielectric material in the vertical direction (such as the first direction D1) is greater than the deposition speed in the horizontal direction (such as the second direction D2). Therefore, in some embodiments, as shown in FIG. 15, the thickness TB of the bottom portion 135 of the dielectric layer 134 is greater than the thickness TS of the sidewall portion 136 of the dielectric layer 134. Furthermore, the top portion 137 of the dielectric layer 134 also forms a tapered cross-section due to the difference in deposition speed in the vertical direction and the horizontal direction, as shown in FIG. 15 . However, it can be understood that the size, shape and formation method of the dielectric layer 134 as shown in FIG. 15 are only for illustrative purposes and are not intended to limit the embodiments of the present invention.

根據一些實施例,在經過後續製程以去除部分的介電層134之後,各個第一溝槽121中的介電層134的留下部分可做為閘極結構的一閘極介電層(gate dielectric layer)134’(第18圖),其製程詳述於後。According to some embodiments, after a subsequent process to remove part of the dielectric layer 134, the remaining portion of the dielectric layer 134 in each first trench 121 can be used as a gate dielectric layer of the gate structure. dielectric layer) 134' (Figure 18), the manufacturing process of which is detailed below.

參照第16圖,根據一些實施例,在磊晶層102的頂表面102a上沉積一第二導電材料1420,且第二導電材料1420位於介電層134上並填滿第一溝槽121。再者,在一些實施例中,若介電層134具有高低起伏的表面,則第二導電材料1420可過量的沉積至一特定厚度,使得第二導電材料1420的頂表面1420a除了高過介電層134的最頂部,還呈現一平坦表面(flat surface)。Referring to FIG. 16 , according to some embodiments, a second conductive material 1420 is deposited on the top surface 102 a of the epitaxial layer 102 , and the second conductive material 1420 is located on the dielectric layer 134 and fills the first trench 121 . Furthermore, in some embodiments, if the dielectric layer 134 has an undulating surface, the second conductive material 1420 may be excessively deposited to a specific thickness such that the top surface 1420a of the second conductive material 1420 is not only higher than the dielectric The topmost portion of layer 134 also presents a flat surface.

在一些實施例中,第二導電材料1420可以由金屬、合金、多晶矽、其他合適的導電材料、或前述材料之組合所形成。在一些實施例中,第二導電材料1420可以是單層或多層結構。為簡化圖式,在此示例中係繪製單層的第二導電材料1420。In some embodiments, the second conductive material 1420 may be formed of metal, alloy, polysilicon, other suitable conductive materials, or a combination of the foregoing materials. In some embodiments, the second conductive material 1420 may be a single layer or a multi-layer structure. To simplify the diagram, a single layer of second conductive material 1420 is drawn in this example.

再者,在第二溝槽126中的導電結構130(包含由第一導電材料1320製得的導電部132),是以介電層134的底部部分135而與第二導電材料1420分隔開來。在一些實施例中,第一導電材料1320和第二導電材料1420包含相同的導電材料。在一些其他實施例中,第一導電材料1320和第二導電材料1420包含不同的導電材料。在此示例中,第一導電材料1320和第二導電材料1420包含多晶矽。Furthermore, the conductive structure 130 (including the conductive portion 132 made of the first conductive material 1320) in the second trench 126 is separated from the second conductive material 1420 by the bottom portion 135 of the dielectric layer 134. Come. In some embodiments, first conductive material 1320 and second conductive material 1420 comprise the same conductive material. In some other embodiments, first conductive material 1320 and second conductive material 1420 include different conductive materials. In this example, first conductive material 1320 and second conductive material 1420 include polysilicon.

在一些示例中,上述沉積製程可以是物理氣相沉積(physical vapor deposition;PVD)製程、化學氣相沉積(CVD)製程、其他合適的製程、或是前述製程之組合,以沉積第二導電材料1420。In some examples, the deposition process may be a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, other suitable processes, or a combination of the foregoing processes to deposit the second conductive material. 1420.

之後,根據一些實施例,去除部分的第二導電材料1420,使第二導電材料1420的留下部分填滿第一溝槽121,而形成導電部142’(第18圖)。可通過平坦化製程和蝕刻製程形成導電部142’,如下所述。Afterwards, according to some embodiments, a portion of the second conductive material 1420 is removed, so that the remaining portion of the second conductive material 1420 fills the first trench 121 to form the conductive portion 142' (FIG. 18). The conductive portion 142' may be formed through a planarization process and an etching process, as described below.

如第16圖所示,根據一些實施例,先以一平坦化製程去除一部分過量的第二導電材料1420。例如,先去除在線L C-L C表示的平面以上的第二導電材料1420的部分。平坦化製程後,第二導電材料1420的留下部分具有平坦的頂表面,如線L C-L C所示,且此平坦的頂表面接近介電層134的最頂部,但仍覆蓋介電層134。 As shown in FIG. 16, according to some embodiments, a planarization process is first used to remove a portion of the excess second conductive material 1420. For example, the portion of the second conductive material 1420 above the plane represented by the line L C - L C is first removed. After the planarization process, the remaining portion of the second conductive material 1420 has a flat top surface, as shown by the line L C - L C , and this flat top surface is close to the top of the dielectric layer 134 but still covers the dielectric layer 134 . Layer 134.

上述平坦化製程例如是一化學機械研磨(CMP)製程、一機械拋光製程、一蝕刻製程、其它合適的製程、或前述製程之組合。The above-mentioned planarization process is, for example, a chemical mechanical polishing (CMP) process, a mechanical polishing process, an etching process, other suitable processes, or a combination of the foregoing processes.

然後,參照第17圖,根據一些實施例,再去除一部分的第二導電材料1420,以下凹第二導電材料1420至暴露出介電層134的頂部部分137。第二導電材料1420的留下部分142填滿第一溝槽121,並且覆蓋介電層134的側壁部分136和介電層134的頂部部分137。在一些示例中,第二導電材料1420的留下部分142的頂表面142a略高於磊晶層102的頂表面102a。在一些示例中,第二導電材料1420的留下部分142的頂表面142a是與磊晶層102的頂表面102a大致共平面。Then, referring to FIG. 17 , according to some embodiments, a portion of the second conductive material 1420 is removed to recess the second conductive material 1420 to expose the top portion 137 of the dielectric layer 134 . The remaining portion 142 of the second conductive material 1420 fills the first trench 121 and covers the sidewall portion 136 of the dielectric layer 134 and the top portion 137 of the dielectric layer 134 . In some examples, the top surface 142a of the remaining portion 142 of the second conductive material 1420 is slightly higher than the top surface 102a of the epitaxial layer 102 . In some examples, the top surface 142 a of the remaining portion 142 of the second conductive material 1420 is generally coplanar with the top surface 102 a of the epitaxial layer 102 .

在一些實施例中,可通過一毯式蝕刻製程(blanket etch)去除一部分的第二導電材料1420,以形成第二導電材料的留下部分142。在毯式蝕刻製程中,無需使用任何光阻,而是沿著介電層134對第二導電材料1420進行選擇性蝕刻,以去除部分的第二導電材料1420至一特定深度。在此一示例中,前述毯式蝕刻製程為一乾式蝕刻製程。在此示例中,在毯式蝕刻製程之後,第二導電材料1420的留下部分142的頂表面142a大致上與磊晶層102的頂表面102a共平面。In some embodiments, a portion of the second conductive material 1420 may be removed through a blanket etching process to form a remaining portion 142 of the second conductive material. In the blanket etching process, without using any photoresist, the second conductive material 1420 is selectively etched along the dielectric layer 134 to remove part of the second conductive material 1420 to a specific depth. In this example, the blanket etching process is a dry etching process. In this example, after the blanket etching process, the top surface 142a of the remaining portion 142 of the second conductive material 1420 is substantially coplanar with the top surface 102a of the epitaxial layer 102.

之後,參照第18圖,根據一些實施例,去除部分的介電層143,以暴露出第一重摻雜區111和第二重摻雜區112。其中,介電層143的留下部分則在各個第一溝槽121中形成一閘極介電層134’。在此示例中,閘極介電層134’包括側壁部分136’和底部部分135。Thereafter, referring to FIG. 18 , according to some embodiments, a portion of the dielectric layer 143 is removed to expose the first heavily doped region 111 and the second heavily doped region 112 . The remaining portion of the dielectric layer 143 forms a gate dielectric layer 134' in each first trench 121. In this example, gate dielectric layer 134' includes sidewall portions 136' and bottom portion 135.

在一些實施例中,可通過一平坦化製程去除介電層134的頂部部分137(第17圖)和一部分的側壁部分136。且亦可通過此製程一併平坦化第二導電材料1420的留下部分142。上述平坦化製程例如是一化學機械研磨(CMP)製程、一機械拋光製程、一蝕刻製程、其它合適的製程、或前述製程之組合。此示例中,例如是使用CMP製程對介電層134(或是連同第二導電材料1420的留下部分142)進行研磨。In some embodiments, the top portion 137 (FIG. 17) of the dielectric layer 134 and a portion of the sidewall portion 136 may be removed through a planarization process. And the remaining portion 142 of the second conductive material 1420 can also be planarized through this process. The above-mentioned planarization process is, for example, a chemical mechanical polishing (CMP) process, a mechanical polishing process, an etching process, other suitable processes, or a combination of the foregoing processes. In this example, a CMP process is used to polish the dielectric layer 134 (or the remaining portion 142 of the second conductive material 1420).

如第18圖所示,在進行上述去除步驟後,介電層143的留下部分在各個第一溝槽121中形成一閘極介電層134’,第二導電材料1420的留下部分則在各個第一溝槽121中形成一導電部142’。閘極介電層134’以及導電部142’共同構成一閘極結構GS,其中閘極介電層134’包覆導電部142’的側壁142s和底表面142b。As shown in FIG. 18, after performing the above removal steps, the remaining portion of the dielectric layer 143 forms a gate dielectric layer 134' in each first trench 121, and the remaining portion of the second conductive material 1420 is A conductive portion 142' is formed in each first trench 121. The gate dielectric layer 134' and the conductive portion 142' together form a gate structure GS, in which the gate dielectric layer 134' covers the sidewalls 142s and the bottom surface 142b of the conductive portion 142'.

此一示例中,相鄰的閘極結構GS在第二方向D2上相隔開來,且各個閘極結構GS在第三方向D3上延伸,且閘極結構GS的一部分(例如底部部分)位於飄移區R D中。類似的,在閘極結構GS下方的導電結構130是在第二方向D2上相隔開來,且各個導電結構130在第三方向D3上延伸。 In this example, adjacent gate structures GS are spaced apart in the second direction D2, and each gate structure GS extends in the third direction D3, and a part (such as the bottom part) of the gate structure GS is located at the drift District R D. Similarly, the conductive structures 130 below the gate structure GS are spaced apart in the second direction D2, and each conductive structure 130 extends in the third direction D3.

再者,在一些實施例中,閘極介電層134’的頂表面134a與導電部142’的頂表面142a大致上共平面。在一些實施例中,閘極介電層134’的頂表面134a、導電部142’的頂表面142a、第一重摻雜區111的頂表面111a以及第二重摻雜區112的頂表面112a大致上共平面。Furthermore, in some embodiments, the top surface 134a of the gate dielectric layer 134' and the top surface 142a of the conductive portion 142' are substantially coplanar. In some embodiments, the top surface 134a of the gate dielectric layer 134', the top surface 142a of the conductive portion 142', the top surface 111a of the first heavily doped region 111, and the top surface 112a of the second heavily doped region 112 Roughly coplanar.

在一些實施例中,各個第一溝槽121中的閘極結構GS與下方第二溝槽121中的導電結構130係物理性和電性隔絕。例如,閘極結構GS的導電部142’與下方的導電結構130的導電部132是通過閘極介電層134’(特別是其底部部分135)相隔開來,而彼此物理性地及電性地隔離。如第18圖所示,導電結構130的導電部132(的頂表面132a)和金屬矽化物襯層131(的頂表面131a)直接接觸閘極結構GS的閘極介電層134’。In some embodiments, the gate structure GS in each first trench 121 is physically and electrically isolated from the conductive structure 130 in the second trench 121 below. For example, the conductive portion 142' of the gate structure GS and the conductive portion 132 of the underlying conductive structure 130 are separated by the gate dielectric layer 134' (especially the bottom portion 135 thereof), and are physically and electrically separated from each other. Ground isolation. As shown in FIG. 18, the conductive portion 132 (the top surface 132a) of the conductive structure 130 and the metal silicide liner 131 (the top surface 131a) directly contact the gate dielectric layer 134' of the gate structure GS.

再者,根據一些實施例,對於一個閘極結構GS,其相對側分別與不同導電類型的重摻雜區接觸。具體而言,如第18圖所示,第一重摻雜區111(例如p型)的其中之一是位於一個閘極結構GS的第一側1341,第二重摻雜區112(例如n型)的其中之一則位於此閘極結構GS的第二側1342,第二側1342相對於第一側1341,其中第一重摻雜區111直接接觸鄰近第一側1341的閘極介電層134’的部份,第一重摻雜區112直接接觸鄰近第二側1342的閘極介電層134’的部份。Furthermore, according to some embodiments, for one gate structure GS, its opposite sides are respectively in contact with heavily doped regions of different conductivity types. Specifically, as shown in FIG. 18, one of the first heavily doped regions 111 (eg, p-type) is located on the first side 1341 of a gate structure GS, and the second heavily doped region 112 (eg, n-type) is located on the first side 1341 of a gate structure GS. One of the two types) is located on the second side 1342 of the gate structure GS. The second side 1342 is opposite to the first side 1341, where the first heavily doped region 111 directly contacts the gate dielectric layer adjacent to the first side 1341. 134 ′, the first heavily doped region 112 directly contacts the portion of the gate dielectric layer 134 ′ adjacent to the second side 1342 .

再者,根據一些實施例提出的導電結構130與閘極結構GS的形成方法,是在磊晶層102中形成接續的溝槽(包括貫穿井區106的第一溝槽121和位於飄移區R D中的第二溝槽126),然後在第二溝槽126中形成導電結構130,再於導電結構130上方的第一溝槽121中形成閘極結構GS。因此,實施例提出的導電結構130不會額外佔據磊晶層102的台面區域。在一些實施例中,閘極結構GS的底表面(亦即介電層134的底部部分135的底表面135b)到井區106的底表面106b之間沿第一方向D1具有第一距離h1,導電結構130的底表面(亦即金屬矽化物襯層131的底表面131b)到井區106的底表面106b之間沿第一方向D1具有第二距離h2,第二距離h2大於第一距離h1。 Furthermore, according to some embodiments, the formation method of the conductive structure 130 and the gate structure GS is to form successive trenches (including the first trench 121 penetrating the well region 106 and the first trench 121 located in the drift region R) in the epitaxial layer 102 The second trench 126 in D ), then the conductive structure 130 is formed in the second trench 126, and then the gate structure GS is formed in the first trench 121 above the conductive structure 130. Therefore, the conductive structure 130 proposed in the embodiment will not additionally occupy the mesa area of the epitaxial layer 102 . In some embodiments, there is a first distance h1 along the first direction D1 between the bottom surface of the gate structure GS (ie, the bottom surface 135b of the bottom portion 135 of the dielectric layer 134) and the bottom surface 106b of the well region 106. There is a second distance h2 along the first direction D1 between the bottom surface of the conductive structure 130 (that is, the bottom surface 131b of the metal silicide liner 131) and the bottom surface 106b of the well region 106, and the second distance h2 is greater than the first distance h1. .

再者,根據一些實施例,若自井區106的上方俯視,閘極結構GS與下方的導電結構130於基底100的投影範圍重疊。根據一些實施例,自井區106的上方俯視,閘極結構GS與下方的遮蔽區域1042’(或1041’)於基底100的投影範圍亦重疊。Furthermore, according to some embodiments, if viewed from above the well region 106 , the gate structure GS and the underlying conductive structure 130 overlap within the projection range of the substrate 100 . According to some embodiments, when viewed from above the well region 106, the projection range of the gate structure GS and the shielding region 1042' (or 1041') below the substrate 100 also overlaps.

具體而言,如第18圖所示,在一些實施例中,例如以閘極結構GS的寬度W G表示其於基底100的投影範圍A G的寬度,以導電結構130的寬度W S表示其於基底100的投影範圍A S的寬度,閘極結構GS的寬度W G大於下方的導電結構130的寬度W S,因此閘極結構GS於基底100的投影範圍A G涵蓋了導電結構於基底100的投影範圍A S。再者,在一些實施例中,以遮蔽區域1042’(或1041’)的寬度W P表示其於基底100的投影範圍A P的寬度,閘極結構GS的寬度W G可能大於、小於或大約等於遮蔽區域1042’(或1041’)的寬度W P,而包覆導電結構130的底部的一部份的遮蔽區域1042’(或1041’)的投影範圍A P會與閘極結構GS於基底100的投影範圍A S重疊。 Specifically, as shown in FIG. 18, in some embodiments, for example, the width W G of the gate structure GS is used to represent the width of its projection range A G on the substrate 100, and the width W S of the conductive structure 130 is represented. Based on the width of the projection range A S of the substrate 100 , the width W G of the gate structure GS is greater than the width W S of the conductive structure 130 below. Therefore, the projection range A G of the gate structure GS on the substrate 100 covers the conductive structure on the substrate 100 The projection range of A S . Furthermore, in some embodiments, the width W P of the shielding area 1042 ′ (or 1041 ′) represents the width of its projection range AP on the substrate 100 , and the width W G of the gate structure GS may be greater than, less than, or approximately is equal to the width W P of the shielding area 1042' (or 1041'), and the projection range AP of the shielding area 1042' (or 1041') covering a part of the bottom of the conductive structure 130 will be consistent with the gate structure GS on the substrate. Projection ranges of 100 A S overlap.

如上述實施例,由於導電結構130設置於閘極結構GS的下方,例如第18圖所示之閘極結構GS、第一重摻雜區111以及第二重摻雜區112是在磊晶層102的頂表面102a交替設置,因此在相鄰的兩個閘極結構GS之間,磊晶層102的頂表面102a只形成一個第一重摻雜區111以及一個第二重摻雜區112。因此,實施例所形成的導電結構130可以大幅縮減閘極結構GS的間距(pitch)P。As in the above embodiment, since the conductive structure 130 is disposed under the gate structure GS, for example, the gate structure GS, the first heavily doped region 111 and the second heavily doped region 112 shown in Figure 18 are in the epitaxial layer. The top surfaces 102a of the epitaxial layer 102 are alternately arranged, so between the two adjacent gate structures GS, the top surface 102a of the epitaxial layer 102 only forms a first heavily doped region 111 and a second heavily doped region 112. Therefore, the conductive structure 130 formed by the embodiment can significantly reduce the pitch P of the gate structure GS.

在完成如上述的磊晶層102、井區106、第一重摻雜區111、第二重摻雜區112、導電結構130以及閘極結構GS之後,係形成接觸插塞172(第20圖)以與第一重摻雜區111、第二重摻雜區112以及井區106電性連接,並且形成源極金屬層182(第20圖)和汲極金屬層(省略未示出)。第19、20圖是根據本揭露的一些實施例,形成接觸插塞和源極金屬層的中間製造階段的剖面示意圖。After completing the epitaxial layer 102, the well region 106, the first heavily doped region 111, the second heavily doped region 112, the conductive structure 130 and the gate structure GS as described above, the contact plug 172 is formed (FIG. 20 ) to be electrically connected to the first heavily doped region 111, the second heavily doped region 112 and the well region 106, and form a source metal layer 182 (FIG. 20) and a drain metal layer (not shown). 19 and 20 are schematic cross-sectional views of intermediate manufacturing stages of forming contact plugs and source metal layers according to some embodiments of the present disclosure.

參照第19圖,根據一些實施例,在磊晶層102的上方形成一層間介電(ILD)層160,且此層間介電層160覆蓋閘極結構GS以及部分的第一重摻雜區111和部分的第二重摻雜區112。在一些示例中,層間介電層160具有多個接觸孔(contact hole)162。此些接觸孔162係位於相鄰的兩閘極結構GS之間。且各個接觸孔162暴露出一個第一重摻雜區111的部分以及一個第二重摻雜區112的部分。如第19圖所示,各個接觸孔162暴露出第一重摻雜區111的部分的頂表面111a以及第二重摻雜區112的部分的頂表面112a。Referring to Figure 19, according to some embodiments, an interlayer dielectric (ILD) layer 160 is formed above the epitaxial layer 102, and the interlayer dielectric layer 160 covers the gate structure GS and part of the first heavily doped region 111 and part of the second heavily doped region 112 . In some examples, the interlayer dielectric layer 160 has a plurality of contact holes 162 . These contact holes 162 are located between two adjacent gate structures GS. And each contact hole 162 exposes a portion of the first heavily doped region 111 and a portion of the second heavily doped region 112 . As shown in FIG. 19 , each contact hole 162 exposes a portion of the top surface 111 a of the first heavily doped region 111 and a portion of the top surface 112 a of the second heavily doped region 112 .

在一些實施例中,層間介電層160可以是氧化矽、或其它合適的介電材料、或前述材料的組合。在一些實施例中,層間介電層160的材料不同於閘極介電層134’的材料。在一些其他的實施例中,層間介電層160的材料相同於閘極介電層134’的材料。In some embodiments, the interlayer dielectric layer 160 may be silicon oxide, or other suitable dielectric materials, or a combination of the foregoing materials. In some embodiments, the material of the interlayer dielectric layer 160 is different from the material of the gate dielectric layer 134'. In some other embodiments, the material of the interlayer dielectric layer 160 is the same as the material of the gate dielectric layer 134'.

依據一些實施例,可以通過一沉積製程、一微影圖案化製程及蝕刻製程,以形成具有接觸孔162的層間介電層160。在一示例中,首先以一沉積製程在第一重摻雜區111、第二重摻雜區112和閘極結構GS上沉積一層間介電材料(未示出)。接著進行一微影圖案化製程以去除部分的層間介電材料,而形成接觸孔162。According to some embodiments, the interlayer dielectric layer 160 having the contact hole 162 may be formed through a deposition process, a photolithographic patterning process, and an etching process. In one example, a deposition process is first used to deposit a layer of interlayer dielectric material (not shown) on the first heavily doped region 111 , the second heavily doped region 112 and the gate structure GS. A photolithographic patterning process is then performed to remove part of the interlayer dielectric material to form contact holes 162 .

在一些實施例中,上述沉積製程可為化學氣相沉積製程、或其他合適的製程、或前述之組合。在一些實施例中,上述微影圖案化製程包含光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗及乾燥(例如,硬烘烤)、其他合適的製程、或前述製程之組合。在一些實施例中,上述蝕刻製程可為乾式蝕刻製程、濕式蝕刻製程、電漿蝕刻製程、反應性離子蝕刻製程、其他合適的製程、或前述製程的組合。In some embodiments, the above-mentioned deposition process may be a chemical vapor deposition process, or other suitable processes, or a combination of the foregoing. In some embodiments, the above-mentioned photolithography patterning process includes photoresist coating (eg, spin coating), soft bake, mask alignment, exposure, post-exposure bake, photoresist development, cleaning and drying (eg, , hard bake), other suitable processes, or a combination of the aforementioned processes. In some embodiments, the etching process may be a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching process, other suitable processes, or a combination of the foregoing processes.

之後,參照第20圖,根據一些實施例,在接觸孔162中形成接觸插塞(contact plug)172。接觸插塞172位於磊晶層102上,並且直接接觸第一重摻雜區111和第二重摻雜區112。Next, referring to FIG. 20 , according to some embodiments, a contact plug 172 is formed in the contact hole 162 . The contact plug 172 is located on the epitaxial layer 102 and directly contacts the first heavily doped region 111 and the second heavily doped region 112 .

在一些實施例中,具有第一導電類型(例如n型)的第二重摻雜區112係為源極區域(source regions);而具有第二導電類型(例如p型)的第一重摻雜區111則與下方井區106直接接觸,使形成的接觸插塞172可通過第一重摻雜區111而與井區106有良好的歐姆接觸(ohmic contact)。In some embodiments, the second heavily doped regions 112 having a first conductivity type (eg n-type) are source regions; and the first heavily doped regions 112 having a second conductivity type (eg p-type) The doped region 111 is in direct contact with the lower well region 106, so that the formed contact plug 172 can have good ohmic contact with the well region 106 through the first heavily doped region 111.

在一些實施例中,接觸插塞172包括接觸阻障層(contact barrier layer)1721和接觸導電層(contact conductive layer)1722。接觸阻障層1721形成於接觸孔162(第19圖)的側壁和底部而做為一阻障襯層(barrier liner),接觸導電層1722則填滿接觸孔162中剩餘的空間。在此示例中,如第20圖所示,接觸阻障層1721的頂表面1721a與接觸導電層1722的頂表面1722a係與層間介電層160的頂表面160a共平面。In some embodiments, the contact plug 172 includes a contact barrier layer 1721 and a contact conductive layer 1722. The contact barrier layer 1721 is formed on the sidewalls and bottom of the contact hole 162 (FIG. 19) as a barrier liner, and the contact conductive layer 1722 fills the remaining space in the contact hole 162. In this example, as shown in FIG. 20 , the top surface 1721 a of the contact barrier layer 1721 and the top surface 1722 a of the contact conductive layer 1722 are coplanar with the top surface 160 a of the interlayer dielectric layer 160 .

在一些示例中,可通過沉積製程以於層間介電層160上形成一阻障材料(未示出),且阻障材料順應性的沉積(conformably deposited)於接觸孔162(第19圖)中;再於阻障材料層的上方沉積一導電材料(未示出),且導電材料填滿接觸孔162中剩餘的空間。接著,去除(例如蝕刻)層間介電層160上方的導電材料和阻障材料的過量部分,以在接觸孔162中形成接觸阻障層1721和接觸導電層1722。In some examples, a barrier material (not shown) may be formed on the interlayer dielectric layer 160 through a deposition process, and the barrier material is conformably deposited in the contact hole 162 (FIG. 19). ; Then deposit a conductive material (not shown) on top of the barrier material layer, and the conductive material fills the remaining space in the contact hole 162. Next, excess portions of the conductive material and barrier material above the interlayer dielectric layer 160 are removed (eg, etched) to form a contact barrier layer 1721 and a contact conductive layer 1722 in the contact hole 162 .

在一些實施例中,接觸阻障層1721的材料可包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭 (TaN)、鈷(Co)、其他合適的阻障材料、或是前述材料之組合。在一些實施例中,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他合適的製程、或前述製程之組合而形成接觸阻障層1721。In some embodiments, the material of the contact barrier layer 1721 may include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), cobalt (Co), and other suitable barrier materials. , or a combination of the aforementioned materials. In some embodiments, the contact barrier may be formed by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, other suitable processes, or a combination of the foregoing processes. Layer 1721.

在一些實施例中,接觸導電層1722可以是一層或多層結構,其導電材料可以包括鎢(W)、鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、氮化鈦(titanium nitride;TiN)、氮化鉭(tantalum nitride;TaN)、矽化鎳(nickel silicide;NiSi)、矽化鈷(cobalt silicide;CoSi)、其他合適的金屬、或前述材料之組合。再者,在一些實施例中,可藉由化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、其他合適的製程、或前述製程之組合而形成此導電材料。In some embodiments, the contact conductive layer 1722 may be a one- or multi-layer structure, and its conductive materials may include tungsten (W), aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), titanium nitride (titanium nitride; TiN), tantalum nitride (tantalum nitride; TaN), nickel silicide (NiSi), cobalt silicide (CoSi), other suitable metals, or a combination of the aforementioned materials. Furthermore, in some embodiments, the conductive material can be formed by a chemical vapor deposition process, an atomic layer deposition process, a physical vapor deposition process, other suitable processes, or a combination of the foregoing processes.

根據本揭露的一些實施例,各個接觸插塞172所對應的第一重摻雜區111(例如p+區域)和第二重摻雜區112(例如n+區域)係彼此電性連接。若源極區域(即第二重摻雜區112)與基體(即井區106)之間有電荷聚集(使電壓不為零),則會對半導體裝置的臨界電壓產生影響,造成不穩定的臨界電壓,此稱為基體效應(body effect)。而根據本揭露的一些實施例,由於第二重摻雜區112(即源極區域)接地,且第一重摻雜區111和第二重摻雜區112彼此物理性接觸和電性連接,因此操作半導體裝置時在井區106處所累積的電荷可以經由第一重摻雜區111流動至接地的第二重摻雜區112而予以排除,進而避免上述基體效應,使半導體裝置具有穩定的臨界電壓。According to some embodiments of the present disclosure, the first heavily doped region 111 (eg, p+ region) and the second heavily doped region 112 (eg, n+ region) corresponding to each contact plug 172 are electrically connected to each other. If there is charge accumulation (making the voltage non-zero) between the source region (i.e., the second heavily doped region 112) and the substrate (i.e., the well region 106), it will affect the critical voltage of the semiconductor device and cause instability. Critical voltage, this is called the body effect. According to some embodiments of the present disclosure, since the second heavily doped region 112 (ie, the source region) is grounded, and the first heavily doped region 111 and the second heavily doped region 112 are in physical contact and electrical connection with each other, Therefore, the charges accumulated in the well region 106 when operating the semiconductor device can flow to the grounded second heavily doped region 112 through the first heavily doped region 111 and be eliminated, thereby avoiding the above-mentioned matrix effect and allowing the semiconductor device to have a stable critical voltage.

再參照第20圖,根據一些實施例,在形成接觸插塞172之後,係於層間介電層160和接觸插塞172的上方形成一金屬層182。金屬層182覆蓋接觸插塞172,並與接觸插塞172物理性和電性接觸。因此,金屬層182通過接觸插塞172而與第一重摻雜區111、第二重摻雜區112以及和井區106電性連接。Referring again to FIG. 20 , according to some embodiments, after the contact plugs 172 are formed, a metal layer 182 is formed over the interlayer dielectric layer 160 and the contact plugs 172 . The metal layer 182 covers the contact plug 172 and is in physical and electrical contact with the contact plug 172 . Therefore, the metal layer 182 is electrically connected to the first heavily doped region 111 , the second heavily doped region 112 and the well region 106 through the contact plug 172 .

根據一些實施例,此金屬層182可做為一半導體裝置的頂部金屬,以與做為源極區域的第二重摻雜區112電性連接,因此又可稱為源極金屬層(source metal layer)182。在一些實施例中,導電結構130經由其他內連線(未示出)與源極金屬層182電性連接。According to some embodiments, this metal layer 182 can be used as the top metal of a semiconductor device to be electrically connected to the second heavily doped region 112 serving as the source region, and therefore can also be called a source metal layer. layer)182. In some embodiments, the conductive structure 130 is electrically connected to the source metal layer 182 via other interconnects (not shown).

在一些實施例中,金屬層182可包含銅、銀、金、鋁、鎢、其他合適的金屬材料、或前述材料之組合。在一些實施例中,金屬層182的材料相同於接觸插塞172的材料。在一些其他實施例中,金屬層182的材料不同於接觸插塞172的材料。依據一些實施例,可透過沉積製程在接觸插塞172上形成金屬層182。在一些實施例中,沉積製程可為物理氣相沉積製程、化學氣相沉積製程、其他合適的製程或前述之組合。In some embodiments, metal layer 182 may include copper, silver, gold, aluminum, tungsten, other suitable metal materials, or combinations of the foregoing materials. In some embodiments, the material of metal layer 182 is the same as the material of contact plug 172 . In some other embodiments, the material of metal layer 182 is different from the material of contact plug 172 . According to some embodiments, the metal layer 182 may be formed on the contact plug 172 through a deposition process. In some embodiments, the deposition process may be a physical vapor deposition process, a chemical vapor deposition process, other suitable processes, or a combination of the foregoing.

再者,根據一些實施例,具有第一導電類型的基底100可做為半導體裝置的汲極區域(drain region)。除了上述的源極金屬層182,亦在具有第一導電類型(例如n型)的基底100的背面形成一汲極金屬層(未示出),而完成一半導體裝置的製程。在一些示例中,可通過背面研磨製程(backside grounding process)先減薄晶圓厚度,再形成一背面金屬(backside metal)於晶圓背面上,例如基底100的底表面100b上,以形成汲極金屬層。Furthermore, according to some embodiments, the substrate 100 having the first conductivity type can be used as a drain region of the semiconductor device. In addition to the above-mentioned source metal layer 182, a drain metal layer (not shown) is also formed on the back side of the substrate 100 having a first conductivity type (eg, n-type) to complete the process of a semiconductor device. In some examples, the thickness of the wafer can be reduced through a backside grounding process, and then a backside metal can be formed on the backside of the wafer, such as the bottom surface 100b of the substrate 100, to form the drain electrode. metal layer.

綜合上述,本揭露一些實施例所提出的半導體裝置及其形成方法具有許多益處。根據一些實施例的半導體裝置的導電結構130,可經由其他內連線(未示出)而電性連接至源極金屬層182。由於操作半導體裝置時,第二重摻雜區112(即源極區域)和源極金屬層182接地,因此,和源極電性連接的導電結構130可與飄移區R D(具有第二導電類型,例如n型)構成一蕭特基二極體。而在井區106(具有第一導電類型,例如p型)和飄移區R D的界面所產生的基體二極體是與實施例的蕭特基二極體並聯。在操作實施例的半導體裝置時,載子會經由導通電阻(Von)更低的蕭特基二極體流動,而非經由基體二極體流動。因此,根據實施例所提出的導電結構130,其電性連接至源極(包括源極金屬層182和源極區域(即第二重摻雜區112))所構成的上述蕭特基二極體,可以使基體二極體失能,降低半導體裝置的導通電阻,進而減少功率損失。 In summary, the semiconductor device and the method for forming the same provided in some embodiments of the present disclosure have many benefits. According to some embodiments, the conductive structure 130 of the semiconductor device may be electrically connected to the source metal layer 182 via other interconnects (not shown). Since the second heavily doped region 112 (i.e., the source region) and the source metal layer 182 are grounded when operating the semiconductor device, the conductive structure 130 electrically connected to the source can be connected to the drift region RD (having the second conductive region). type, such as n-type) constitutes a Schottky diode. The body diode generated at the interface of the well region 106 (having a first conductivity type, such as p-type) and the drift region RD is connected in parallel with the Schottky diode of the embodiment. When operating the semiconductor device of the embodiment, carriers flow through the Schottky diode with a lower on-resistance (Von) rather than through the body diode. Therefore, according to the conductive structure 130 proposed in the embodiment, it is electrically connected to the Schottky diode composed of the source electrode (including the source metal layer 182 and the source region (ie, the second heavily doped region 112)). body, which can disable the base diode and reduce the on-resistance of the semiconductor device, thereby reducing power loss.

再者,根據本揭露的一些實施例,其可構成蕭特基二極體的導電結構130是設置於閘極結構GS的下方,例如位於閘極結構GS下方的飄移區R D中,除了可以減少閘極-汲極電容(Cgd),也不會額外佔用磊晶層的台面區域,因此可縮小裝置中相鄰單元之間的間距(cell pitch),進而降低通道區電阻以及縮減磊晶層的橫向尺寸。一些實施例中,兩個相鄰的閘極結構GS之間僅包括一個第一重摻雜區111以及一個第二重摻雜區112(第20圖),因此可以大幅縮減閘極結構GS的間距,進而縮減磊晶層的橫向尺寸和半導體裝置的面積。 Furthermore, according to some embodiments of the present disclosure, the conductive structure 130 that can constitute the Schottky diode is disposed below the gate structure GS, for example, in the drift region RD below the gate structure GS. In addition to Reducing the gate-drain capacitance (Cgd) does not occupy additional mesa area of the epitaxial layer, so the cell pitch between adjacent cells in the device can be reduced, thereby reducing the resistance of the channel area and reducing the size of the epitaxial layer. horizontal dimensions. In some embodiments, only a first heavily doped region 111 and a second heavily doped region 112 are included between two adjacent gate structures GS (FIG. 20). Therefore, the thickness of the gate structure GS can be greatly reduced. spacing, thereby reducing the lateral size of the epitaxial layer and the area of the semiconductor device.

再者,根據一些實施例所提出的導電結構130與閘極結構GS的形成方法,是在磊晶層102中形成接續的溝槽,例如貫穿井區106的第一溝槽121和延伸至飄移區R D中的第二溝槽126,以在第二溝槽126中形成導電結構130和在第一溝槽121中形成閘極結構GS。因此,本揭露提出的形成方法可以藉由在磊晶層102中溝槽的延伸方向來控制導電結構130與閘極結構GS的形成位置,使導電結構130和閘極結構GS可精準的對位。因此,綜合上述,實施例所提出的半導體裝置及其形成方法可以通過與現有製程相容的工序,即可使導電結構130能準確對位於上方的閘極結構GS,並且所製得的半導體裝置在能形成蕭特基二極體而降低導通電阻和減少功率損失的情況下,又可以同時達到縮減相鄰單元(例如相鄰的閘極結構GS)之間的間距,進而縮小半導體裝置的面積等益處。 Furthermore, according to some embodiments, the formation method of the conductive structure 130 and the gate structure GS is to form successive trenches in the epitaxial layer 102, such as the first trench 121 that runs through the well region 106 and extends to the drift. The second trench 126 in the region RD is formed to form the conductive structure 130 in the second trench 126 and the gate structure GS in the first trench 121. Therefore, the formation method proposed in this disclosure can control the formation positions of the conductive structure 130 and the gate structure GS through the extending direction of the trench in the epitaxial layer 102, so that the conductive structure 130 and the gate structure GS can be accurately aligned. Therefore, based on the above, the semiconductor device and its formation method proposed in the embodiment can be used through a process that is compatible with the existing manufacturing process, that is, the conductive structure 130 can be accurately aligned with the gate structure GS located above, and the resulting semiconductor device When Schottky diodes can be formed to reduce on-resistance and power loss, the spacing between adjacent units (such as adjacent gate structures GS) can be reduced at the same time, thereby reducing the area of the semiconductor device. etc. benefits.

100:基底 102:磊晶層 1021:第一磊晶部份 1022:第二磊晶部分 104,1041,1042:摻雜區 104’,1041’,1042’:遮蔽區域 106:井區 R D:飄移區 1110:第一重摻雜部 1120:第二重摻雜部 108,109:圖案化硬質遮罩 108H,109H:開口 111:第一重摻雜區 112:第二重摻雜區 114:襯墊氧化層 116:氮化物硬質遮罩 117:圖案化光阻層 121,121-1,121-2:第一溝槽 123:絕緣層 124:間隔物層 126,126-1,126-2:第二溝槽 130:導電結構 131:金屬矽化物襯層 1320:第一導電材料 132,142’:導電部 GS:閘極結構 134:介電層 134’:閘極介電層 135:底部部分 136,136’:側壁部分 137:頂部部分 1420,142:第二導電材料 142’:閘極電極 100a,1021a,102a,106a,111a,112a,114a,130a,132a,134a, 1420a,142a,1721a,1722a:頂表面 100b,106b,111b,112b,121b,126b,131b,132b,135b,142b:底表面 121s,126s:側表面 124s,132s,142s:側壁 1341:第一側 1342:第二側 160:層間介電層 162:接觸孔 172:接觸插塞 1721:接觸阻障層 1722:接觸導電層 182:金屬層(源極金屬層) IP-1,IP-2,IP-3:離子佈植製程 W1,W2,W G,W S,W P:寬度 T B,T S:厚度 L C-L C:線 h1:第一距離 h2:第二距離 A G,A S,A P:投影範圍 P:間距 D1:第一方向 D2:第二方向 D3:第三方向 100: Substrate 102: Epitaxial layer 1021: First epitaxial part 1022: Second epitaxial part 104, 1041, 1042: Doping area 104', 1041', 1042': Shielding area 106: Well area R D : Drift region 1110: first heavily doped region 1120: second heavily doped region 108, 109: patterned hard mask 108H, 109H: opening 111: first heavily doped region 112: second heavily doped region 114: liner Oxide layer 116: Nitride hard mask 117: Patterned photoresist layer 121, 121-1, 121-2: First trench 123: Insulating layer 124: Spacer layer 126, 126-1, 126-2: Second trench 130: Conductive structure 131 : metal silicide lining layer 1320: first conductive material 132, 142': conductive part GS: gate structure 134: dielectric layer 134': gate dielectric layer 135: bottom part 136, 136': side wall part 137: top part 1420, 142: second conductive material 142': gate electrode 100a, 1021a, 102a, 106a, 111a, 112a, 114a, 130a, 132a, 134a, 1420a, 142a, 1721a, 1722a: top surface 100b, 106b, 111b, 112b, 121b, 126b, 131b, 132b, 135b, 142b: bottom surface 121s, 126s: side surface 124s, 132s, 142s: side wall 1341: first side 1342: second side 160: interlayer dielectric layer 162: contact hole 172: contact Plug 1721: Contact barrier layer 1722: Contact conductive layer 182: Metal layer (source metal layer) IP-1, IP-2, IP-3: Ion implantation process W1, W2, W G , W S , W P : Width T B , T S : Thickness L C - L C : Line h1: First distance h2: Second distance A G , A S , A P : Projection range P: Spacing D1: First direction D2: Second Direction D3: The third direction

第1~18圖是根據本揭露的一些實施例中,包含閘極結構和導電結構的半導體裝置在各個中間製造階段的剖面示意圖。 第19、20圖是根據本揭露的一些實施例,在形成閘極結構之後,形成接觸插塞和源極金屬層的中間製造階段的剖面示意圖。 1 to 18 are schematic cross-sectional views of a semiconductor device including a gate structure and a conductive structure at various intermediate manufacturing stages according to some embodiments of the present disclosure. 19 and 20 are schematic cross-sectional views of intermediate manufacturing stages of forming contact plugs and source metal layers after forming the gate structure according to some embodiments of the present disclosure.

100:基底 100:Base

102:磊晶層 102: Epitaxial layer

104’,1041’,1042’:遮蔽區域 104’,1041’,1042’: shielded area

106:井區 106:Well area

RD:飄移區 R D : Drift area

111:第一重摻雜區 111: The first heavily doped region

112:第二重摻雜區 112: The second heavily doped region

114:襯墊氧化層 114: Pad oxide layer

121,121-1,121-2:第一溝槽 121,121-1,121-2: First trench

123:絕緣層 123:Insulation layer

130:導電結構 130:Conductive structure

131:金屬矽化物襯層 131: Metal silicide lining

132:導電部 132: Conductive Department

100a,102a,106a,111a,112a,130a,132a:頂表面 100a, 102a, 106a, 111a, 112a, 130a, 132a: top surface

106b,111b,112b,131b,132b:底表面 106b,111b,112b,131b,132b: bottom surface

132s:側壁 132s: Sidewall

D1:第一方向 D1: first direction

D2:第二方向 D2: second direction

D3:第三方向 D3: Third direction

Claims (23)

一種半導體裝置,包括:一基底,具有一第一導電類型;一磊晶層,形成於該基底上,且該磊晶層具有該第一導電類型;一井區,自該磊晶層的頂表面延伸至該磊晶層中,且該井區具有一第二導電類型;一飄移區,形成於該磊晶層中且與該井區的底表面接觸,且該飄移區具有該第一導電類型;一閘極結構,自該磊晶層的該頂表面延伸穿過該井區並接觸該飄移區;以及一導電結構,形成於該飄移區中且位於該閘極結構的下方,其中該導電結構包括一導電部和一金屬矽化物襯層(metal silicide liner)包覆該導電部的側壁和底表面,且該導電結構電性連接至一源極電極;其中該閘極結構的一閘極介電層分隔該導電結構和該閘極結構的一閘極電極。 A semiconductor device includes: a substrate having a first conductivity type; an epitaxial layer formed on the substrate, and the epitaxial layer having the first conductivity type; a well region from the top of the epitaxial layer The surface extends into the epitaxial layer, and the well region has a second conductivity type; a drift region is formed in the epitaxial layer and contacts the bottom surface of the well region, and the drift region has the first conductivity type Type; a gate structure extending from the top surface of the epitaxial layer through the well region and contacting the drift region; and a conductive structure formed in the drift region and located below the gate structure, wherein the The conductive structure includes a conductive part and a metal silicide liner covering the sidewalls and bottom surface of the conductive part, and the conductive structure is electrically connected to a source electrode; wherein a gate of the gate structure A dielectric layer separates the conductive structure and a gate electrode of the gate structure. 如請求項1之半導體裝置,其中該導電結構的頂表面低於該井區的該底表面。 The semiconductor device of claim 1, wherein the top surface of the conductive structure is lower than the bottom surface of the well region. 如請求項1之半導體裝置,其中該閘極結構的底表面到該井區的該底表面具有第一距離,該導電結構的底表面到該井區的該底表面具有第二距離,該第二距離大於該第一距離。 The semiconductor device of claim 1, wherein there is a first distance between the bottom surface of the gate structure and the bottom surface of the well area, and there is a second distance between the bottom surface of the conductive structure and the bottom surface of the well area, and the third The second distance is greater than the first distance. 如請求項1之半導體裝置,其中該閘極結構的寬度大於該導電結構的寬度。 The semiconductor device of claim 1, wherein the width of the gate structure is greater than the width of the conductive structure. 如請求項1之半導體裝置,其中自該井區的上方俯視時,該閘極結構於該基底的投影範圍涵蓋了該導電結構於該基底的投影範圍。 The semiconductor device of claim 1, wherein when viewed from above the well region, the projection range of the gate structure on the substrate covers the projection range of the conductive structure on the substrate. 如請求項1之半導體裝置,其中該閘極介電層包括一底部部分(bottom portion)和一側壁部分(sidewall portion),該底部部分的厚度大於該側壁部分的厚度;其中該底部部分使該導電結構和該閘極電極電性隔絕。 The semiconductor device of claim 1, wherein the gate dielectric layer includes a bottom portion and a sidewall portion, and the thickness of the bottom portion is greater than the thickness of the sidewall portion; wherein the bottom portion makes the The conductive structure is electrically isolated from the gate electrode. 如請求項1之半導體裝置,更包括一遮蔽區域(a shielding region),形成於該飄移區中且包覆該導電結構的底表面和部分的側表面;其中該遮蔽區域具有該第二導電類型;其中自該井區的上方俯視時,該閘極結構於該基底的投影範圍係與該遮蔽區域於該基底的投影範圍重疊。 The semiconductor device of claim 1, further comprising a shielding region formed in the drift region and covering the bottom surface and part of the side surface of the conductive structure; wherein the shielding region has the second conductivity type ; When viewed from above the well area, the projection range of the gate structure on the base overlaps with the projection range of the shielding area on the base. 如請求項1之半導體裝置,其中該導電部和該金屬矽化物襯層直接接觸該閘極結構的該閘極介電層。 The semiconductor device of claim 1, wherein the conductive portion and the metal silicide liner are in direct contact with the gate dielectric layer of the gate structure. 如請求項1之半導體裝置,更包括:一第一重摻雜區,形成於該井區中且位於該閘極結構的一第一側;其中該第一重摻雜區具有該第二導電類型;以及一第二重摻雜區,形成於該井區中且位於該閘極結構的相對於該第一側的一第二側;其中該第二重摻雜區具有該第一導電類型,該第一導電類型不同於該第二導電類型。 The semiconductor device of claim 1, further comprising: a first heavily doped region formed in the well region and located on a first side of the gate structure; wherein the first heavily doped region has the second conductive type; and a second heavily doped region formed in the well region and located on a second side of the gate structure relative to the first side; wherein the second heavily doped region has the first conductivity type , the first conductivity type is different from the second conductivity type. 如請求項9之半導體裝置,其中該第一重摻雜區直接接觸鄰近該閘極結構的該第一側的該閘極介電層的部分,該第二重摻雜區直接接觸鄰近該閘極結構的該第二側的該閘極介電層的部 分。 The semiconductor device of claim 9, wherein the first heavily doped region directly contacts a portion of the gate dielectric layer adjacent to the first side of the gate structure, and the second heavily doped region directly contacts the portion adjacent to the gate structure. The portion of the gate dielectric layer on the second side of the gate structure point. 如請求項10之半導體裝置,其中該導電結構的頂表面低於該第一重摻雜區的底表面以及低於該第二重摻雜區的底表面。 The semiconductor device of claim 10, wherein the top surface of the conductive structure is lower than the bottom surface of the first heavily doped region and lower than the bottom surface of the second heavily doped region. 如請求項10之半導體裝置,更包括:另一第一重摻雜區形成於該井區中,且該另一第一重摻雜區鄰近並直接接觸該第二重摻雜區;其中,該第二重摻雜區位於該另一第一重摻雜區與該閘極結構之間。 The semiconductor device of claim 10, further comprising: another first heavily doped region formed in the well region, and the other first heavily doped region is adjacent to and directly contacts the second heavily doped region; wherein, The second heavily doped region is located between the other first heavily doped region and the gate structure. 如請求項12之半導體裝置,更包括:另一閘極結構鄰近該閘極結構,且該另一閘極結構自該磊晶層的該頂表面延伸穿過該井區並接觸該飄移區;其中,該另一第一重摻雜區位於該另一閘極結構與該第二重摻雜區之間,且該另一第一重摻雜區直接接觸該另一閘極結構。 The semiconductor device of claim 12, further comprising: another gate structure adjacent to the gate structure, and the other gate structure extends from the top surface of the epitaxial layer through the well region and contacts the drift region; Wherein, the other first heavily doped region is located between the other gate structure and the second heavily doped region, and the other first heavily doped region directly contacts the other gate structure. 一種半導體裝置的形成方法,包括:提供具有一第一導電類型的一基底;在該基底上形成具有該第一導電類型的一磊晶層;自該磊晶層的頂表面摻雜,以在該磊晶層中形成一井區,且該井區具有一第二導電類型;其中在該井區的下方為一飄移區,該飄移區具有該第一導電類型且與該井區的底表面接觸;在該飄移區中形成複數個導電結構,其中該些導電結構的各個包括一導電部和一金屬矽化物襯層(metal silicide liner)包覆該導電部的側壁和底表面,且該些導電結構電性連接至一源極電 極;以及在該些導電結構的上方分別形成閘極結構;其中該些閘極結構自該磊晶層的該頂表面延伸穿過該井區,該些閘極結構的底部部分位於該飄移區中,且該些閘極結構各包括一閘極介電層包覆一閘極電極;其中,該閘極介電層分隔相應的該導電結構和該閘極結構。 A method of forming a semiconductor device, including: providing a substrate with a first conductivity type; forming an epitaxial layer with the first conductivity type on the substrate; doping from the top surface of the epitaxial layer to A well region is formed in the epitaxial layer, and the well region has a second conductivity type; below the well region is a drift region, the drift region has the first conductivity type and is connected to the bottom surface of the well region Contact; forming a plurality of conductive structures in the drift region, wherein each of the conductive structures includes a conductive part and a metal silicide liner covering the side walls and bottom surface of the conductive part, and the The conductive structure is electrically connected to a source electrode and gate structures are respectively formed above the conductive structures; wherein the gate structures extend from the top surface of the epitaxial layer through the well area, and the bottom portions of the gate structures are located in the drift area , and each of the gate structures includes a gate dielectric layer covering a gate electrode; wherein the gate dielectric layer separates the corresponding conductive structure and the gate structure. 如請求項14之半導體裝置的形成方法,其中在形成該井區之後和形成該些導電結構之前,更包括:自該磊晶層的該頂表面在該井區中摻雜,以形成交替設置的複數個第一重摻雜部(first heavily doped portions)和複數個第二重摻雜部(second heavily doped portions);其中該些第一重摻雜部具有該第二導電類型,該些第二重摻雜部具有該第一導電類型;以及去除該些第一重摻雜部和該些第二重摻雜部的各個的一些部分,並且去除部分的該井區和部分的該磊晶層,以形成複數個第一溝槽(first trenches);其中該些第一溝槽自該磊晶層的該頂表面延伸穿過該井區,且該些第一溝槽的底表面暴露出該飄移區。 The method of forming a semiconductor device according to claim 14, wherein after forming the well region and before forming the conductive structures, it further includes: doping in the well region from the top surface of the epitaxial layer to form an alternating arrangement. A plurality of first heavily doped portions (first heavily doped portions) and a plurality of second heavily doped portions (second heavily doped portions); wherein the first heavily doped portions have the second conductivity type, and the third heavily doped portions The double doped portion has the first conductivity type; and removing some portions of each of the first heavily doped portions and the second heavily doped portions, and removing portions of the well region and portions of the epitaxial layer to form a plurality of first trenches; wherein the first trenches extend from the top surface of the epitaxial layer through the well region, and the bottom surfaces of the first trenches are exposed the drift zone. 如請求項15之半導體裝置的形成方法,其中該飄移區中包括複數個遮蔽區域(shielding regions),且該些遮蔽區域分別對應該些第一溝槽的下方,該些遮蔽區域具有該第二導電類型。 The method of forming a semiconductor device as claimed in claim 15, wherein the drift region includes a plurality of shielding regions, and the shielding regions respectively correspond to below the first trenches, and the shielding regions have the second Conductive type. 如請求項16之半導體裝置的形成方法,更包括:自該些第一溝槽的該些底表面去除部分的該飄移區和部分的該 些遮蔽區域,以形成複數個第二溝槽(second trenches);其中該些第二溝槽分別連通相應的該些第一溝槽,且該些第二溝槽的底表面暴露出該些遮蔽區域。 The method of forming a semiconductor device according to claim 16, further comprising: removing a portion of the drift region and a portion of the drift region from the bottom surfaces of the first trenches. some shielding areas to form a plurality of second trenches; wherein the second trenches are respectively connected to the corresponding first trenches, and the bottom surfaces of the second trenches expose the shielding areas area. 如請求項17之半導體裝置的形成方法,其中該些第一溝槽的各個的寬度大於該些第二溝槽的各個的寬度。 The method of forming a semiconductor device according to claim 17, wherein the width of each of the first trenches is greater than the width of each of the second trenches. 如請求項17之半導體裝置的形成方法,其中形成該些導電結構包括:在該些第二溝槽的各個形成該金屬矽化物襯層(metal silicide liner);在該磊晶層的該頂表面的上方沉積一第一導電材料,且該第一導電材料填入該些第二溝槽和該些第一溝槽;以及去除部分的該第一導電材料,在該些第二溝槽的該第一導電材料的留下部分形成該些導電部以做為第一導電部;其中在該些第二溝槽的各個第二溝槽中,該金屬矽化物襯層係包覆該第一導電部的側壁和底表面。 The method of forming a semiconductor device as claimed in claim 17, wherein forming the conductive structures includes: forming a metal silicide liner in each of the second trenches; and forming a metal silicide liner on the top surface of the epitaxial layer. A first conductive material is deposited above, and the first conductive material fills the second trenches and the first trenches; and a portion of the first conductive material is removed, and the first conductive material is filled in the second trenches. The remaining portions of the first conductive material form the conductive portions as the first conductive portions; wherein in each of the second trenches, the metal silicide liner covers the first conductive portions. side walls and bottom surface. 如請求項19之半導體裝置的形成方法,其中形成該些閘極結構包括:在該些第一溝槽的側壁和底表面上形成一介電層;在該磊晶層的該頂表面上沉積一第二導電材料,該第二導電材料位於該介電層上,且該第二導電材料填入該些第一溝槽中;以及去除部分的該第二導電材料和部分的該介電層;其中在該些第一溝槽的各個第一溝槽中,該第二導電材料的留下部分形成一第二導電部,該介電層的留下部分形成該閘極介電層; 其中,在該些第一溝槽的各個第一溝槽中,該閘極介電層係包覆該第二導電部的側壁和底表面,且該第二導電部與下方的該第一導電部係以該閘極介電層相隔開來。 The method of forming a semiconductor device as claimed in claim 19, wherein forming the gate structures includes: forming a dielectric layer on the sidewalls and bottom surfaces of the first trenches; depositing on the top surface of the epitaxial layer a second conductive material, the second conductive material is located on the dielectric layer, and the second conductive material fills the first trenches; and removing part of the second conductive material and part of the dielectric layer ; wherein in each of the first trenches, the remaining portion of the second conductive material forms a second conductive portion, and the remaining portion of the dielectric layer forms the gate dielectric layer; Wherein, in each of the first trenches, the gate dielectric layer covers the sidewalls and bottom surface of the second conductive portion, and the second conductive portion is in contact with the first conductive portion below. The parts are separated by the gate dielectric layer. 如請求項15之半導體裝置的形成方法,其中該些第一重摻雜部的留下部分和該些第二重摻雜部的留下部分分別為第一重摻雜區和第二重摻雜區,且該些第一溝槽的各個的相對兩側分別接觸該些第一重摻雜區之一者和該些第二重摻雜區之一者。 The method of forming a semiconductor device according to claim 15, wherein the remaining portions of the first heavily doped portions and the remaining portions of the second heavily doped portions are the first heavily doped regions and the second heavily doped portions respectively. doped regions, and opposite sides of each of the first trenches respectively contact one of the first heavily doped regions and one of the second heavily doped regions. 如請求項14之半導體裝置的形成方法,更包括:於該井區中形成複數個第一重摻雜區,該些第一重摻雜區係相距設置;其中該些第一重摻雜區具有該第二導電類型;以及於該井區中形成複數個第二重摻雜區,該些第二重摻雜區係相距設置;其中該些第二重摻雜區具有該第一導電類型,該第一導電類型不同於該第二導電類型。 The method of forming a semiconductor device according to claim 14, further comprising: forming a plurality of first heavily doped regions in the well region, the first heavily doped regions being arranged apart; wherein the first heavily doped regions having the second conductivity type; and forming a plurality of second heavily doped regions in the well region, the second heavily doped regions being spaced apart; wherein the second heavily doped regions have the first conductivity type , the first conductivity type is different from the second conductivity type. 如請求項22之半導體裝置的形成方法,其中相鄰的兩該些閘極結構之間係包括該些第一重摻雜區的其中之一以及該些第二重摻雜區的其中之一。 The method of forming a semiconductor device according to claim 22, wherein one of the first heavily doped regions and one of the second heavily doped regions are included between two adjacent gate structures. .
TW111139746A 2022-10-20 2022-10-20 Semiconductor device and methods for forming the same TWI823639B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW111139746A TWI823639B (en) 2022-10-20 2022-10-20 Semiconductor device and methods for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111139746A TWI823639B (en) 2022-10-20 2022-10-20 Semiconductor device and methods for forming the same

Publications (2)

Publication Number Publication Date
TWI823639B true TWI823639B (en) 2023-11-21
TW202418592A TW202418592A (en) 2024-05-01

Family

ID=89722728

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111139746A TWI823639B (en) 2022-10-20 2022-10-20 Semiconductor device and methods for forming the same

Country Status (1)

Country Link
TW (1) TWI823639B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201839982A (en) * 2017-04-26 2018-11-01 國立清華大學 Structure of u-metal-oxide-semiconductor field-effect transistor
US20200243656A1 (en) * 2019-01-30 2020-07-30 Siliconix Incorporated Split gate semiconductor with non-uniform trench oxide
US20210351289A1 (en) * 2020-05-08 2021-11-11 Nami MOS CO., LTD. Shielded gate trench mosfet integrated with super barrier rectifier having short channel
US20220045210A1 (en) * 2019-07-16 2022-02-10 Powerchip Semiconductor Manufacturing Corporation Method for fabricating shield gate mosfet
US20220130999A1 (en) * 2018-03-01 2022-04-28 Ipower Semiconductor Shielded gate trench mosfet devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201839982A (en) * 2017-04-26 2018-11-01 國立清華大學 Structure of u-metal-oxide-semiconductor field-effect transistor
US20220130999A1 (en) * 2018-03-01 2022-04-28 Ipower Semiconductor Shielded gate trench mosfet devices
US20200243656A1 (en) * 2019-01-30 2020-07-30 Siliconix Incorporated Split gate semiconductor with non-uniform trench oxide
US20220045210A1 (en) * 2019-07-16 2022-02-10 Powerchip Semiconductor Manufacturing Corporation Method for fabricating shield gate mosfet
US20210351289A1 (en) * 2020-05-08 2021-11-11 Nami MOS CO., LTD. Shielded gate trench mosfet integrated with super barrier rectifier having short channel

Also Published As

Publication number Publication date
TW202418592A (en) 2024-05-01

Similar Documents

Publication Publication Date Title
KR102050465B1 (en) Buried metal track and methods forming same
US9252239B2 (en) Semiconductor power devices manufactured with self-aligned processes and more reliable electrical contacts
US10636883B2 (en) Semiconductor device including a gate trench and a source trench
US9735266B2 (en) Self-aligned contact for trench MOSFET
US11342326B2 (en) Self-aligned etch in semiconductor devices
US20100264488A1 (en) Low Qgd trench MOSFET integrated with schottky rectifier
KR102496973B1 (en) Semiconductor devices and methods of manufacturing the same
TW201216468A (en) Semiconductor power devices manufactured with self-aligned processes and more reliable electrical contacts
US10050114B2 (en) Semiconductor device and method of manufacturing the same
US11380787B2 (en) Shielded gate trench MOSFET integrated with super barrier rectifier having short channel
KR20210137372A (en) Method for forming long channel back-side power rail device
US11387233B2 (en) Semiconductor device structure and methods of forming the same
US20190131430A1 (en) Hybrid spacer integration for field-effect transistors
CN111863711A (en) Semiconductor structure and forming method thereof
US12051721B2 (en) Methods of forming semiconductor devices including gate barrier layers
KR20230158405A (en) Under epitaxy isolation structure
CN111223932B (en) Semiconductor device and forming method thereof
TWI823639B (en) Semiconductor device and methods for forming the same
CN115966599A (en) Semiconductor structure and forming method thereof
US20240178315A1 (en) Semiconductor device and methods for forming the same
US20240178270A1 (en) Semiconductor device and methods for forming the same
CN117995839A (en) Semiconductor device and method for forming the same
US11869892B2 (en) Semiconductor device structure and methods of forming the same
TWI836689B (en) Semiconductor device and methods for forming the same
US11855078B2 (en) Semiconductor device structure including forksheet transistors and methods of forming the same