TWI812954B - 積體電路元件與其製造方法 - Google Patents

積體電路元件與其製造方法 Download PDF

Info

Publication number
TWI812954B
TWI812954B TW110119136A TW110119136A TWI812954B TW I812954 B TWI812954 B TW I812954B TW 110119136 A TW110119136 A TW 110119136A TW 110119136 A TW110119136 A TW 110119136A TW I812954 B TWI812954 B TW I812954B
Authority
TW
Taiwan
Prior art keywords
layer
source
drain
etch stop
gate
Prior art date
Application number
TW110119136A
Other languages
English (en)
Other versions
TW202213523A (zh
Inventor
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213523A publication Critical patent/TW202213523A/zh
Application granted granted Critical
Publication of TWI812954B publication Critical patent/TWI812954B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

一種製造積體電路元件的方法,包含:在基材上方形成閘極結構;在閘極結構上方形成介電蓋;在基材上方的源極/汲極區上方形成源極/汲極接觸;在介電蓋上方選擇性地形成蝕刻停止層,使得蝕刻停止層暴露出源極/汲極接觸;在蝕刻停止層與源極/汲極接觸上方沉積層間介電層;在層間介電層中形成源極/汲極穿孔,並且連接至源極/汲極接觸。

Description

積體電路元件與其製造方法
本揭露係關於一種製造積體電路元件的方法,以及應用此方法所製造的積體電路元件。
在積體電路材料及設計之技術上的進步已產生了數個世代的積體電路,其中每一世代都比前一世代具有更小且更複雜的電路。在積體電路發展的過程中,功能密度(例如:每單位晶片面積中之互連元件的數量)通常隨著幾何尺寸(例如:使用製造流程所能建立的最小元件(或線))的減小而增加。此種尺寸微縮的過程通常藉由提高生產效率和降低相關成本來提供效益。
本揭露之一態樣係提供一種製造積體電路元件的方法,包含:在基材上方形成閘極結構;在閘極結構上方形成介電蓋;在基材上方且在閘極結構旁的源極/汲極區上方形成源極/汲極接觸;在不被源極/汲極接觸所重疊之介 電蓋的上方選擇性地形成蝕刻停止層;在蝕刻停止層與源極/汲極接觸上方沉積層間介電(interlayer dielectric;ILD)層;以及形成延伸穿透層間介電層至源極/汲極接觸的源極/汲極穿孔。
本揭露之另一態樣係提供一種積體電路元件,包含:源極/汲極接觸、蝕刻停止層、層間介電(interlayer dielectric;ILID)層、以及源極/汲極穿孔。源極/汲極接觸係位在電晶體的源極/汲極區上方;蝕刻停止層係位在電晶體的閘極結構上方,其中此蝕刻停止層具有位在源極/汲極接觸上方的步階距離,以及實質上地與源極/汲極接觸的側壁對齊的側壁;層間介電層係位在蝕刻停止層上方;以及源極/汲極穿孔係延伸穿透層間介電層至源極/汲極接觸。
本揭露之又一態樣係提供一種積體電路元件,包含:第一源極/汲極接觸與第二源極/汲極接觸、蝕刻停止層、層間介電(interlayer dielectric;ILD)層、以及穿孔結構。第一源極/汲極接觸與第二源極/汲極接觸係分別地位在電晶體的第一源極/汲極區與第二源極/汲極區上方;蝕刻停止層係位在電晶體的閘極結構上方;層間介電層係位在蝕刻停止層上方並具有步階狀的底表面,此步階狀的底表面具有下步階與第一源極/汲極接觸的頂表面接觸,及上步階與蝕刻停止層的頂表面接觸;以及穿孔結構係延伸穿透層間介電層與蝕刻停止層至閘極結構。
12:基材
14:隔離區
100:積體電路結構
100a:積體電路結構
102:半導體帶
104:鰭片
106:假閘極結構
108:閘極介電層
110:假閘極電極
112:遮罩
114:遮罩
116:閘極間隔物
118:第一間隔物層
120:第二間隔物層
122:源極/汲極(磊晶)結構、磊晶結構
126:層間介電(interlayer dielectric;ILD)層
130:閘極結構
132:閘極介電層
134:功函數金屬層
136:填充金屬
138:金屬蓋
140:介電覆蓋層
142:介電蓋、SAC蓋
144:源極/汲極接觸
1441:源極/汲極接觸的側壁
146:蝕刻停止層(etch stop layer;ESL)
1461:蝕刻停止層的頂表面
1462:蝕刻停止層的側壁
148:層間介電(interlayer dielectric;ILD)層
1481:層間介電層的底表面
150:源極/汲極穿孔
1501:第一線性界面
1502:第二線性界面
152:阻擋層
154:對接接觸
1541:第一線性界面
1542:第二線性界面
156:閘極接觸
200:積體電路結構
200a:積體電路結構
202:溝渠
210:基材
212:基材部分
220:磊晶堆疊
222:磊晶(成長)層
224:磊晶(成長)層、通道層
230:鰭片
240:隔離區
250:假閘極結構
252:假閘極介電層
254:假閘極電極層
256:遮罩層
258:遮罩層
260:間隔物材料層、閘極間隔物
262:第一間隔物層
264:第二間隔物層
270:內部間隔物材料層、內部間隔物
280:源極/汲極磊晶結構
310:層間介電(interlayer dielectric;ILD)層
320:閘極結構
322:閘極介電層
324:功函數金屬層
326:填充金屬
330:金屬蓋
340:介電蓋、SAC蓋
350:源極/汲極接觸、自對準接觸
360:蝕刻停止層(etch stop layer;ESL)
370:層間介電(interlayer dielectric;ILD)層
380:源極/汲極穿孔
390:對接接觸
395:閘極接觸
910:硬遮罩(hard mask;HM)層
912:氧化物層
914:氮化物層
B-B:線
ET1:(穿孔)蝕刻製程
ET2:(穿孔)蝕刻製程
ET3:(穿孔)蝕刻製程
ET4:(穿孔)蝕刻製程
GT1:閘極溝渠
GT2:閘極溝渠
M4:圖案化的遮罩層
M5:圖案化的遮罩層
O1:穿孔開口
O2:穿孔開口、閘極接觸開口
O3:開口
O4:穿孔開口
O5:開口
O6:穿孔開口
O7:閘極接觸開口
O8:開口
O9:穿孔開口
R1:凹陷
R1’:凹陷
R2:凹陷
R3:凹陷
S/D:源極/汲極區
T1:厚度
X-X:割面
Y-Y:割面
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
第1圖至第16B圖係根據本揭露一些實施例所繪示的積體電路結構之形成的中間階段的透視圖與剖面視圖。
第17圖至第18B圖係根據本揭露一些實施例所繪示的用於製造積體電路之各種階段的例示性剖面視圖。
第19圖至第21圖係根據本揭露一些實施例所繪示的用於製造積體電路之各種階段的例示性剖面視圖。
第22圖至第25圖係根據本揭露一些實施例所繪示的用於製造積體電路之各種階段的例示性剖面視圖。
第26圖至第43B圖係根據本揭露一些實施例所繪示的積體電路結構之形成的中間階段的透視圖與剖面視圖。
第44A圖與第44B圖係根據一些實施例的積體電路結構的剖面視圖。
第45圖至第48圖係根據本揭露一些實施例所繪示的用於製造積體電路之各種階段的例示性剖面視圖。
以下揭露提供許多不同實施例或例示,以實施申請標的之不同特徵。以下敘述之成份和排列方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在 構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的製程描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。而本文使用的是,第一特徵形成在第二特徵之上或上方代表第一特徵和第二特徵為直接接觸。除此之外,本揭露在各種例示中重覆參考數值及/或字母。此重覆的目的是為了使說明簡化且清晰易懂,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含裝置在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以據此解讀。
本文中所使用的「將近」、「大約」、「大概」、或「大致上」通常指的是在給定數值或範圍的百分之二十、百分之十、或百分之五以內。此處所給的數值為近似的,意味著若沒有明確說明,則可推斷為術語「將近」、「大約」、「大概」、或「大致上」。
本揭露一般而言係有關於積體電路結構與製造此積體電路結構的方法,以及更具體地關於製造電晶體(例如: 鰭式場效電晶體(FinFETs),以及閘極全環繞(GAA)電晶體)與電晶體之閘極結構上方的閘極接觸。應理解的是,本揭露係以多閘極電晶體的形式來呈現實施例。多閘極電晶體包含其閘極結構係形成於通道區至少兩側上的那些電晶體。這些多閘極電晶體可包含P型金屬氧化物半導體元件或N型金屬氧化物半導體元件。由於它們的鰭狀結構,因而可呈現特定範例且此處稱其為鰭式場效電晶體。鰭式場效電晶體具有形成於通道區之三個側面上的閘極結構(例如:在半導體鰭片中包圍環繞通道區的上部)。本文亦提出一種被稱為GAA元件的多閘極電晶體的實施例。GAA元件包含其閘極結構或其部分形成於通道區之四個側面上(例如:圍繞通道區的部分)的任何元件。本文所提出的元件亦包含具有奈米片通道、奈米線通道、及/或其他適合的通道配置所設置之通道區的實施例。
在用以製造電晶體的前段製程(Front-end-of-line;FEOL)完成之後,在電晶體的源極/汲極區上方形成源極/漏極接觸。接著,在源極/汲極接觸上方形成源極/汲極穿孔,以將源極/汲極接觸電性地連接至隨後所形成的互連金屬線。源極/汲極穿孔的形成可包含:在源極/汲極接觸上方沉積層間介電(interlayer dielectric;ILD)層;藉由使用非等向性蝕刻來形成延伸穿透ILD層的穿孔開口;接著在穿孔開口中沉積一或多個金屬層,以作為源極/汲極穿孔。為了防止在非等向性蝕刻製程期間過度地蝕刻在源極/汲極接觸附近介電材料,可 在形成ILD層之前在介電材料上選擇性地形成附加的蝕刻停止層(etch stop layer;ESL)。選擇性形成的ESL具有不同於ILD層的蝕刻選擇性,因此選擇性形成的ESL可減緩甚至停止用以形成穿孔開口的蝕刻製程,因而避免過度地蝕刻在ESL下的介電材料,從而降低了洩漏電流的風險。
第1圖至第16B圖係根據本揭露一些實施例所繪示的在積體電路結構100之形成的中間階段的透視圖與剖面視圖。根據一些例示性的實施例,已形成的電晶體可包含P型電晶體(如P型鰭式場效電晶體)與N型電晶體(如N型鰭式場效電晶體)。貫穿各種視圖與說明性的實施例,相似的參照標號係用以指示相似的元件。應理解的是,對於本方法之額外的實施例,可在如第1圖至第16B圖所示的製程之前、之中及之後提供額外的操作,以及以下所述的一些操作可被置換或排除。操作/製程的順序可以互換。
第1圖繪示一結構的透視圖。初始結構包含一基材12。基材12可為一半導體基材(在一些實施例中亦稱為晶圓),其可為矽晶圓、矽鍺晶圓、或由其他半導體材料所形成的基材。根據本揭露的一些實施例,基材12包含塊狀矽基材與在塊狀矽基材上方的磊晶矽鍺(SiGe)層或鍺層(其中無矽)。基材12可摻雜P型或N型摻質。可形成如淺渠隔離(hallow trench isolation;STI)區的隔離區14以延伸至基材12中。在相鄰隔離區14之間的基材12的部分被稱為半導體帶102。
隔離區14可包含墊氧化物(未繪示)。墊氧化物可透過對基材12的表面層進行熱氧化而形成的熱氧化物來形成。墊氧化物亦可為使用原子層沉積(Atomic Layer Deposition;ALD)、高密度電漿化學氣相沉積(High Density Plasma Chemical Vapor Deposition;HDPCVD)、或化學氣相沉積(Chemical Vapor Deposition;CVD)所形成之沉積的氧化矽層。隔離區14亦可包含在墊氧化物上方的介電材料,且可使用流動性化學氣相沉積(flowable chemical vapor deposition;FCVD)、旋塗(spin-on coating)、或類似方法來形成介電材料。
參照第2圖,隔離區14係被凹陷(recessed),使得半導體帶102的頂部突出高於相鄰之隔離區14的頂部表面以形成突出鰭片104。可使用乾式蝕刻製程來進行蝕刻,其中使用氨(NH3)與三氟化氮(NF3)作為蝕刻氣體。在蝕刻期間,可產生電漿。氬氣亦可包含在內。根據本揭露之一可替代的實施例,隔離區14的凹陷可使用濕式蝕刻製程來進行。蝕刻的化學物質可包含例如:稀釋的氟化氫(HF)。
在上述例示性的實施例中,鰭片可藉由任何適合的方法來圖案化。例如:可使用一或多種光學微影製程來圖案化鰭片,包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程結合了光學微影與自對準製程,因而允許建立例如:間距(pitch)小於使用可獲得之單一直 接光學微影製程的間距的圖案。例如:在一些實施例中,在基材上方形成犧牲層並使用光學微影製程將其圖案化。使用自對準製程沿著被圖案化之犧牲層的旁邊形成間隔物。接著移除犧牲層,而殘存的間隔物,或心軸,可被使用來圖案化鰭片。
突出鰭片104的材料亦可被置換為不同於基材12的材料。例如:若突出鰭片104用於N型電晶體,則突出鰭片104可由矽、磷化矽(SiP)、碳化矽(SiC)、碳磷化矽(SiPC)或III-V族化合物半導體如磷化銦(InP)、砷化鎵(GaAs)、砷化鋁(AlAs)、砷化銦(InAs)、砷化鋁銦(InAlAs)、砷化鎵銦(InGaAs)或類似材料來形成。另一方面,若突出鰭片104用於P型電晶體,則突出鰭片104可由矽、矽鍺、矽鍺硼(SiGeB)、鍺或III-V族化合物半導體如銻化銦(InSb)、銻化鎵(GaSb)、銻化鎵銦(InGaSb)或類似材料來形成。
參照第3A圖與第3B圖,在突出鰭片104的頂表面與側壁上形成假(dummy)閘極結構106。第3B圖繪示了從包含第3A圖中的線B-B的垂直平面所獲得的剖面圖。假閘極結構106的形成包含在鰭片104上依序沉積閘極介電層與假閘極電極層,接著圖案化閘極介電層與假閘極電極層。由於圖案化,假閘極結構106包含閘極介電層108與在閘極介電層108上方的假閘極電極110。閘極介電層108可為任何可接受的介電層,如氧化矽、氮化矽、類似物質、或其組合,且可使用任何可接受的製程來形成, 如熱氧化、旋塗製程、或類似製程。假閘極電極110可為任何可接受的電極層,如包含多晶矽、金屬、類似物質、或其組合。可藉由任何可接受的沉積製程來沉積閘極電極層,如CVD、電漿增強CVD(PECVD)、或類製程。每一個假閘極結構106皆跨過單個或複數個突出鰭片104。假閘極結構106可具有與對應之突出鰭片104的長度方向垂直的長度方向。
可在假閘極電極層上方形成遮罩圖案以輔助圖案化。在一些實施例中,可形成包含了在多晶矽的批覆層上方的底部遮罩112以及在底部遮罩112上方的頂部遮罩114的硬遮罩圖案。硬遮罩圖案係由二氧化矽(SiO2)、氮碳化矽(SiCN)、氮氧化矽(SiON)、氧化鋁(Al2O3)、氮矽(SiN)、或其他適合的材料所製作。在某些實施例中,底部遮罩112包含氧化矽,且頂部遮罩114包含氮化矽。藉由使用遮罩圖案作為蝕刻遮罩,假閘極電極層被圖案化為假閘極電極110,且披覆性閘極介電層被圖案化為閘極介電層108。
接著,如如第4圖所示,在假閘極結構106的側壁上形成閘極間隔物116。在閘極間隔物形成操作的一些實施例中,在基材12上沉積間隔物材料層。間隔物材料層可為一保形層,其隨後被回蝕以形成閘極間隔物116。在一些實施例中,間隔物材料層包含多個層,如第一間隔物層118與在第一間隔物層118上方形成的第二間隔物層120。第一間隔物層118與第二間隔物層120皆由適合的 材料製作,如二氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽、碳氧化矽、氮碳氧化矽(SiOCN)、及/或其組合。作為範例而非限制,可藉由使用如CVD製程、次大氣壓CVD(subatmospheric CVD;SACVD)製程、流動性CVD製程、ALD製程、PVD製程、或其他適合的製程,在假閘極結構106上依序沉積二種不同的介電材料來形成第一間隔物層118與第二間隔物層120。接著在已沉積的間隔物層118與間隔物層120上進行非等向性蝕刻製程,以暴露出鰭片104未被假閘極結構106所覆蓋的部分(例如:在鰭片104的源極/汲極區中)。可藉由此非等向性蝕刻製程來移除假閘極結構106正上方的間隔物層118與間隔物層120的部分。為了簡單起見,可保留假閘極結構106之側壁上的間隔物層118與間隔物層120的部分,因而形成閘極側壁間隔物,其被表示為閘極間隔物116。在一些實施例中,第一間隔物層118係由介電常數比氮化矽低的氧化矽形成,且第二間隔物層120係由對後續蝕刻處理(例如:蝕刻鰭片104中的源極/汲極凹陷)具有比氧化矽更高之蝕刻阻力的氮化矽形成。在一些實施例中,閘極間隔物116可用以偏移後續形成的摻雜區,如源極/汲極區。閘極間隔物116可進一步用於設計或修改源極/汲極區的輪廓。
在完成閘極間隔物116的形成之後,在未被假閘極結構106與閘極間隔物116所覆蓋之鰭片104的源極/汲極區上形成源極/汲極結構122,所得到的結果繪示於第 5圖。在一些實施例中,源極/汲極結構122的形成包含使鰭片104的源極/汲極區凹陷,接著在已凹陷之鰭片104源極/汲極區中磊晶成長半導體材料。
可使用適合的選擇性蝕刻製程來使鰭片104的源極/汲極區凹陷,而所述之選擇性蝕刻製程會攻擊半導體鰭片104,但幾乎不會攻擊閘極間隔物116與假閘極結構106的頂部遮罩。例如:可藉由具有電漿源與蝕刻劑氣體的乾式化學蝕刻來進行半導體鰭片104的凹陷。電漿源可為感應耦合電漿(inductively coupled plasma;ICP)蝕刻、變壓耦合電漿(transformer coupled plasma;TCP)蝕刻、電子迴旋共振(electron cyclotron resonance;ECR)蝕刻、反應式離子蝕刻(reactive ion etch;RIE)、或類似製程;且蝕刻劑氣體可為氟、氯、或溴、其組合、或類似氣體,以比其蝕刻閘極間隔物116與假閘極結構106的頂部遮罩114更快的蝕刻速率來蝕刻半導體鰭片104。在一些其他的實施例中,可藉由濕式化學蝕刻如過氧化氫-氨水混合物(ammonium peroxide mixture;APM)、氨水(NH4OH)、氫氧化四甲基銨(TMAH)、其組合等、或類似化學物質來進行半導體鰭片104的凹陷,以比其蝕刻閘極間隔物116與假閘極結構106的頂部遮罩114更快的蝕刻速率來蝕刻半導體鰭104。在一些其他的實施例中,可藉由乾式化學蝕刻與濕式化學蝕刻的組合來進行半導體鰭片104的凹陷。
一但在鰭片104的源極/汲極區中建立凹陷,藉由 使用在半導體鰭片104上提供一或多種磊晶材料之一或多個磊晶或磊晶(epi)製程,而在鰭片104中的源極/汲極凹陷中形成源極/汲極磊晶結構122。在磊晶生長期間,閘極間隔物116將一或多種磊晶材料限制在鰭片104中的源極/汲極區。在一些實施例中,磊晶結構122的晶格常數不同於半導體鰭片104的晶格常數,使得鰭片104中的通道區以及磊晶結構122之間可被磊晶結構122拉緊或加壓,以提高半導體元件的載子移動率並增加元件效能。磊晶製程包含CVD沉積技術(例如:PECVD、氣相磊晶(vapor-phase epitaxy;VPE)、及/或超高真空CVD(ultra-high vacuum CVD;UHV-CVD))、分子束磊晶、及/或其他適合的製程。磊晶製程可使用與半導體鰭片104的成份相互作用的氣態及/或液態前驅物。
在一些實施例中,源極/汲極結構122可包含鍺、矽、砷化鎵、砷化鎵鋁(AlGaAs)、矽鍺、磷砷化鎵(GaAsP)、磷化矽、或其他適合的材料。源極/汲極磊晶結構122可在磊晶製程期間被臨場摻雜,藉由導入摻質物種包含:P型摻質如硼或BF2、N型摻質如磷或砷、及/或其他適合的摻質包含其組合。若源極/汲極磊晶結構122非臨場摻雜,則進行離子佈植製程(例如:接面佈植製程)來摻雜源極/汲極磊晶結構122。在一些例示性的實施例中,在N型電晶體中的雜源極/汲極磊晶結構122包含磷化矽,在P型電晶體中的雜源極/汲極磊晶結構122包含GeSnB及/或SiGeSnB。在具有不同元件類型的實施例中,當曝 光P型元件區時,可在N型元件區上方形成遮罩(例如:光阻),且可在P型元件區中已曝光之鰭片104上形成P型磊晶結構。接著可移除遮罩。隨後,當曝光N型元件區時,可在P型元件區上方形成遮罩(例如:光阻),且可在N型元件區中已曝光之鰭片104上形成N型磊晶結構。接著可移除遮罩。
一但源極/汲極磊晶結構122被移除,可進行退火製程以活化源極/汲極磊晶結構122中的P型摻質或N型摻質。退火製程可為例如:快速熱退火(rapid thermal anneal;RTA)、雷射退火、毫秒熱退火(millisecond thermal annealing;MSA)製程、或類似退火製程。
接下來,在第6圖中,在基材12上形成層間介電(ILD)層126。在一些實施例中,亦在形成ILD層126之前形成接觸蝕刻停止層(contact etch stop layer;CESL)。在一些範例中,CESL包含氮化矽層、氧化矽層、氮氧化矽層、及/或具有不同於ILD層126之蝕刻選擇性的其他適合材料。可藉由電漿增強化學氣相沉積(PECVD)製程及/或其他沉積或氧化的製程來形成CESL。在一些實施例中,ILD層126包含如四乙氧矽烷(tetraethylorthosilicate;TEOS)氧化物、未摻雜的矽酸鹽玻璃、或有摻雜的氧化矽如硼磷矽玻璃(borophosphosilicate glass;BPSG)、熔融矽玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、摻硼矽玻璃(boron silicate glass;BSG)、及/或具有不同於CESL之蝕刻選擇性的其他適合材料。可藉由PECVD製程或其他適合的沉積技術來沉積ILD層126。在一些實施例中,在ILD層126形成之後,晶圓可在高熱預算製程的條件下來退火ILD層126。
在一些實施例中,在形成ILD層126之後,可進行平坦化製程以移除ILD層126之過量的材料。例如:平坦化製程包含化學機械式平坦化(chemical mechanical planarization;CMP)製程,其移除ILD層126(及CESL層,若存在的話)覆蓋假閘極結構106的部分。在一些實施例中,CMP製程亦移除硬遮罩層112與硬遮罩層114(如第5圖所繪示)並暴露出假閘極電極110。
接下來,如第7圖所繪示,移除剩餘的假閘極結構106(請參照第6圖),從而在對應的閘極間隔物116之間形成閘極溝渠GT1。使用選擇性蝕刻製程(例如:選擇性乾式蝕刻、選擇性濕式蝕刻、或其組合)來移除假閘極結構106,其以比蝕刻其他材料(例如:閘極間隔物116、CESL、及/或ILD層126)更快的蝕刻速率來蝕刻假閘極結構106中的材料。
此後,如第8圖所示,在閘極溝渠GT1中分別形成置換閘極結構130。閘極結構130可為鰭式場效電晶體的最終閘極。每一最終閘極結構可為高介電常數/金屬閘極堆疊,然而其他組成亦是可行的。在一些實施例中,每一 閘極結構130形成與由鰭片104所提供之通道區的三個側面相關的閘極。換而言之,每一閘極結構130在三個側面上包圍環繞鰭片104。在各種實施例中,高介電常數/金屬閘極結構130包含襯墊閘極溝渠GT1的閘極介電層132、形成在閘極介電層132上方的功函數金屬層134、以及形成在功函數金屬層134上方的填充金屬136且填充閘極溝渠GT1之其餘部分。閘極介電層132包含界面層(例如:氧化矽層)與位於界面層上方的高介電常數閘極介電層。如本文所使用和描述的,高介電常數閘極介電質包含具有高介電常數的介電材料例如:其介電常數大於熱氧化矽的介電常數(~3.9)。在高介電常數/金屬閘極結構130內所使用的功函數金屬層134及/或填充金屬136可包含金屬、金屬合金、或金屬矽化物。高介電常數/金屬閘極結構130的形成可包含多種沉積製程以形成各種閘極材料、一或多個墊層、以及一或多個CMP製程以移除過量的閘極材料。
在一些實施例中,閘極介電層132的界面層可包含介電材料,如二氧化矽、氧化矽鉿(HfSiO)、或氮氧化矽。界面層可藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)、及/或其他適合的方法來形成。閘極介電層132的高介電常數介電層可包含氧化鉿(HfO2)。或者,閘極介電層132可包含其他高介電常數介電質,例如:氧化矽鉿、氮氧化矽鉿(HfSiON)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿(HfZrO)、氧化鑭(LaO)、 氧化鋯(ZrO)、氧化鈦(TiO)、氧化鉭(Ta2O5)、氧化釔(Y2O3)、氧化鈦鍶(SrTiO3,STO)、氧化鈦鋇(BaTiO3,BTO)、氧化鋯鋇(BaZrO)、氧化鑭鋯(HfLaO)、氧化矽鑭(LaSiO)、氧化矽鋁(AlSiO)、氧化鋁、氮化矽(Si3N4)、氮氧化矽、或其組合。
功函數金屬層134可包含功函數金屬,以提供適合的功函數用於高介電常數/金屬閘極結構130。對於N型鰭式場效電晶體,功函數金屬層134可包含一或多個N型功函數金屬(N-金屬)。N型功函數金屬可例示性地包含鋁鈦(TiAl)、氮化鋁鈦(TiAlN)、氮碳化鉭(TaCN)、鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、金屬碳化物(例如:碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鋁(AlC))、鋁化物、及/或其他適合的材料,但並未限制於此。另一方面,對於P型鰭式場效電晶體,功函數金屬層134可包含一或多個P型功函數金屬(P-metal)。P型功函數金屬可例示性地包含氮化鈦(TiN)、氮化鎢(WN)、鎢(W)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、導電金屬氧化物、及/或其他適合的材料,但並未限制於此。
在一些實施例中,填充金屬136可例示性地包含銅、鎳、鈷、鈦、鉭、氮化鈦、氮化鉭、鎳矽化物(nickel silicide)、鈷矽化物(cobalt silicide)、碳化鉭(TaC)、氮矽化鉭(TaSiN)、氮碳化鉭(TaCN)、鋁鈦(TiAl)、氮化鋁鈦(TiAlN)、或其他適合的材料,但並未限制於此。
接著參照第9圖。進行回蝕製程以回蝕置換閘極結構130,從而在回蝕的閘極結構130上形成凹陷R1。在一些實施例中,由於置換閘極結構130的材料具有與閘極間隔物116不同的蝕刻選擇性,可進行一選擇性蝕刻製程來回蝕置換閘極結構130,以降低置換閘極結構130。因此,置換閘極結構130的頂表面可位於相對閘極間隔物116之頂表面較低的高度。
接下來,在基材12上方沉積介電覆蓋層140,直到凹陷R1被過度填滿,如第10圖所示。介電覆蓋層140包含SiNx、AlxOy、AlON、SiOxCy、SiCxNy、氮化硼、(BN)、碳氮化硼(BNC)、其組合或類似物質,且其係藉由適合的沉積技術來形成,例如:CVD、電漿增強CVD(PECVD)、ALD、遠程電漿ALD(RPALD)、電漿增強ALD(PEALD)、其組合或類似技術。接著進行CMP製程以移除凹陷R1外部的覆蓋層,介電覆蓋層140在凹陷R1中留下的部分則作為介電蓋142,所得到的結構係繪示於第11圖中。如第11圖所示,介電蓋142係直接與置換閘極結構130直接接觸。
參照第12圖,形成源極/汲極接觸144且延伸穿透ILD層126(與CESL,若是CESL存在)(請參照第11圖)。作為範例而非限制,源極/汲極接觸144的形成包含:進行一或多個蝕刻製程以形成接觸開口延伸穿透ILD層126(與CESL,若是CESL存在),以暴露出源極/汲極磊晶結構122;沉積一或多個金屬材料並過度填滿接觸 開口;以及接著進行CMP製程來移除接觸開口外部之過量金屬材料。在一些實施例中,一或多個蝕刻製程為選擇性蝕刻,其以比蝕刻介電蓋142與閘極間隔物116更快的蝕刻速率來蝕刻ILD層126。因此,使用介電蓋142與閘極間隔物116作為蝕刻遮罩來進行選擇性蝕刻,使得接觸開口與由此源極/汲極接觸144係自對準地形成為源極/汲極磊晶結構122,而不需使用額外的光學微影製程。在這種情況下,介電蓋142允許以自我對準之方式來形成源極/汲極接觸144,其可被稱為自對準接觸(self-aligned contacts;SAC)蓋142。
一旦已形成自對準的源極/汲極接觸144,在第13圖中,選擇性地在介電材料(例如:SAC蓋142與閘極間隔物116)上方形成蝕刻停止層(etch stop layer;ESL)146。在一些實施例中,ESL 146藉由選擇性原子層沉積(ALD)製程來形成,其在介電質表面上比在金屬表面上呈現更快的沉積速率。選擇性ALD製程包含提供金屬前驅物及羥基前驅物的交替脈衝至反應腔室。反應物的脈衝以自我限制(self-limiting)的方式來使表面飽和。
其中形成ESL 146之例示性的選擇性ALD製程包含以下操作。將第12圖的結構裝載至反應腔室中。接著,以第一時間段將前驅物的脈衝注入至裝載有此結構的反應腔室中。此處,前驅物包含選自從H2O、H2O2、及/或O3所構成之群組的化學物質。例如:前驅物包含羥基前驅物。
隨著羥基前驅物注入至反應腔室中,羥基前驅物的化學吸附層係選擇性地形成在介電材料(例如:SAC蓋142與閘極間隔物116)之暴露的表面上,但不是形成在金屬材料之暴露的表面上(例如:源極/汲極接觸144)。隨後,以第二時間段來將殘留的羥基前驅物從反應腔室中排出。為了更有效地從反應腔室中排出殘留的羥基前驅物,可在清除期間將清洗氣體注入至反應腔室中,其中此清洗氣體可包含惰性氣體,例如:氮氣、氬氣、氦氣、或類似的惰性氣體。
在從反應腔室中排出殘留的羥基前驅物之後,以第三時間段將金屬前驅物的脈衝注入至反應腔室中。此處,金屬前驅物與羥基前驅物的化學吸附層具有高反應機率。作為一些實例,金屬前驅物包含四氯過渡金屬複合物(tetrachloro transition metal complex)。在一些實施例中,四氯過渡金屬複合物包含選自從ZrCl4、HfCl4、AlCl4、及TiCl4所構成之群組的化學物質。金屬前驅物與羥基前驅物的化學吸附層反應。因此,ESL 146的原子層形成在介電材料之暴露的表面(例如:SAC蓋142與閘極間隔物116)上,但不是形成在金屬材料之暴露的表面(例如:源極/汲極接觸144)上。在第13圖中,ESL 146為包含金屬氧化物的高介電常數(high-κ)介電層。在一些實施例中,金屬氧化物包含氧化鋯、氧化鉿、氧化鋁、氧化鈦、及/或其他適合的材料。在一些其他實施例中,ESL 146可由二氧化矽(SiO2)、SiNx、AlxOy、氮氧化鋁 (AlON)、SiOxCy、SiCxNy、氮化硼(BN)、碳氮化硼(BNC)、或其他適合的材料所製成。
接著,以第四時間段來將殘留的金屬前驅物從反應腔室中排出。為了更有效地在第四時間段中將殘留的金屬前驅物從反應腔室中排出,可將如氮氣、氬氣、氦氣等惰性氣體注入到反應腔室中。
在一些實施例中,選擇性ALD製程包含一系列的選擇性ALD循環,即如上所述的第一時間段至第四時間段,在此期間,羥基前驅物與金屬前驅物中的每一者係交替地注入並隨後從反應腔室中排出,當結合在一起時被認為是一次沉積或一次層形成循環。經過多次重複此循環,從而形成具有所要厚度的ESL 146。ESL 146可具有約3nm至約10nm的厚度T1。若厚度T1小於約3nm,則ESL 146可能太薄而無法減緩或停止後續的蝕刻製程;若厚度T1大於約10nm,則所得到的積體電路結構可能具有高的寄生電容。在一些實施例中,在選擇性ALD製程完成之後,可選擇地進行CMP製程以平坦化ESL 146。
參照第14圖,在ESL 146上方形成另一ILD層148。在一些實施例中,ILD層148包含如四乙氧矽烷(TEOS)氧化物、未摻雜的矽酸鹽玻璃、或有摻雜的氧化矽如硼磷矽玻璃(BPSG)、熔融矽玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻硼矽玻璃(BSG)、及/或具有不同於CESL 124之蝕刻選擇性的其他適合材料。在某些實施例中,ILD層148是由氧化矽(SiOx)來形成。可藉由PECVD製程 或其他適合的沉積技術來沉積ILD層148。在一些實施例中,在後續的穿孔蝕刻製程中,ESL 146相對於ILD層148具有足夠的蝕刻選擇性(例如:約7倍至約10倍甚至更高)。換句話說,在後續的穿孔蝕刻製程中,ILD層148的蝕刻速率是ESL 146的蝕刻速率的約7倍至約10倍甚至更高。也就是說,後續的穿孔蝕刻製程以相對於蝕刻ESL 146快大約7倍的速度來蝕刻ILD層148。因此,ESL 146可減緩或甚至停止形成穿孔開口的蝕刻製程(請參照第15A圖與第15B圖),因而防止了在源極/汲極接觸144附近過度地蝕刻介電材料。
參照第15A圖,藉由使用一蝕刻製程(亦稱為穿孔蝕刻製程)ET1來圖案化ILD層148,以形成延伸穿透ILD層148的穿孔開口O1。穿孔蝕刻製程ET1的蝕刻持續時間係被控制來突破ILD層148。由於蝕刻製程ET1,源極/汲極接觸144在穿孔開口O1的底部被暴露出來。
在一些實施例中,在穿孔蝕刻製程ET1之前,進行光學微影製程以定義期望的穿孔開口O1的俯視圖圖案。例如:光學微影製程可包含如第14圖所示在ILD層148上旋轉塗佈光阻層、進行曝光後烘烤製程、以及顯影光阻層以形成具有穿孔開口O1之俯視圖圖案的圖案化遮罩。在一些實施例中,可使用電子束(e-beam)微影製程或極紫外(extreme ultraviolet;EUV)微影製程來圖案化光阻層以形成圖案化遮罩。
在一些實施例中,穿孔蝕刻製程ET1係非等向性 蝕刻製程,例如:電漿蝕刻。以電漿蝕刻為例,將具有如第14圖中所示之結構的半導體基材12裝載至電漿工具中,並暴露在含氟氣體(例如:C4F8、C5F8、C4F6、CHF3、或類似氣體)、惰性氣體(例如:氬氣或氦氣)、以及可選擇的弱氧化劑(例如:氧氣(O2)、一氧化碳(CO)或類似物種)的氣體混合物並藉由RF或微波功率所產生的電漿環境中,其持續時間足夠以蝕刻穿透ILD層148。在包含C4F6、CF4、CHF3、氧氣、與氬氣的氣體混合物中所產生的電漿可用來蝕刻穿透ILD層148。上述的化學物質允許以比蝕刻ESL 146更快的蝕刻速率來選擇性地蝕刻ILD層148。例如:在非等向選擇性蝕刻製程ET1中,ILD層148的蝕刻速率係高於ESL 146的蝕刻速率約7倍至約10倍甚至更高。
在一些實施例中,由於製程變化,在穿孔開口O1與源極/汲極接觸144之間可能存在某種程度的未對齊(或重疊誤差)。或者,在一些實施例中,穿孔開口O1的尺寸(或寬度)可能較大於源極/汲極接觸144的尺寸(或寬度)。無論何種方式,穿孔開口O1皆可暴露出ESL 146的一部分。然而,由於ILD層148與ESL 146之間的蝕刻選擇性,ESL 146可減緩甚至停止形成穿孔開口O1的蝕刻製程,因而防止過度地蝕刻介電材料(例如:閘極間隔物116及/或介電蓋142)並造成汲電流的風險降低。
在一些實施例中,以此方式所選之前述的穿孔蝕刻製程ET1的蝕刻質與蝕刻條件,使得ESL 146(例如:含 金屬的介電質)表現出比ILD層148(例如:SiOx)較低的蝕刻速率。如此一來,ESL 146可作為可偵測的蝕刻終點,因而避免擊穿或突破ESL 146,並因此避免過度蝕刻被ESL 146所覆蓋的介電材料。換句話說,穿孔蝕刻製程ET1被調整以比蝕刻含金屬的介電質或氮化矽較快的蝕刻速率來蝕刻矽。
在一些實施例中,ILD層148包含氧化矽,且ESL 146包含含金屬的介電質。穿孔蝕刻製程ET1可包含適合的濕式蝕刻、乾式(電漿)蝕刻、及/或其他製程。例如:乾式蝕刻製程可使用含氯氣體、含氟氣體、其他蝕刻氣體、或其組合。濕式蝕刻溶液可包含NH4OH、HF(氫氟酸)或稀釋HF、去離子水、TMAH(tetramethylammonium hydroxide;氫氧化四甲基銨)、其他適合的濕式蝕刻溶液、或其組合。如此一來,在穿孔蝕刻製程ET1中含金屬之介電質的蝕刻速率保持較低,-因此而允許以比蝕刻含金屬之介電質(即ESL 146)較快的蝕刻速率來蝕刻氧化矽(即ILD材料)。
在一些實施例中,ILD層148包含氧化矽,且ESL 146包含氮化矽。已觀察到當蝕刻電漿係由含氫(H2)氣體之氣體混合物所產生時,氮化矽的蝕刻速率增加。因此,根據本揭露的一些實施例,使用無氫的氣體混合物來進行穿孔蝕刻製程ET1以降低氮化矽的蝕刻速率。換句話說,穿孔蝕刻製程ET1中的電漿是在沒有氫氣(H2)的氣體混合物中產生的。如此一來,在穿孔蝕刻製程ET1中,氮化 矽的蝕刻速率保持較低,因此而允許以比蝕刻氮化矽(即ESL 146)較快的蝕刻速率來蝕刻氧化矽(即ILD材料)。
在如第15A圖所示的一些實施例中,由於蝕刻製程ET1的非等向性蝕刻的性質,穿孔開口O2具有錐形的側壁輪廓。然而,在一些其他實施例中,蝕刻製程ET1的蝕刻條件可被微調以允許穿孔開口O1具有垂直的側壁輪廓,如第15B圖所示。
參照第16A圖,接著在穿孔開口O1中形成源極/汲極穿孔150,以物理性與電性連接至源極/汲極接觸144。作為例示而非限制,使用沉積一或多種金屬材料以使穿孔開口O1被過度填充而形成源極/汲極穿孔150,接著進行CMP製程以移除穿孔開口O1外部之過量的金屬材料。作為CMP製程的結果,源極/汲極穿孔150具有大致上與ILD層148共平面的頂表面。源極/汲極穿孔150可包含如銅、鋁、鎢、或其組合等的金屬材料,且可使用PVD、CVD、ALD、或類似製程來形成。在一些實施例中,源極/汲極穿孔150更可包含一或多個阻障層/黏著層(未繪示),以保護ILD層148及/或ESL 146免於金屬擴散(例如:銅擴散)的影響。一或多個阻障層/黏著層可包含鈦、氮化鈦、鉭、氮化鉭等,且可使用PVD、CVD、ALD、或類似製程來形成。
如第16A圖與第16B圖所示,由於光學微影的重疊誤差,源極/汲極穿孔150可與源極/汲極接觸144對齊,或與源極/汲極接觸144未對齊。源極/汲極穿孔150繼承 了穿孔開口O1的幾何形狀。換句話說,源極/汲極穿孔150的側壁線性地延伸穿透ILD層148,且具有形成在ILD 148與ESL 146之間界面處的步階(或凹角)。例如:ILD層148具有步階狀的底表面,其具有與蝕刻停止層146接觸的上步階,及與源極/汲極接觸144接觸的下步階。更詳細地,源極/汲極穿孔150與ILD層148形成第一線性界面1501,且源極/汲極穿孔150與ESL 146形成第二線性界面1502。第一線性界面1501與第二線性界面1502未相連接,且第一線性界面1501與第二線性界面1502彼此未對齊。在一些實施例中,第一線性界面1501比第二線性界面1502更傾斜。此外,第二線性界面1502係大致上地與源極/汲極接觸144的側壁1441對齊。也就是說,蝕刻停止層146具有在源極/汲極接觸144上方的步階距離。
在如第16A圖所示的一些實施例中,由於蝕刻製程ET1的非等向性蝕刻的性質,源極/汲極穿孔150具有錐形的側壁輪廓。然而,在一些其他實施例中,蝕刻製程ET1的蝕刻條件可被微調以允許穿孔開口O1與因此源極/汲極穿孔150具有垂直側壁輪廓,如第16B圖所示。
圖17至第18B圖係根據本揭露一些其他實施例所繪示的用於製造積體電路100之各種階段的例示性剖面視圖。應理解的是,針對本方法之附加的實施例,可在如圖17至第18B圖所示的製程之前、期間、與之後提供附加的操作,以及以下所述的一些操作可被置換或消除。操 作/製程的順序可以互換。在後續的實施例中可採用如第1圖至第18A圖所描述之相同或相似的配置、材料、製程及/或操作,且可省略詳細的說明。
在形成如第8圖所示的結構之後,進行回蝕製程以回蝕置換閘極結構130與閘極間隔物116,因而在回蝕的閘極結構130與回蝕的閘極間隔物116上方形成凹陷R1'。在一些實施例中,因置換閘極結構130的材料具有與閘極間隔物116不同的蝕刻選擇性,故可進行第一選擇性蝕刻製程來回蝕置換閘極結構130以降低置換閘極結構130。接著,進行第二選擇性蝕刻製程來降低閘極間隔物116。因此,置換閘極結構130的頂表面可位於比閘極間隔物116的頂表面較低的高度。例如:在所示實施例中(如第17圖所繪示),置換閘極結構130的頂表面低於閘極間隔物116的頂表面。然而,在一些其他實施例中,置換閘極結構130的頂表面可比閘極間隔物116的頂表面較高。
在一些實施例中,藉由如CVD或ALD之適當的製程來分別地在置換閘極結構130的頂部上形成金屬蓋138。在一些實施例中,使用由下而上的方法在置換閘極結構130上形成金屬蓋138。例如:在如功函數金屬層134與填充金屬136的金屬表面上選擇性地成長金屬蓋138,且因此閘極間隔物116的側壁大致上無金屬蓋138的成長。作為例示而非限制,金屬蓋138可為大致上無氟的鎢(fluorine-free tungsten;FFW)薄膜,其具有氟污染物的數量小於5原子百分比,且氯污染物的量大於3 原子百分比。FFW薄膜或含FFW的薄膜可藉由ALD或CVD並使用一或多種非氟基的鎢前驅物(例如:五氯化鎢(WCl5)或六氯化鎢(WCl6),但未限制於此)來形成。在一些實施例中,金屬蓋138的部分可溢流至閘極介電層132上方,使得金屬蓋138亦可覆蓋閘極介電層132之暴露的表面。由於金屬蓋138係以由下而上的方法來形成,因此可藉由例如:減少重複的回蝕製程來簡化其形成,此回蝕製程係用以移除因順形成長而產生之不需要的金屬材料。
第17圖的結構接著經過如第10圖至第16A圖所示的製程以完成如第18A圖所示的源極/汲極穿孔150的形成。在如第18A圖所示的一些實施例中,由於蝕刻製程ET1的非等向性蝕刻的性質,源極/汲極穿孔150具有錐形的側壁輪廓。然而,在一些其他實施例中,蝕刻製程ET1的蝕刻條件可被微調以允許穿孔開口O1且因此源極/汲極穿孔150具有垂直的側壁輪廓,如第18B圖所示。
ESL 146的形成並未被限制在如第13圖所示的製程。在一些其他實施例中,ESL 146係具有阻擋層的幫助來形成。第19圖至第21圖係根據本揭露一些其他實施例所繪示的用於製造積體電路100之各種階段的例示性剖面視圖。在形成如第12圖所示的結構之後,分別地在金屬(例如:在這個狀況中為源極/汲極接觸144)上方形成阻擋層152。在一些實施例中,阻擋層152為聚合物聚合物、苯并三唑(benzotriazole;BTA)、或自組裝單分子層 (self-assemble monolayer;SAM)。
在一些實施例中,阻擋層152係由BTA所製成。第12圖的結構可設置在沉積腔室中,且(氣相的或液體的)BTA與反應氣體一同被引入至沉積腔室中。由於材料的特性,BTA分子具有不會黏附至介電材料(例如:SAC蓋142與閘極間隔物116)之表面的趨勢,且具有黏附至金屬(例如:在這個狀況中為源極/汲極接觸144)之表面的趨勢。因此,阻擋層152分別地形成在源極/汲極接觸144上方。
在一些其他實施例中,阻擋層152係由SAM所製成。SAM包含矽烷型抑製劑(silane-type inhibitor)或硫醇型抑製劑(thiol-type inhibitor)。在一些實施例中,矽烷型抑製劑可為十八烷基三氯矽烷(CH3(CH2)17SiCl3)、三氯(1H、1H、2H、2H-全氟辛基)矽烷(CF3(CF2)5(CH2)2SiCl3)、二甲基二氯矽烷((CH3)2SiCl2)/(二甲基氨基)三甲基矽烷((CH3)2NSi(CH3)3)、1-(三甲基甲矽烷基)吡咯烷((CH3)3Si-NC4H8)、六甲基二矽氮烷([(CH3)3Si]2NH)、或雙(二甲基氨基)二甲基矽烷([(CH3)2N]2Si(CH3)2)。在一些其他實施例中,硫醇型抑製劑為鏈烷硫醇(alkanethiol)、丙硫醇(propanethio)、丁烷硫醇(butanethiol)、己硫醇(hexanethiol)、庚烷硫醇(heptanethiol)、十八烷硫醇(Octadecanethiol)、壬烷硫醇(nonanethiol)、或 十二烷硫醇(dodecanethiol)。在一些實施例中,硫醇型抑製劑係選擇性地形成在金屬層上,而不是形成在介電層上。
在阻擋層152為自組裝單分子層(SAM)的一些實施例中,阻擋層152的分子各自具有第一突出端部(例如:頭端基)與第二突出端部(例如:末端基),其位於可選的中間部分(分子鏈)的相對兩側。在藉由NH4F移除原生氧化物後,第一突出端部包含選擇性地附接至羥基端表面(即,-OH端表面,如氧化矽表面)的基團,而非附接至氫端表面(如具有-H端之氮化矽表面)的基團。第二突出端部包含金屬氧化物沉積抑製劑基團。可選的中間部分可包含烷基鏈。在這些鏈之間的凡德瓦力相互作用造成自組裝單分子層有序化。在阻擋層152包含烷硫基(X-(CH2)n-SH)的一些實施例中,頭端基可鍵結至金屬材料的表面上。如此一來,可在金屬層上而不是在介電層上選擇性地形成(成長)阻擋層152。
參照第20圖,採用沉積製程(例如:ALD製程)在介電材料(例如:SAC蓋142與閘極間隔物116)上形成ESL 146。由於材料特性,ALD製程的前驅物具有不黏附至阻擋層152之表面上的趨勢。因此,在ALD製程期間,在SAC蓋142與閘極間隔物116上方形成ESL 146,但留下阻擋層152的頂表面未被覆蓋。
參照第21圖,移除阻擋層152(參照第20圖)以暴露出源極/汲極接觸144的頂表面。在藉由烘烤來移除阻 擋層152的一些實施例中,烘烤溫度可在約1℃至約60℃的範圍內以分解阻擋層152的碳氫(C-H)鍵。接著,可藉由如H3PO4、HCl、或其他適合之溶液的稀釋酸性溶液來清洗阻擋層152之分解的部分。在藉由蝕刻來移除阻擋層152的一些其他實施例中,蝕刻劑可包含CF3、C4F6、CHF3、CH2F2、CH3F、NF3或其他適合的材料。接著第21圖的結構經過如第14圖至第16A圖(或第14圖至第15B圖與第16B圖)中所示的製程,以完成源極/汲極穿孔150的形成。
第22圖至第25圖係根據本揭露一些其他實施例所繪示的用於製造積體電路100a之各種階段的例示性剖面視圖。應理解的是,針對本方法之附加的實施例,可在如第22圖至第25圖所示的製程之前、期間、與之後提供附加的操作,以及以下所述的一些操作可被置換或消除。操作/製程的順序可以互換。在後續的實施例中可採用如第1圖至第16B圖所描述之相同或相似的配置、材料、製程及/或操作,且可省略詳細的說明。
在形成如第14圖所示的結構之後,ILD層148被圖案化以形成向下延伸穿透ILD層148、ESL 146、及介電蓋142至置換閘極結構130的閘極接觸開口O2。所產生的結構繪示於第22圖中。可藉由使用適合的光學微影與蝕刻技術來圖案化ILD層148。
接下來,如第23圖所示,在基材12上方形成圖案化的遮罩層M4,以填充閘極接觸開口O2。圖案化的遮 罩層M4具有在源極/汲極接觸144垂直上方的開口O3。在一些實施例中,圖案化的遮罩層M4可為藉由適合的光學微影製程所形成之光阻遮罩。例如:光學微影製程可包含在如第22圖所示之結構上旋塗(spin-on)光阻層、進行曝光後烘烤製程、以及顯影光阻層以形成圖案化的遮罩層M4。在一些實施例中,可使用電子束(e-beam)光學微影製程或極紫外(EUV)光學微影製程來圖案化抗蝕劑以形成圖案化的遮罩元件。
參照第24圖,隨著圖案化的遮罩層M4就位,進行穿孔蝕刻製程ET2以形成延伸穿透ILD層148的穿孔開口O4。控制穿孔蝕刻製程ET2的蝕刻時間長度以突破ILD層148。由於蝕刻製程ET2,源極/汲極接觸144在穿孔開口O4的底部被暴露出來。關於穿孔蝕刻製程ET2的製程細節先前已針對穿孔蝕刻製程ET1做討論,因此為了簡潔起見此處不再重複。
參照第25圖,藉由灰化及/或濕式剝除來將圖案化的遮罩層M4(參照第24圖)從閘極接觸開口O2移除,接著形成對接接觸(或對接穿孔)154以填充穿孔開口O4與閘極接觸開口O2,以及形成閘極接觸156以填充另一個閘極接觸開口O2。所產生的結構繪示於第25圖中。關於對接接觸154與閘極接觸156的材料與製造流程細節係類似於源極/汲極穿孔150的材料和製造流程細節,因此為了簡潔起見此處不再重複。
在第25圖中,ILD層148具有比ESL 146的 頂表面1461低的底表面1481。同樣地,ESL 146的頂表面1461比源極/汲極接觸144的頂表面高。ILD層148更與ESL 146的側壁1462接觸。更進一步地,ILD層148與一些源極/汲極接觸144接觸。
對接接觸154繼承了穿孔開口O2與穿孔開口O4的幾何形狀。換句話說,對接接觸的側壁線性地延伸穿透ILD層148,且具有形成在ILD層148與ESL 146之間界面處的步階(或凹角)。例如:ILD層148具有步階狀的底表面,其具有與蝕刻停止層146的頂表面1461接觸的上步階,及與源極/汲極接觸144的頂表面(例如:表面1481)接觸的下步階。更詳細地,對接接觸154與ILD層148形成第一線性界面1541,且對接接觸154與ESL 146形成第二線性界面1542。第一線性界面1541與第二線性界面1542未相連接,且第一線性界面1541與第二線性界面1542彼此未對齊。在一些實施例中,第一線性界面1541比第二線性界面1542更傾斜。更進一步地,此外,第二線性界面1542大致上地與連接至對接接觸154的源極/汲極接觸144的側壁1441對齊。此外,對接接觸154具有在閘極結構130上的厚度其比在源極/汲極接觸144上的厚度大。
第26圖至第43B圖係根據本揭露一些實施例所繪示的積體電路結構200之形成的中間階段的透視圖與剖面視圖。根據一些例示性的實施例,所形成的電晶體可包含P型電晶體(如P型GAA FET)與N型電晶體(如N型 FAA FET)。貫穿各種視圖及說明性實施例,相似的參照標號用於指示相似的元件。應理解的是,針對本方法之附加的實施例,可在如第26圖至第43B圖所示的製程之前、期間、與之後提供附加的操作,以及以下所述的一些操作可被置換或消除。操作/製程的順序可以互換。
第26圖、第27圖、第28圖、第29A圖、第30A圖、第31A圖與第32A圖為積體電路結構200之一些實施例於製造期間之中間階段的透視圖。第29B圖、第30B圖、第31B圖、第32B圖、第33圖至第35圖、第36A圖、第37圖至第43B圖為積體電路結構200之一些實施例於製造期間之中間階段沿著第一割面(例如:第29A圖中的割面X-X)的剖面視圖,此第一割面係沿著通道的長度方向且垂直於基材的頂表面。第36B圖為積體電路結構200之一些實施例於製造期間之中間階段的沿著第二割面(例如:第29A圖中的割面Y-Y)的剖面視圖,此第二割面係在閘極區中且垂直於通道的長度方向。
參照第26圖,在基材210上方形成磊晶堆疊220。在一些實施例中,基材210可包含矽。或者,基材210可包含鍺、矽鍺、III-V族材料(例如:砷化鎵、磷化鎵(GaP)、磷砷化鎵、砷化銦鋁(AlInAs)、砷化鎵鋁、砷化銦鎵(GaInAs)、砷化銦、磷銦化鎵(GaInP)、磷化銦、銻化銦、及/或磷砷化銦鎵(GaInAsP)、或其組合)、或其他適合的半導體材料。在一些實施例中,基材210可包含絕緣層上半導體(semiconductor-on-insulator;SOI)結 構,如埋藏介電層。亦或者,基材210可包含埋藏介電層如埋藏氧化(buried oxide;BOX)層,例如:其係藉由被稱為氧離子植入隔離(separation by implantation of oxygen;SIMOX)技術、晶圓接合、SEG、或其他適合的方法來形成。
磊晶堆疊220包含第一組成的磊晶層222與第二組成的磊晶層224。第一組成與第二組成可不相同。在一些實施例中,磊晶層222為矽鍺且磊晶層224為矽。然而,其他實施例亦可能包含提供具有不同氧化速率及/或蝕刻選擇性之第一組合及第二組的那些實施例。在一些實施例中,磊晶層222包含矽鍺且在磊晶層224包含矽的狀況中,磊晶層224之矽的氧化速率小於磊晶層222之矽鍺的氧化速率。
磊晶層224或其部分可形成多閘極電晶體的奈米片通道。術語「奈米片」在本文中係用以指示具有奈米尺度或甚至是微米尺寸,且具有細長形狀的任何材料部分,而不管此部分的剖面形狀。因此,此術語指的是圓形剖面與大致上圓形剖面兩者的細長材料部分,以及包含如圓柱形或大致上矩形之橫截面的束狀或條狀材料部分。以下進一步討論使用磊晶層224來定義元件的一或多個通道。
應注意的是,磊晶層222的三個層與磊晶層224的三個層係交互地排列,如第25圖所示,此僅出於說明之目的,而非意圖限制在申請範圍中所具體記載的範圍。應理解的是,可在磊晶堆疊220中形成任何數量的磊晶層; 層的數量取決於電晶體之通道區域的所要的數量。在一些實施例中,磊晶層224的數量在2至10之間。
如以下更詳細地描述,磊晶層224可作為後續所形成之多閘極元件的通道區,且其厚度係基於元件性能考量來做選擇。通道區中的磊晶層222最終可被移除,用於後續所形成之多閘極元件,以定義介於相鄰通道區之間的垂直距離,且其厚度係基於元件性能考量來做選擇。據此,磊晶層222亦可被稱為犧牲層,且磊晶層224亦可被稱為通道層。
舉例來說,可藉由分子束磊晶(molecular beam epitaxy;MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition;MOCVD)製程、及/或其他適合的磊晶成長製程來進行磊晶堆疊220之多個層的磊晶成長。在一些實施例中,磊晶成長層(如磊晶層224)包含與基材210相同的材料。在一些實施例中,磊晶成長層222與磊晶成長層224包含與基材210不同的材料。如前所述,在至少一些範例中,磊晶層222包含磊晶成長的矽鍺層,以及磊晶層224包含磊晶成長的矽(Si)層。或者,在一些實施例中,磊晶層222與磊晶層224中之任一者可包含其他材料,例如:鍺、化合物半導體(例如:碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、合金半導體(例如:矽鍺、磷砷化鎵、砷化銦鋁、砷化鎵鋁、砷化鎵銦,磷化銦鎵(GaInP)、及/或磷砷化銦鎵)、或其組合。如所討論的,可基於提供不同 的氧化及/或蝕刻選擇性特性來選擇磊晶層222與磊晶224的材料。在一些實施例中,磊晶層222與磊晶224係大致上無摻質(例如:具有約0cm-3至約1×1018cm-3的雜質摻雜濃度),例如:在磊晶成長期間沒有進行刻意摻雜。
參照第27圖,形成從基材210延伸的複數個半導體鰭片230。在各種實施例中,每一鰭片230包含由基材210所形成之基材部分212,以及每一磊晶堆疊的磊晶層的部分包含磊晶層222與磊晶層224。鰭片230可使用適合的製程來製造包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程結合了光學微影與自對準製程,因而允許建立例如:間距(pitch)小於使用可獲得之單一直接光學微影製程的間距的圖案。例如,在一個實施例中,在基材上方形成犧牲層並使用光學微影製程將其圖案化。使用自對準製程沿著被圖案化之犧牲層的旁邊形成間隔物。接著移除犧牲層,而殘存的間隔物,或心軸,可藉由蝕刻初始磊晶堆疊220被使用來圖案化鰭片230。蝕刻製程可包含乾式蝕刻、濕式蝕刻、反應式離子蝕刻(RIE)、及/或其他適合的製程。
在如第26圖與第27圖所繪示的實施例中,在圖案化鰭片230之前,在磊晶堆疊220上形成硬遮罩(HM)層910。在一些實施例中,硬遮罩層包含氧化物層912(例如:可包含二氧化矽的墊氧化物層)與形成在氧化物層上方的氮化物層914(例如:可包含氮化矽的墊氮化物層)。 氧化物層912可作為磊晶堆疊220與氮化物層914之間的黏著層,且可作為用於蝕刻氮化物層914的蝕刻停止層。在一些範例中,硬遮罩氧化物層912包含熱成長的氧化物、化學氣相沉積(CVD)所沉積的氧化物、及/或原子層沉積(ALD)所沉積的氧化物。在一些實施例中,藉由CVD及/或其他適合的技術在硬遮罩氧化物層912上沉積硬遮罩氮化物層914。
接著使用包含光學微影製程與蝕刻製程在內的合適的製程來製造鰭片230。光學微影製程可包含在硬遮罩層910上方形成光阻層(未示出)、曝光光阻層為圖案、進行曝光後烘烤製程、以及顯影光阻以形成包含光阻之圖案化的遮罩。在一些實施例中,可使用電子束(e-beam)微影製程或使用具有波長例如:約1至200奈米之極紫外(extreme ultraviolet;EUV)區中的光線的極紫外光學微影製程,來進行圖案化光阻以形成圖案化遮罩元件。圖案化遮罩可接著被使用來保護基材210的區域與形成於其上的層,同時一蝕刻製程在未保護的區域中穿透硬遮罩層910,穿透磊晶堆疊220,並且進入至基材210形成一溝渠202,因此留下複數個延伸鰭片230。可使用乾式蝕刻(例如:反應式離子蝕刻)、濕式蝕刻、及/或其組合來蝕刻溝渠202。在基材上形成鰭片的許多其他方法的實施例中,亦可使用包含,例如:定義鰭片區域(如藉由遮罩或隔離區)並且依照鰭片230的外形磊晶成長磊晶堆疊220。
接下來,如第28圖所示,在鰭片230之間形成 隔離區240。關於隔離區240的材料與製程細節類似於先前針對隔離區14的材料與製程所討論的材料與製程細節,因此為了簡潔起見,此處不再重複。
參照第29A圖與第29B圖。在基材210上方形成假閘極結構250,且其至少部分地設置在鰭片230上方。在假閘極結構250下方之鰭片230的部分可被稱為通道區。假閘極結構250亦可定義鰭片230的源極/汲極(S/D)區,例如:相鄰且在通道區之相對側上的鰭片230的區域。
假閘極形成操作為首先在鰭片230上方形成假閘極介電層252。接著,在假閘極介電層252上方形成假閘極電極層254與包含多個層256與多個層258(例如:氧化物層256與氮化物層258)的硬遮罩層。接著圖案化硬遮罩層,接續使用圖案化的硬遮罩層作為蝕刻遮罩來圖案化假閘極電極層254。在一些實施例中,在圖案化假閘極電極層254之後,接著從鰭片230的S/D區移除假閘極介電層252。蝕刻製程包含濕式蝕刻、乾式蝕刻、及/或其組合。被選擇來選擇性地蝕刻假閘極介電層252的蝕刻製程大致上不會蝕刻鰭片230、假閘極電極層254、氧化物遮罩層256、以及氮化物遮罩層258。假閘極介電層與假閘極電極層的材料類似於先前針對閘極介電層108與假閘極電極110所討論的材料,因此為了簡潔起見,此處不再重複。
在完成假閘極結構250的形成之後,在假閘極結構250的側壁上形成閘極間隔物260。例如:在基材210 上沉積間隔物材料層。間隔物材料層可為一保形層,其隨後被回蝕以形成閘極側壁間隔物。在所示的實施例中,在假閘極結構250的頂部與側壁上保形地設置間隔物材料層260。間隔物材料層260可包含介電材料例如:氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽膜、碳氧化矽、氮碳氧化矽膜、及/或其組合。在一些實施例中,間隔物材料層260包含多個層,例如:第一間隔物層262與形成在第一間隔物層262上方的第二間隔物層264(第29B圖所示)。舉例來說,可藉由使用適合的沉積製程在假閘極結構250上方沉積介電材料來形成間隔物材料層260。接著在沉積的間隔物材料層260上進行非等向性蝕刻製程,以暴露出鰭片230未被假閘極結構250覆蓋的部分(例如:在鰭片230的源極/汲極區中)。藉由此非等向性蝕刻製程來完全移除假閘極結構250正上方的間隔物材料層的部分。在假閘極結構250之側壁上的部份可保留下來而形成閘極側壁間隔物,為了簡潔起見,其被指示為閘極間隔物260。應注意的是,儘管在第29B圖的剖面圖中閘極間隔物260為多層結構,但為了簡潔起見,在第29A圖的透視圖中其被繪示為單層結構。
接下來,如第30A圖與第30B圖所繪示,藉由使用如非等向性蝕刻製程及使用假閘極結構250與閘極間隔物260作為蝕刻遮罩,來蝕刻半導體鰭片230的暴露部分,其側向地延伸超過閘極間隔物260(例如:在鰭片230的源極/汲極區中),因而在相對應的假閘極結構250之間與 半導體鰭片230中形成凹陷R2。在非等向性蝕刻後,由於此非等向性蝕刻,磊晶層222和通道層224的端面與各自閘極間隔物260之最外側的側壁對齊。在一些實施例中,可藉由使用電漿源與反應氣體的乾式化學蝕刻來進行非等向性蝕刻。電漿源可為感應耦合電漿(ICP)源、變壓耦合電漿(TCP)源、電子迴旋共振(ECR)源、或類似製程,以及反應氣體可為例如:氟基氣體(如SF6、CH2F2、CH3F、CHF3、或類似氣體)、氯基氣體(如Cl2)、溴化氫氣體(HBr)、氧氣、類似氣體、或其組合。
接下來,在第31A圖與第31B圖中,藉由使用適合的蝕刻技術使磊晶層222側向或水平地凹陷,而在相對應的通道層224之間垂直地形成側向凹陷R3。可藉由使用選擇性蝕刻製程來進行此步驟。作為範例而非限制,磊晶層222為矽鍺且通道層224為矽,以允許用於磊晶層222的選擇性蝕刻。在一些實施例中,選擇性濕式蝕刻包含APM蝕刻(例如:氨水與過氧化氫水溶液的混合物)其以比蝕刻矽更快的速率來蝕刻矽鍺。在一些實施例中,選擇性蝕刻包含氧化SiGe,接著移除SiGeOx。例如:可藉由臭氧(O3)清潔且接著藉由如氨水之類的蝕刻劑移除SiGeOx來提供氧化,此蝕刻劑比蝕刻Si更快的速率來蝕刻SiGeOx。此外,由於矽的氧化速率比矽鍺的氧化速率低得多(有時低於30倍),側向凹陷磊晶層222的製程可能無法顯著地蝕刻通道區224。因此,通道層224側向地延伸超過磊晶層222的相對端面。
在第32A圖與第32B圖中,形成內部間隔物材料層270以填充由磊晶層222的側向蝕刻而留下的凹陷R3,其係參照第30A圖與第30B圖且已於以上討論。內部間隔物材料層270可為低介電常數的介電材料,例如:二氧化矽、氮化矽、氮碳化矽、或氮碳氧化矽,且可藉由適合的沉積方法來形成,例如:ALD。在內部間隔物材料層270的沉積之後,可進行各非等向性蝕刻製程以修整所沉積的內部間隔物材料270,使得只有所沉積之內部間隔物材料270的部分被留下,此部分填充了藉由磊晶層222的側向蝕刻所留下之凹陷R3。在修整製程之後,為了簡潔起見,將所沉積之內部間隔物材料的剩餘部分指示為內部間隔物270。內部間隔物270用於將金屬閘極與在後續處理中所形成的源極/汲極區隔離。如第32A圖與第32B圖的範例中,內部間隔物270的側壁與通道層224的側壁對齊。
在第33圖中,在半導體鰭片230的源極/汲極區S/D上方形成源極/汲極磊晶結構280。可藉由進行在鰭片230上提供磊晶材料之磊晶成長製程來形成源極/汲極磊晶結構280。在磊晶成長期間中,假閘極結構250、閘極間隔物260、與內部間隔物270將源極/汲極磊晶結構280限制在源極/汲極區S/D。關於GAA FET的源極/汲極磊晶結構280的材料與製程細節類似於先前針對鰭式場效電晶體的源極/汲極磊晶結構122所討論的材料與製程細節,因此為了簡潔起見,此處不再重複。
在第34圖中,在基材210上形成層間介電(ILD) 層310。在一些實施例中,在形成ILD層310之前形成接觸蝕刻停止層(contact etch stop layer;CESL)。關於CESL與ILD層310的材料與製程類似於CESL與ILD層126,因此為了簡潔起見,此處不再重複。在一些範例中,在沉積ILD層310之後,可進行平坦化製程以移除ILD層310的過多材料。例如:平坦化製程包含化學機械式平坦化(CMP)製程,其移除覆蓋在假閘極結構250上的ILD層310(與CESL層,若存在的話)的部分,以及平坦化積體電路結構200的頂表面。在一些實施例中,CMP製程亦移除硬遮罩層256、硬遮罩層258(如第33圖所示)且暴露出假閘極電極層254。
之後,首先移除假閘極結構250(如第34圖所示),以及接著移除磊晶層(即,犧牲層)222(如第34圖所示)。所得到的結構繪示於第35圖中。在一些實施例中,藉由使用選擇性蝕刻製程(例如:選擇性乾式蝕刻、選擇性濕式蝕刻、或其組合)來移除假閘極結構250,其以比蝕刻其他材料(例如:閘極間隔物260、及/或ILD層310)更快的蝕刻速率來蝕刻假閘極結構250中的材料,而產生在相對應的閘極間隔物260之間的閘極溝渠GT2,並具有暴露在閘極溝渠GT2中的磊晶層222。隨後,藉由使用另一種選擇性蝕刻製程來移除閘極溝渠GT2中的磊晶層222,其以比蝕刻通道層224更快的蝕刻速率來蝕刻磊晶層222,因此在相鄰磊晶層(即,通道層)224之間形成開口O5。藉由這種方式,磊晶層224成為懸浮在基材210上方且在源極 /汲極磊晶結構280之間的奈米片。此操作亦稱為通道釋放製程。在此過渡的製程操作中,介於磊晶層(即,奈米片)224之間的開口O5可被周圍環境條件(例如:空氣、氮氣等)填充。在一些實施例中,取決於其幾何形狀,磊晶層224可置換稱為奈米線、奈米板、及奈米環。例如,在一些其他實施例中,由於用於完全移除磊晶層222的選擇性蝕刻製程,磊晶層224可被修整為具有大致上圓形的形狀(例如:圓柱形)。在這種狀況下,所得到的磊晶層224可被稱為奈米線。
在一些實施例中,藉由使用選擇性濕式蝕刻製程來移除磊晶層222。在一些實施例中,磊晶層222為矽鍺且磊晶層224為矽,以允許選擇性地移除磊晶層222。在一些實施例中,選擇性濕式蝕刻包含APM蝕刻(例如:氨水與過氧化氫水溶液的混合物)。在一些實施例中,選擇性移除包含:氧化SiGe接著移除SiGeOx。例如:可以藉由臭氧清潔且接著藉由如氨水之類的蝕刻劑移除SiGeOx來提供氧化,此蝕刻劑比蝕刻Si更快的速率來蝕刻SiGeOx。此外,由於矽的氧化速率比矽鍺的氧化速率低得多(有時低於30倍),通道釋放製程可能無法顯著地蝕刻通道區224。應注意的是,通道釋放步驟與側向凹陷犧牲層的先前操作(如第31A圖與第31B圖所示的操作),二者皆使用選擇性蝕刻製程,其以比蝕刻矽更快的蝕刻速率來蝕刻矽鍺,因此在一些實施例中,此二個操作可使用相同的蝕刻劑化學物質。在這種狀況下,通道釋放步驟的蝕刻時間/期間比 側向凹陷犧牲層的先前操作的蝕刻時間/期間長,以完全地移除犧牲的矽鍺層。
在第36A圖與第36B圖中,分別在閘極溝渠GT2中形成置換閘極結構320,以圍繞懸浮在閘極溝渠GT2中的每一磊晶層224。閘極結構320可為GAA FET的最終閘極。最終的閘極結構可為高介電常數/金屬閘極堆疊,然而其他組成也是可能的。在一些實施例中,每一閘極結構320形成與由複數個磊晶層224所提供之多個通道相關的閘極。例如:由磊晶層224的釋放所提供,高介電常數/金屬閘極結構320係形成在開口O5內(如第36A圖所示)。在各種實施例中,高介電常數/金屬閘極結構320包含在磊晶層224周圍形成的閘極介電層322、在閘極介電層322周圍形成的功函數金屬層324、以及在功函數金屬層324周圍形成且填充剩餘閘極溝渠GT2的填充金屬326。閘極介電層322包含界面層(例如:氧化矽層)以及和位於界面層上方的高介電常數閘極介電層。如本文所使用與描述的,高介電常數閘極介電質包含具有高介電常數的介電質材料,例如:大於熱氧化矽的介電常數(~3.9)。在高介電常數/金屬閘極結構320中所使用的功函數金屬層324及/或填充金屬326可包含金屬、金屬合金、或金屬矽化物。高介電常數/金屬閘極結構320的形成可包含沉積以形成各種閘極材料,一或多個墊層,以及一或多個CMP製程以移除過量的閘極材料。如第36B圖之沿著高介電常數/金屬閘極結構320之縱軸的剖面圖所繪示,高介電常數/金屬閘極 結構320圍繞每一磊晶層224,而因此被稱為GAA FET的閘極。關於GAA FET之閘極結構320的材料與製程細節係類似於鰭式場效電晶體的閘極結構130,因此為了簡潔起見,此處不再重複。
在第37圖中,進行回蝕製程以回蝕置換閘極結構320,而產生形成於回蝕的閘極結構320上方的凹陷。在一些實施例中,因置換閘極結構320的材料具有與閘極間隔物260不同的蝕刻選擇性,置換閘極結構320的頂表面可位於比閘極間隔物260的頂表面較低的高度。
在第38圖中,在金屬蓋330上方形成介電蓋340。關於介電蓋340的材料與製程細節類似於先前針對介電蓋142所討論的材料與製程細節,因此為了簡潔起見,此處不再重複。
在第39圖中,形成源極/汲極接觸350延伸穿透ILD層310。作為範例而非限制,源極/汲極接觸350的形成包含:進行一或多個蝕刻製程以形成延伸穿透ILD層310的接觸開口而暴露出源極/汲極磊晶結構280、沉積一或多個金屬材料以過度填滿接觸開口、以及接著進行CMP製程以移除接觸開口外側之過量金屬材料。在一些實施例中,一或多種蝕刻製程為選擇性蝕刻,其以比蝕刻介電蓋340與閘極間隔物260更快的蝕刻速率來蝕刻ILD層310。因此,使用介電蓋340與閘極間隔物260作為蝕刻遮罩來進行選擇性蝕刻,使得接觸開口與因此源極/汲極接觸350係自對對準地形成為源極/汲極磊晶結構280,無 需使用額外的光學微影製程。在這種狀況下,允許以形成自對準接觸350的介電蓋340可被稱為SAC蓋340。
一旦已形成自對準的源極/汲極接觸350,在第40圖中,蝕刻停止層(ESL)360被選擇性地形成在介電材料(例如:SAC蓋340與閘極間隔物260)上。關於ESL 360的材料與製程細節類似於先前針對ESL 146所討論的材料與製程細節,因此為了簡潔起見此處不再重複。
接著,如第41圖所示,在ESL 360上沉積另一ILD層370。在一些實施例中,ILD層370為氧化矽(SiOx)。關於ESL 360的材料與製程細節相似先前針對ILD層148所討論的材料與製程細節,因此為了簡潔起見此處不再重複。
參照第42A圖,藉由使用蝕刻製程(也稱為穿孔蝕刻製程)ET3,ILD層370被圖案化以形成延伸穿透ILD層370的穿孔開口O6。穿孔蝕刻製程ET3的蝕刻時間長度係被控制以穿透ILD層370。由於蝕刻製程ET3,源極/汲極接觸350在穿孔開口O6的底部被暴露出來。關於穿孔蝕刻製程ET3的製程細節先前已針對穿孔蝕刻製程ET3做討論,因此為了簡潔起見此處不再重複。
在如第42A圖所示的一些實施例中,由於蝕刻製程ET3的非等向性蝕刻的性質,穿孔開口O6具有錐形的側壁輪廓。然而,在一些其他實施例中,可微調蝕刻製程ET3的蝕刻條件以允許穿孔開口O6具有垂直的側壁輪廓,如第42B圖所示。
參照第43A圖,接著在穿孔開口O6中形成源極/汲極穿孔380,以形成與源極/汲極接觸350的物理性與電性連接。關於源極/汲極穿孔380的材料與製程細節類似於先前針對源極/汲極穿孔150所討論的材料與製程細節,因此為了簡潔起見此處不再重複。在如第43A圖所示的一些實施例中,由於蝕刻製程ET3的非等向性蝕刻的性質,源極/汲極穿孔380具有錐形的側壁輪廓。然而,在一些其他實施例中,可微調蝕刻製程ET3的蝕刻條件以允許穿孔開口O6且因此源極/汲極穿孔380具有垂直的側壁輪廓,如第43B圖所示。
第44A圖與第44B圖係根據一些實施例的積體電路結構200的剖面視圖。在第44A圖與第44B圖中,閘極間隔物260亦在如第37圖所示的製程中被回蝕。關於閘極間隔物260之蝕刻的製程細節先前已針對閘極間隔物116之蝕刻做討論,因此為了簡潔起見此處不再重複。更進一步地,藉由如CVD或ALD的適當製程分別地在置換閘極結構320的頂部上形成金屬蓋330。作為例示而非限制,金屬蓋330可為大致上無氟的鎢(FFW)薄膜,其氟污染物的數量小於5原子百分比,且氯污染物的數量大於3原子百分比。關於FFW形成的製程細節先前已針對金屬蓋138做討論,因此為了簡潔起見此處不再重複。
第45圖至第48圖係根據本揭露一些其他實施例所繪示的用於製造積體電路結構200a之各種階段的例示性剖面視圖。應理解的是,對於本方法之額外的實施例, 可在如第45圖至第48圖所示的製程之前、之中及之後提供額外的操作,以及以下所述的一些操作可被置換或排除。操作/製程的順序可以互換。在後續的實施例中可採用如第26圖至第43B圖所描述之相同或相似的配置、材料、製程及/或操作,且可省略詳細的說明。
在形成如第41圖所示的結構之後,ILD層370被圖案化以形成向下延伸穿透ILD層370、ESL 360、以及介電蓋340至置換閘極結構320的閘極接觸開口O7。所產生的結構繪示於第45圖中。可藉由使用適合的光學微影與蝕刻技術來圖案化ILD層148。
接著,如第46圖所示,在基材12上方形成圖案化的遮罩層M5,以填充閘極接觸開口O7。圖案化的遮罩層M5具有在源極/汲極接觸350垂直上方的開口O8。
參照第47圖,隨著圖案化的遮罩層M5就位,進行穿孔蝕刻製程ET4以形成延伸穿透ILD層370的穿孔開口O9。穿孔蝕刻製程ET4的蝕刻時間長度可被控制以突破ILD層370。由於蝕刻製程ET4,源極/汲極接觸350在穿孔開口O9的底部被暴露出來。關於穿孔蝕刻製程ET4的製程細節先前已針對穿孔蝕刻製程ET1做討論,因此為了簡潔起見此處不再重複。
參照第48圖,藉由灰化及/或濕式剝離來將圖案化的遮罩層M5(參照第47圖)從閘極接觸開口O7移除,接著形成對接接觸390以填充穿孔開口O9與閘極接觸開口O7,以及形成閘極接觸395以填充另一閘極接觸開口 O7。所產生的結構繪示於第48圖中。關於對接接觸390與閘極接觸395的材料與製造流程細節類似於源極/汲極穿孔150的材料和製造流程細節,因此為了簡潔起見此處不再重複。
基於以上討論,可得知本揭露提供了多個優點。然而,應理解的是,其他實施例可提供額外的優點,且不需在本文中揭露所有優點,且對於所有實施例並非需要特定的優點。其一個優點為由於蝕刻停止層,洩漏電流(例如:從源極/汲極穿孔到閘極接觸及/或閘極結構的洩漏電流)的風險可被降低。另一個優點為用於形成蝕刻停止層的圖案化製程可被省略。再一個優點為由於加大了從源極/汲極穿孔到閘極接觸的距離,因此可改善電阻電容(RC)延遲。
本揭露之一態樣係提供一種製造積體電路元件的方法,包含:在基材上方形成閘極結構;在閘極結構上方形成介電蓋;在基材上方且在閘極結構旁的源極/汲極區上方形成源極/汲極接觸;在不被源極/汲極接觸所重疊之介電蓋的上方選擇性地形成蝕刻停止層;在蝕刻停止層與源極/汲極接觸上方沉積層間介電(interlayer dielectric;ILD)層;以及形成延伸穿透層間介電層至源極/汲極接觸的源極/汲極穿孔。在一些實施例中,使用選擇性原子層沉積製程來進行選擇性地形成蝕刻停止層。在一些實施例中,選擇性地形成蝕刻停止層包含:在源極/汲極接觸上方形成阻擋層,使得此阻擋層暴露出介電蓋;在介電蓋上方沉積蝕刻停止層;以及在沉積蝕刻停止層之後移除阻擋層。在 一些實施例中,阻擋層為聚合物、苯并三唑(benzotriazole;BTA)、或自組裝單分子層(self-assemble monolayer;SAM)。在一些實施例中,製造積體電路的方法更包含回蝕閘極結構以形成介電蓋。在一些實施例中,形成層間介電層係使得此層間介電層具有步階狀的底表面,其具有上步階與蝕刻停止層接觸及下步階與源極/汲極接觸接觸。在一些實施例中,在層間介電層中形成源極/汲極穿孔包含:進行蝕刻製程以形成延伸穿透層間介電層的開口以暴露出源極/汲極接觸,其中此蝕刻製程以比蝕刻蝕刻停止層較快的蝕刻速率來蝕刻層間介電層。在一些實施例中,進行蝕刻製程係使得開口進一步地暴露出蝕刻停止層。在一些實施例中,蝕刻停止層、介電蓋、以及層間介電層包含不同材料。在一些實施例中,蝕刻停止層係形成以使得此蝕刻停止層的厚度在約為3奈米至約為10奈米的範圍內。
本揭露之另一態樣係提供一種積體電路元件,包含:源極/汲極接觸、蝕刻停止層、層間介電(interlayer dielectric;ILD)層、以及源極/汲極穿孔。源極/汲極接觸係位在電晶體的源極/汲極區上方;蝕刻停止層係位在電晶體的閘極結構上方,其中此蝕刻停止層具有位在源極/汲極接觸上方的步階距離,以及實質上地與源極/汲極接觸的側壁對齊的側壁;層間介電層係位在蝕刻停止層上方;以及源極/汲極穿孔係延伸穿透層間介電層至源極/汲極接觸。在一些實施例中,源極/汲極穿孔係與蝕刻停止層的頂表面 接觸。在一些實施例中,積體電路元件更包含在源極/汲極接觸與閘極結構之間的閘極間隔物,其中蝕刻停止層更位在閘極間隔物上方。在一些實施例中,積體電路元件更包含在蝕刻停止層與閘極結構之間的介電蓋。在一些實施例中,源極/汲極穿孔具有凹角以與蝕刻停止層接觸。在一些實施例中,源極/汲極穿孔具有與層間介電層所形成的第一線性界面,以及與蝕刻停止層所形成的第二線性界面,且第一線性界面與第二線性界面未對齊。在一些實施例中,第一線性界面比第二線性界面更為傾斜。
本揭露之又一態樣係提供一種積體電路元件,包含:第一源極/汲極接觸與第二源極/汲極接觸、蝕刻停止層、層間介電(interlayer dielectric;ILD)層、以及穿孔結構。第一源極/汲極接觸與第二源極/汲極接觸係分別地位在電晶體的第一源極/汲極區與第二源極/汲極區上方;蝕刻停止層係位在電晶體的閘極結構上方;層間介電層係位在蝕刻停止層上方並具有步階狀的底表面,此步階狀的底表面具有下步階與第一源極/汲極接觸的頂表面接觸,及上步階與蝕刻停止層的頂表面接觸;以及穿孔結構係延伸穿透層間介電層與蝕刻停止層至閘極結構。在一些實施例中,穿孔結構更與第二源極/汲極接觸接觸。在一些實施例中,穿孔結構更具有在閘極結構上方的厚度,其大於穿孔結構在第二源極/汲極接觸上方的厚度。
上述摘要許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本領域具有通常知識者應 理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
12:基材
100:積體電路結構
104:鰭片
116:閘極間隔物
118:第一間隔物層
120:第二間隔物層
122:源極/汲極磊結構
130:閘極結構
132:閘極介電層
134:功函數金屬層
136:填充金屬
142:介電蓋
144:源極/汲極接觸
1441:源極/汲極接觸的側壁
146:蝕刻停止層
148:層間介電層
150:源極/汲極穿孔
1501:第一線性界面
1502:第二線性界面

Claims (10)

  1. 一種製造積體電路元件的方法,包含:在一基材上方形成一閘極結構;在該閘極結構上方形成一介電蓋;在該基材上方且在該閘極結構旁的一源極/汲極區上方形成一源極/汲極接觸;在形成該源極/汲極接觸後,在無該源極/汲極接觸所重疊之該介電蓋上方選擇性地形成一蝕刻停止層;在該蝕刻停止層與該源極/汲極接觸上方沉積一層間介電(interlayer dielectric;ILD)層,其中該層間介電層具有一步階狀的底表面,該步階狀的底表面具有與該蝕刻停止層的一頂表面接觸的一上步階,及與該第一源極/汲極接觸的一頂表面接觸的一下步階;以及形成延伸穿透該層間介電層至該源極/汲極接觸的一源極/汲極穿孔。
  2. 如請求項1所述之方法,其中選擇性地形成該蝕刻停止層包含:在該源極/汲極接觸上方形成一阻擋層,使得該阻擋層暴露出該介電蓋;在該介電蓋上方沉積該蝕刻停止層;以及在沉積該蝕刻停止層之後移除該阻擋層。
  3. 如請求項1所述之方法,更包含回蝕該閘極 結構以形成該介電蓋。
  4. 如請求項1所述之方法,其中選擇性地形成該蝕刻停止層之步驟係藉由使用一選擇性原子層沉積製程來進行。
  5. 如請求項1所述之方法,其中在該層間介電層中形成該源極/汲極穿孔包含進行一蝕刻製程以形成延伸穿透該層間介電層的一開口,以暴露出該源極/汲極接觸,其中該蝕刻製程以比蝕刻該蝕刻停止層較快的一蝕刻速率來蝕刻該層間介電層。
  6. 一種積體電路元件,包含:一源極/汲極接觸,在一電晶體的一源極/汲極區上方;一蝕刻停止層,在該電晶體的一閘極結構上方,其中該蝕刻停止層具有在該源極/汲極接觸上方的一步階距離,以及實質上地與該源極/汲極接觸的一側壁對齊的一側壁,該蝕刻停止層的一頂表面高於該源極/汲極接觸的一頂表面,且該源極/汲極接觸的該頂表面高於該閘極結構的一頂表面;一層間介電(interlayer dielectric;ILD)層,在該蝕刻停止層上方;以及一源極/汲極穿孔,延伸穿透該層間介電層至該源極/汲極接觸,其中該蝕刻停止層的一最底表面實質對齊該源極/ 汲極穿孔的一最底表面。
  7. 如請求項6所述之元件,更包含在該源極/汲極接觸與該閘極結構之間的一閘極間隔物,其中該蝕刻停止層更在該閘極間隔物上方。
  8. 如請求項6所述之元件,更包含在該蝕刻停止層與該閘極結構之間的一介電蓋。
  9. 如請求項6所述之元件,其中該源極/汲極穿孔具有與該層間介電層所形成的一第一線性界面,以及與該蝕刻停止層所形成的一第二線性界面,且該第一線性界面與該第二線性界面未對齊。
  10. 一種積體電路元件,包含:一電晶體,包含:一閘極結構;一第一源極/汲極區與一第二源極/汲極區,在該閘極結構的相對側上;以及一閘極間隔物,在該閘極結構的一側壁上;一第一源極/汲極接觸與一第二源極/汲極接觸,分別地在該電晶體的該第一源極/汲極區與該第二源極/汲極區上方;一蝕刻停止層,在該電晶體的該閘極結構及該閘極間隔 物正上方;一層間介電(interlayer dielectric;ILD)層,在該蝕刻停止層上方並具有一步階狀的底表面,該步階狀的底表面具有一下步階與該第一源極/汲極接觸的一頂表面接觸,及一上步階與該蝕刻停止層的一頂表面接觸;以及一穿孔結構,延伸穿透該層間介電層與該蝕刻停止層至該閘極結構,其中該穿孔結構更接觸該第二源極/汲極接觸。
TW110119136A 2020-09-29 2021-05-26 積體電路元件與其製造方法 TWI812954B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063084999P 2020-09-29 2020-09-29
US63/084,999 2020-09-29
US17/191,278 US11588030B2 (en) 2020-09-29 2021-03-03 Integrated circuit structure and manufacturing method thereof
US17/191,278 2021-03-03

Publications (2)

Publication Number Publication Date
TW202213523A TW202213523A (zh) 2022-04-01
TWI812954B true TWI812954B (zh) 2023-08-21

Family

ID=79327275

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110119136A TWI812954B (zh) 2020-09-29 2021-05-26 積體電路元件與其製造方法

Country Status (5)

Country Link
US (2) US11588030B2 (zh)
KR (1) KR102575956B1 (zh)
CN (1) CN113948469A (zh)
DE (1) DE102021106311A1 (zh)
TW (1) TWI812954B (zh)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095797A1 (en) * 2003-10-29 2005-05-05 Hynix Semiconductor Inc. Method for fabricating semiconductor device with use of partial gate recessing process
US20120126295A1 (en) * 2010-11-23 2012-05-24 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US20150187905A1 (en) * 2013-12-30 2015-07-02 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
CN106449524A (zh) * 2015-08-10 2017-02-22 格罗方德半导体公司 具有选择性的蚀刻停止衬层的自对准栅极系紧接触
US20180350662A1 (en) * 2017-05-31 2018-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
TW201843718A (zh) * 2017-03-27 2018-12-16 美商格芯(美國)集成電路科技有限公司 形成相鄰電晶體之閘極之氣隙及在電晶體之主動區上面之閘極接觸的方法
US20190088542A1 (en) * 2017-05-30 2019-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Structure for Semiconductor Device
US20190341461A1 (en) * 2017-03-31 2019-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication method of finfet structure with composite gate helmet

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617941B2 (en) * 2011-01-16 2013-12-31 International Business Machines Corporation High-speed graphene transistor and method of fabrication by patternable hard mask materials
US9070711B2 (en) * 2013-08-02 2015-06-30 Globalfoundries Inc. Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices
CN105575885B (zh) * 2014-10-14 2021-07-06 联华电子股份有限公司 半导体元件及其制作方法
CN105810565B (zh) * 2014-12-31 2019-07-23 联华电子股份有限公司 形成半导体元件的方法
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10861745B2 (en) 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10204797B1 (en) * 2018-02-06 2019-02-12 Globalfoundries Inc. Methods, apparatus, and system for reducing step height difference in semiconductor devices
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095797A1 (en) * 2003-10-29 2005-05-05 Hynix Semiconductor Inc. Method for fabricating semiconductor device with use of partial gate recessing process
US20120126295A1 (en) * 2010-11-23 2012-05-24 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US20150187905A1 (en) * 2013-12-30 2015-07-02 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
CN106449524A (zh) * 2015-08-10 2017-02-22 格罗方德半导体公司 具有选择性的蚀刻停止衬层的自对准栅极系紧接触
TW201843718A (zh) * 2017-03-27 2018-12-16 美商格芯(美國)集成電路科技有限公司 形成相鄰電晶體之閘極之氣隙及在電晶體之主動區上面之閘極接觸的方法
US20190341461A1 (en) * 2017-03-31 2019-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication method of finfet structure with composite gate helmet
US20190088542A1 (en) * 2017-05-30 2019-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Structure for Semiconductor Device
US20180350662A1 (en) * 2017-05-31 2018-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
DE102021106311A1 (de) 2022-03-31
CN113948469A (zh) 2022-01-18
US20220102508A1 (en) 2022-03-31
US20230187511A1 (en) 2023-06-15
US11588030B2 (en) 2023-02-21
TW202213523A (zh) 2022-04-01
KR102575956B1 (ko) 2023-09-06
KR20220043834A (ko) 2022-04-05

Similar Documents

Publication Publication Date Title
US20230326978A1 (en) Etch profile control of gate contact opening
US20230361185A1 (en) Etch profile control of via opening
KR20220043835A (ko) 게이트 콘택 개구의 에칭 프로파일 제어
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
US20230298934A1 (en) Etch profile control of gate contact opening
KR102652690B1 (ko) 집적 회로 구조체 및 이의 제조 방법
TWI812954B (zh) 積體電路元件與其製造方法
US11942371B2 (en) Etch profile control of via opening
TW202335175A (zh) 半導體裝置