TWI812096B - 積體電路裝置及其形成方法 - Google Patents

積體電路裝置及其形成方法 Download PDF

Info

Publication number
TWI812096B
TWI812096B TW111110632A TW111110632A TWI812096B TW I812096 B TWI812096 B TW I812096B TW 111110632 A TW111110632 A TW 111110632A TW 111110632 A TW111110632 A TW 111110632A TW I812096 B TWI812096 B TW I812096B
Authority
TW
Taiwan
Prior art keywords
layer
transition metal
substrate
dielectric layer
forming
Prior art date
Application number
TW111110632A
Other languages
English (en)
Other versions
TW202303853A (zh
Inventor
張雅婷
黃健治
楊晉賓
倪懿池
吳志毅
Original Assignee
台灣積體電路製造股份有限公司
國立臺灣大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 國立臺灣大學 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303853A publication Critical patent/TW202303853A/zh
Application granted granted Critical
Publication of TWI812096B publication Critical patent/TWI812096B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Oscillators With Electromechanical Resonators (AREA)

Abstract

提供一種形成積體電路裝置的方法。該方法包含:在基板的正面上,形成電晶體;在電晶體上,形成內連接結構;在內連接結構上,沉積第一過渡金屬層;進行電漿處理,以將第一過渡金屬層轉變為第一過渡金屬二硫化物層;在第一過渡金屬二硫化物層上,形成介電層;在介電層以及該第一過渡金屬二硫化物層的一第一部分上,形成第一閘極電極;以及形成第一源極接觸和第一汲極接觸,分別連接第一過渡金屬二硫化物層的第二以及第三部分,第一過渡金屬二硫化物層的第一部分位於第一過渡金屬二硫化物層的第二和第三部分之間。

Description

積體電路裝置及其形成方法
本揭露是關於積體電路裝置及其形成方法。
隨著各種電子元件(即電晶體、二極體、電阻、電容等)的積體密度不斷改進,半導體產業經歷了快速增長。在大多數情況下,積體密度的改進自於最小特徵尺寸的重複減小,這允許更多的元件整合到給定的區域中。
根據本揭露的部分實施方式,提供了一種用於形成積體電路裝置的方法。該方法包含在基板的正面上,沉積第一過渡金屬層;進行電漿處理,以將第一過渡金屬層轉變為第一過渡金屬二硫化物層;在第一過渡金屬二硫化物層上,形成介電層;在介電層以及該第一過渡金屬二硫化物層的一第一部分上,形成第一閘極電極;以及形成第一 源極接觸和第一汲極接觸,分別連接第一過渡金屬二硫化物層的第二以及第三部分,第一過渡金屬二硫化物層的第一部分位於第一過渡金屬二硫化物層的第二和第三部分之間。
根據本揭露的部分實施方式,提供了一種形成積體電路裝置的方法。該方法包含在一基板的一正面上,形成一非絕緣體結構;以及在該非絕緣體結構上,形成一導電結構,其中形成該導電結構包含:在該非絕緣體結構上,沉積一過渡金屬層;進行一電漿處理,以將該過渡金屬層轉變為一過渡金屬二硫化物層;以及在該過渡金屬二硫化物層上,沉積一金屬材料。
根據本揭露的部分實施方式,積體電路裝置包含基板、第一過渡金屬二硫化物層、介電層、第一閘極電極、第一源極接觸和第一汲極接觸。第一過渡金屬二硫化物層位於基板上方,其中第一過渡金屬二硫化物層的表面粗糙度大於0.5nm且小於1nm。介電層在第一過渡金屬二硫化物層之上。第一閘極電極在介電層和第一過渡金屬二硫化物層的第一部分之上。第一源極接觸和第一汲極接觸分別與第一過渡金屬二硫化物層的第二部分和第三部分連接,第一過渡金屬二硫化物層的第一部分在第一過渡金屬二硫化物層的第二和第三部分之間。
100:設備
110:製程腔室
110I:進氣口
110O:出氣口
110E:區域
110T:溫度範圍
112,114:部分
120:電漿反應器
122:電源供應
130:基板支架
130T:溝槽
130W:寬度
132:基部
134:突出邊緣
140,140P,140L,140G:容器
152,154:氣體源
160,160P,160L:加熱裝置
172:真空泵
174:井
180:控制器
182:處理器
184:計算機可讀媒體、記憶體
186:輸入/輸出接面
200,200P,200L,200G:前驅物
300:層
300M:過渡金屬原子
300X:硫屬元素原子
402:基板
403:半導體突起、鰭片
404:裝置
404G:閘極結構
404GD,404GM:層
404SD:源極/汲極區
404SP:間隔物
405:淺溝槽隔離區域
406:內連接結構
406I:內連接層
406D:層間介電層
406M:導電特徵
406E:蝕刻停止層
412:接觸插塞
420:第一金屬薄膜
422:第一金屬層
422’:TMDC層
422SD:源極/汲極區
422C:通道區
430:第二金屬薄膜
432:第二金屬層
432’:TMDC層
432SD:源極/汲極區
432C:通道區
450:介電層
460:閘極電極層
462,464:閘極電極
470a:導電填充材料
470b:襯層
472:接觸
474:導電插塞
490:內連接結構
490I:內連接層
490D:介電層
490M:導電材料
490Ma:導電填充材料
490Mb:襯層
490M’:導電特徵
490E:蝕刻停止層
510:金屬薄膜
510’:TMDC層
510”:阻擋層
520:導電填充材料
520’:導電特徵
P1:電漿
W:基板、晶圓
WF:正面
WB:背面
TM:金屬層
TM’:TMDC層
CS:氣體通道
L1:高度
WW:寬度
V11,V12,V21,V22,V3,V4,V5,V6,V7,V8,V91,V92:閥門
MFC1,MFC2,MFC3,MFC4,MFC5:控制器
PG:壓力計
X,Y:方向
CG:氣流
M:方法
S1,S2,S3,S4:步驟
Ps,Pat:壓力
E1 2g,A1g:峰
DEA:距離
MA:方法
AS1,AS2,AS3,AS4:步驟
ILD0,ILDX:層間介電層
GS1,GS2:閘極結構
O1,O2,O3,O3’,O4:開口
OT:溝槽部分
OV:通孔部分
T1,T2:電晶體
MB:方法
BS1,BS2,BS3,BS4,BS5,BS6,BS7:步驟
當與附圖一起閱讀時,從以下詳細描述中可以最好 地理解本揭露的各態樣。應注意,根據產業中的標準規範,各種特徵未按比例繪製。實際上,各種特徵的尺寸可為了論述清楚經任意地增大或減小。
圖1A是根據本揭露的部分實施方式用於形成過渡金屬二硫化物(transition metal dichalcogenide;TMDC)層的設備的側視示意圖。
圖1B展示圖1A的設備的一部分的立體圖。
圖2是根據本揭露部分實施方式的形成TMDC層的方法的流程圖。
圖3至圖6A展示根據本揭露的部分實施方式的用於形成TMDC層的方法。
圖6B繪示了根據部分示例實施方式的示例TMDC層的單層示意圖。
圖7A是根據本揭露的部分實施方式的電子溫度和氣體溫度對壓力的關係圖。
圖7B示出了根據本揭露的部分實施方式用於形成TMDC層的設備的製程腔室的溫度。
圖8A和圖8B分別展示根據本揭露的部分實施方式經硫化的鉬層以及經硫化的鎢層的拉曼光譜(Raman spectra)。
圖9A至圖9E展示根據本揭露的部分實施方式在各種基板上且在不同操作功率下硫化的鉬層的拉曼光譜。
圖9F展示操作功率與圖9E的半峰全寬(full width at half maximum;FWHM)之間的關係。
圖10A至圖10C展示根據本揭露的部分實施方式在各種基板上且在不同沉積時間下硫化的鉬層的拉曼光譜。
圖11A和圖11B分別展示根據本揭露的部分實施方式的所得的層的鉬(Mo)和硫(S)的X射線光電子能譜分析(X-ray photoelectron spectroscopy;XPS)數據。
圖12A和圖12B展示根據本揭露的部分實施方式在各種基板上所得的MoS2層的原子力顯微鏡(Atomic Force Microscope;AFM)。
圖13A和圖13B分別展示根據本揭露的部分實施方式在電漿處理之前介電層上的鉬層的AFM和在電漿處理之後在該介電層上的相應的MoS2層。
圖14是根據本揭露的部分實施方式的用於形成TMDC層的設備的側視示意圖。
圖15是根據本揭露的部分實施方式的用於形成TMDC層的設備的側視示意圖。
圖16是根據本揭露的部分實施方式的用於形成TMDC層的設備的側視示意圖。
圖17A和圖17B是根據本揭露的部分實施方式用於形成積體電路裝置的方法的流程圖。
圖18至圖35繪示根據本揭露的部分實施方式的用於形成積體電路裝置的方法。
圖36是根據本揭露的部分實施方式用於形成積體電路裝置的方法的流程圖。
圖37至圖43繪示根據本揭露的部分實施方式的用於形成 積體電路裝置的方法。
以下揭示內容提供用於實施所提供標的物的不同特徵的許多不同實施方式或實施例。下文描述組件及配置的特定實施例以簡化本揭露。當然,這些僅為實施例且非意欲為限制性的。舉例而言,在以下描述中第一特徵於第二特徵上方或上的形成可包含第一特徵與第二特徵直接接觸地形成的實施方式,且亦可包含額外特徵可形成於第一特徵與第二特徵之間使得第一特徵與第二特徵可不直接接觸的實施方式。此外,本揭露在各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚之目的,且本身且不指明所論述之各種實施方式及/或配置之間的關係。
此外,為了便於描述,在本文中可使用空間相對術語,諸如「在......下面」、「在......之下」、「下部」、「在......之上」、「上部」及類似者,來描述諸圖中圖示之一個元件或特徵與另一(多個)元件或(多個)特徵之關係。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的裝置在使用或操作時的不同定向。設備可另外定向(旋轉90度或處於其他定向),且本文中所使用之空間相對描述符號可類似地加以相應解釋。如本文所用,「約」、「大約」、「大約」、「大致」或「實質上」可能意味著在給定值或範圍的20%以內、10%以內或5%以內。本文給出的數值是近似的,意味著即使沒有明確說明,術語「約」、 「大約」、「大約」、「大致」或「實質上」也可以被推斷。
本揭露的實施方式涉及一種用於形成大面積過渡金屬二硫化物(transition metal dichalcogenide;TMDC)材料的方法。TMDC材料具有高通道遷移率、高電流開/關比和良好的亞閾值擺幅(sub-threshold swing)。示例性的TMDC材料可以包含MoS2、WS2等。在部分實施方式中,TMDC可以作為電晶體中的通道層。在一些替代實施方式中,TMDC可以作為金屬特徵和介電層之間的阻擋層。開發形成大面積TMDC材料的方法可以更容易地製造具有TMDC材料的積體電路(integrated circuit;IC)裝置。
圖1A是根據本揭露的部分實施方式用於形成過渡金屬二硫化物層的設備100的側視示意圖。在部分實施方式中,設備100可稱為電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)系統。在進一步的部分實施方式中,設備100可以稱為微波電漿化學氣相沉積(microwave plasma chemical vapor deposition;MPCVD)系統。設備100包含製程腔室110、電漿反應器120、基板支架130、容器140、氣體源152、154、加熱裝置160、真空泵172和控制器180。
製程腔室110可稱為處理管(processing tube)。製程腔室110由諸如石英的材料製成,其提供將維持電漿 產生的非反應性環境。除了石英之外,包含氧化鋁、玻璃等的其他材料也可以用於製造製程腔室110。
電漿反應器120與電源供應122相關聯,以便在製程腔室110中產生電漿P1。電源供應122可以是產生微波的微波源電源,其中微波可指頻率高於300MHz的電磁波。在部分實施方式中,微波可稱為射頻(Radio frequency;RF)頻譜的超高頻(ultra-high frequency;UHF)部分,例如在從大約2.4GHz到大約2.5GHz的範圍內,例如在大約2.45GHz。由於電漿P1是使用微波產生的微波電漿,所以電漿反應器120可以被稱為微波電漿反應器。舉例而言,電漿反應器120可以是空腔,用作諧振器,由金屬結構組成,該金屬結構將電磁場限制在頻譜的微波區域中。金屬結構是空心或填充有介電材料。微波在腔壁之間來回反彈。在空腔的共振頻率下,它們會增強以在空腔中形成駐波。
在本實施方式中,製程腔室110的部分112被電漿反應器120包圍,而製程腔室110的部分114未被電漿反應器120包圍,其中部分114是與部分112流體連通的。透過該配置,製程腔室110的部分112中的電漿密度高於製程腔室110的部分114中的電漿密度。在一些替代實施方式中,電漿反應器120可以圍繞製程腔室110的部分112和114,而使部分114中的電漿密度可以等於部分112中的電漿密度。
基板支架130放置在製程腔室110的部分112 中,並且支撐基板W。基板支架130可以由諸如對電漿P1無活性的石英的材料製成。除了石英之外,包含氧化鋁、玻璃等的其他材料也可以用於製造基板支架130。在部分實施方式中,基板支架130可以是坩堝。在本實施方式中,基板W可以包含面向基板支架130的過渡金屬層(未示出)。舉例而言,過渡金屬層可以包含Mo、W、Pd、Pt、類似物或其組合。
在部分實施方式中,硫屬元素前驅物200儲存在容器140中,該容器140放置在製程腔室110的部分114中。容器140可以由對電漿P1呈惰性的材料例如石英製成。除了石英之外,包含氧化鋁、玻璃等的其他材料也可以用於製造容器140。在部分實施方式中,容器140可以是坩堝。在本實施方式中,硫屬元素前驅物200可以以固體形式儲存。舉例而言,硫屬元素前驅物200可以是具有固定形狀的固體形式的一個或多個硫屬元素塊。硫屬元素片可以是硫(S)片、硒(Se)片、碲(Te)片、類似物或其組合。在部分其他實施方式中,硫屬元素前驅物200可以是不具有固定形狀的固體形式的硫屬元素粉末。硫屬元素粉末可以是S粉末、Se粉末、Te粉末、類似物或其組合。在一些替代實施方式中,硫屬元素前驅物200可以液體形式或氣體形式儲存。舉例而言,硫屬元素前驅物200可以包含硫屬元素液體,例如C2H6S、SeF 4 等,以及/或硫屬元素氣體,例如H2S、SeF6、CH4S、WF6等。這些硫屬元素粉末、硫屬元素液體、硫屬元素氣體可以儲存在 放置在製程腔室110的部分114中的容器140中或儲存在製程腔室110的部分114外部的其他容器中,這將在後續圖14至圖16中示出。在又一些替代實施方式中,硫屬元素前驅物200可以包含硫屬元素固體(塊或粉末)、硫屬元素液體和硫屬元素氣體中的兩種或三種的組合。
在本實施方式中,製程腔室110具有進氣口110I與出氣口110O,其中製程腔室110的部分112與114位於進氣口110I與出氣口110O之間。製程腔室110的部分114可以比製程腔室110的部分112更靠近進氣口110I。一或多個電漿氣體源152和154與進氣口110I流體連接。舉例而言,電漿氣體源152和154可以提供CH4、Ar和H2。在一些實施例中,氣體源152是H2氣體源,並且氣體源154是Ar氣體源。質量流量控制器(Mass flow controller;MFC)MFC1和MFC2或其他合適的流量控制器可用於控制氣體從氣體源152和154到製程腔室110的流速。各種閥門V11、V12、V21、V22和V3可用於控制製程腔室110中的氣體壓力。
加熱裝置160,例如加熱帶,可以環繞製程腔室110的部分114。加熱裝置160可以連接到溫度控制器。在溫度控制器的控制下,加熱裝置160的加熱可以熔化硫屬元素前驅物200並且產生適當量的氣相的含硫屬元素前驅物。舉例而言,在硫屬元素前驅物200包含硫(其熔點為大約120℃)的部分實施方式中,加熱裝置160的溫度可在大約120℃至大約200℃的範圍內。如果加熱裝置 160的溫度過低,則硫可能不會熔化,並且幾乎沒有產生含硫前驅物。如果加熱裝置160的溫度過高,則硫可能會過快熔化,硫會蒸發和消耗過快,這可能導致高成本。
在部分實施方式中,真空泵172流體連接製程腔室110的氣體出口110O,從而從製程腔室110中抽出氣體。井(backing trap)174可用於從氣體出口110O到真空泵172的真空管線中,用於防止泵送氣體回流到製程腔室110。在部分實施方式中,為了監測製程腔室110中的壓力,在從氣體出口110O到井174和真空泵172的真空管線中,可以使用壓力計PG。可以根據具體應用,適當地使用額外的真空元件。此外,可以使用閥門V4和一個或多個真空控制閥門V5來控制製程腔室110中的氣體壓力。
在部分實施方式中,控制器180連接到質量流量控制器MFC1和MFC2、閥門V11、V12、V21、V22、V3、V4、真空控制閥門V5、電漿反應器120和電源供應122、加熱裝置160的溫度控制器,以及用於操作設備100的其他合適的系統組件。在部分實施方式中,更少或更多的組件可以耦合到控制器180。控制器180可以包含處理器182、計算機可讀媒體184、以及輸入/輸出(I/O)接面186。處理器182用於執行與控制真空壓力、氣體流速、電漿生成、加熱溫度和其他系統參數中的至少一些相關的計算。計算機可讀媒體184(也稱為數據庫或記憶體)耦合到處理器182以便存儲由處理器和其他系統元件使用 的數據。使用處理器182、記憶體184和輸入/輸出接面186,使用者能夠操作系統以形成所述的TMDC層。
處理器182可以包含專用電路、ASIC、組合邏輯、其他可編程處理器、其組合等。處理器182可以執行指令和數據。舉例而言,處理器182在軟件、韌體和/或硬體中執行根據本揭露的方法的指令的至少一部分。計算機可讀媒體184可以包含硬碟機、快閃記憶體、軟碟機連同相關聯的可移動媒體(removable media)、光碟機、可移動媒體盒和其他類似的存儲媒體。計算機可讀媒體184可以存儲由處理器182執行的指令和數據。
同時參考圖1A和圖1B。圖1B示出了圖1A的設備100的一部分的透視圖。基板支架130可以具有溝槽130T,朝向電漿反應器120。在部分實施方式中,基板支架130具有基部132和在基部132的相對側壁處的突出邊緣134,從而形成溝槽130T。基部132和突出邊緣134可以沿著製程腔室110延伸的方向X延伸。舉例而言,製程腔室110的進氣口110I(參考圖1B)和出氣口110O位於製程腔室110沿方向X的相對兩側。基板W(參照圖1A)可以是放置在基板支架130和電漿反應器120之間並由突出邊緣134支撐。透過上述配置,基板W(參照圖1A)可以覆蓋溝槽130T的至少一部分。
在圖1B中,如前所述,硫屬元素前驅物200(參考圖1A)被放置在製程腔室110的部分114中並被加熱裝置160包圍。當氣體源152與154(參考圖1A)提供 用於形成電漿P1的氣流時,受熱的硫屬元素前驅物200可以將硫屬元素氣體添加到氣流中。舉例而言,包含硫屬元素的氣流CG(由交叉點圖案表示)可以填充製程腔室110的部分114。
在所示實施方式中,電漿反應器120放置在製程腔室110的頂部上方,並且橫跨製程腔室110的橫向側面。電漿反應器120可以不延伸到製程腔室110正下方的位置。通過此配置,在製程腔室110的較高位置處從微波接收的能量大於在製程腔室110中的較低位置處從微波接收的能量,使得電漿P1主要在製程腔室110的較高部分產生。
圖2是根據本揭露部分實施方式的形成TMDC層的方法M的流程圖。圖3至圖6A展示根據本揭露的部分實施方式的用於形成TMDC層的方法。方法M可以包含步驟S1至S4。在步驟S1,金屬層沉積在基板的正面上。在步驟S2,將基板支架放置在製程腔室中。在步驟S3,將基板放置在基板支架上,使得基板的正面朝向支架,其中在金屬層和基板支架之間限定了一氣體通道。在步驟S4,透過微波電漿處理,硫屬化(chalcogenizing)金屬層。可以理解,可以在圖2所示的步驟S1至S4之前、期間和之後提供額外的步驟,並且對於該方法的其他實施方式,可以替換或消除下面描述的一些步驟。操作/過程的順序可以互換。
參照圖2和圖3,方法M開始於步驟S1,其中在基板W的正面WF上,沉積金屬層TM。在部分實施方式 中,基板W是半導體基板,其上具有電晶體和內連接結構。基板W是積體電路製造過程的中間結構,其可以是後續圖17A至圖35的實施方式或圖36至圖43的實施方式所描述的基板。基板W可以在其正面WF處包含表層。舉例而言,基板W的表層可以包含介電材料、金屬材料、半導體材料、類似物或其組合。
金屬層TM沉積在基板W的表層上。金屬層TM可以包含過渡金屬,例如Mo、W、Pd、Pt、類似物或其組合。金屬層TM的沉積可包含物理氣相沉積(physical vapor deposition;PVD)(例如電子槍蒸發沉積或熱蒸發沉積)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、類似方法或其組合。
在部分實施方式中,在金屬層TM的沉積之後,可以選擇性地,透過適當的光刻微影製程和蝕刻製程圖案化該金屬層TM成為圖案化金屬層。舉例而言,遮罩層(例如氮化矽層)被沉積在金屬層TM之上,光阻層被塗覆在遮罩層之上並且通過光刻微影製程被圖案化。隨後,使用經圖案化的光阻層作為蝕刻遮罩來圖案化遮罩層。然後,使用經圖案化遮罩層作為蝕刻遮罩來圖案化金屬層TM。此圖案化可以包含一種或多種蝕刻製程,其以比蝕刻該遮罩層和蝕刻基板W的表層更快的速率蝕刻金屬層TM的材料的。在圖案化之後,被經圖案化的遮罩層露出的金屬層的部分被適當蝕刻製程蝕刻去除了,且被經圖案化的遮罩 層覆蓋的金屬層的部分在蝕刻製程之後保留。
參照圖2和圖4。方法M進行到步驟S2,其中基板支架130被放置在製程腔室110中。如上所述,基板支架130可以具有面向電漿反應器120的溝槽130T。在圖中,電漿反應器120被示為在製程腔室110的頂部上方,並且應當理解,電漿反應器120可以跨越製程腔室110的橫向側,如圖1B所示。
參考圖2、圖5A和圖5B。圖5B是圖5A的剖面視圖。方法M進行到步驟S3,其中將基板W放置在基板支架130上,使得基板W的正面WF面向基板支架130。與正面WF相對的基板W的背面WB可面對電漿反應器120。換言之,基板W的正面WF背對電漿反應器120,這意味著基板W以倒置的方式放置在基板支架130上。透過使用支撐基板W的突出邊緣134,在金屬層TM和基板支架130之間限定了氣體通道CS,這繼而允許前驅氣體流過基板W的正面WF並因此與金屬層TM反應,以在基板W的正面WF上形成TMDC。氣體通道CS的間隙高度L1(即金屬層TM和基板支架130之間的距離)可以在大約100微米到大約3毫米的範圍內,或者在從大約100微米到大約750微米的範圍內。如果氣體通道CS的間隙高度L1小於約100微米,則可能會導致流過氣體通道CS的氣體不足,這會降低金屬層TM在後續製程中硫屬化成TMDC層的速度。如果氣體通道CS的間隙高度L1大於大約3毫米,則放置的基板W可能會超出處理管外。
在部分實施方式中,基板W(參考圖1A)沿Y方向測量的寬度WW大於基板支架130沿Y方向測量的寬度130W。在圖中,方向X和方向Y可以是平行於基板W的頂面並且彼此正交。透過該配置,基板W(參考圖1A)可以由基板支架130的突出邊緣134支撐而不接觸基板支架130的基部132。
參考圖2和圖6A。方法M進行到步驟S4,透過微波電漿處理,硫屬化(chalcogenizing)金屬層TM。在本實施方式中,包含硫屬元素的氣流CG被引導通過氣體通道CS,並且電漿反應器120用於離子化氣流CG,以在製程腔室110中在基板W周圍產生電漿P1。在部分實施方式中,氣體源152和154(參考圖1A)被配置為向製程腔室110提供包含Ar和H2的混合物的氣流。在氣流CG通過製程腔室110的部分114(參考圖1A)之後,受熱的硫屬元素前驅物200可以將硫屬元素氣體添加到氣流CG中。電漿反應器120接收來自電源供應122的微波,當微波能量足夠高時,微波將帶有硫屬元素氣體的氣流CG離子化,從而產生電漿P1。基板W的正面WF上的金屬層TM與電漿P1中的硫族元素反應,變成TMDC層TM’。在部分實施方式中,取決於氣流CG所包含的硫屬元素,此硫屬化製程(chalcogenizing)可以稱為硫化製程(sulfurizing)、硒化製程(selenizing process)等,此硫屬化製程例如將金屬層TM變成TMDC層TM’。在硫屬化製程中,可以透過將摻雜源流體連接到氣體入口 110I(參見圖1A),而將一些物質原位摻雜到TMDC層中。
TMDC是一類具有化學式MX2的材料,其中M是過渡金屬元素,例如鈦、釩、鈷、鎳、鋯、鉬、鎝、銠、鈀、鉿、鉭、鎢、錸、銥、鉑,X是硫屬元素,例如硫、硒或碲。TMDC的示例包含MoS2、WS2、WSe2、MoSe2、MoTe2、WTe2、類似物或其組合。一旦形成,TMDC層TM’處於層結構中,其具有一個或多個通式X-M-X的二維層,其中在兩個平面中的硫屬元素原子被金屬原子的一平面隔開。
TMDC層TM’可以是單層或者可以包含多個單層,這取決於金屬層TM(參見圖3)的厚度。圖6B繪示了根據部分示例實施方式的示例TMDC層TM’的單層300示意圖。在圖6B中,單分子厚的TMDC層300包含過渡金屬原子300M和硫屬元素原子300X。在單分子厚的TMDC層300的中間區域中,過渡金屬原子300M可以形成層,硫屬元素原子300X可以在過渡金屬原子300M的層上形成第一層,並且在過渡金屬原子300M的層下形成第二層。過渡金屬原子300M可以是W原子或Mo原子,而硫屬元素原子300X可以是S原子、Se原子或Te原子。在圖6B的實施例中,每一過渡金屬原子300M鍵結(例如通過共價鍵)六個硫屬元素原子300X,並且每一硫屬元素原子300X鍵結(例如通過共價鍵)三個過渡金屬原子300M。在整個描述中,所示交叉鍵結的多個層(包含 一層過渡金屬原子300M和兩層硫屬元素原子300X)的組合被稱為TMDC層TM’的單層300。
在部分實施方式中,在電漿處理期間,電源供應122的操作功率可以在大約20W至大約100W的範圍內。如果功率低於大約20W,則難以形成電漿。如果功率高於約100W,則基板或基板的外圍區域可能被電漿損壞。
在部分實施方式中,在電漿處理期間,由氣體源152和154(參見圖1A)提供的氣流CG的流速在大約1每分鐘標準立方釐米(standard cubic centimeter per minute;sccm)到大約50sccm的範圍內。氣流CG可以包含H2、Ar和H2S的活性氣體混合物。如果氣流CG的流速低於大約1sccm,則難以形成電漿。如果流量氣流CG大於大約50sccm,硫屬元素氣體(例如H2S)可能移動太快而無法與金屬薄膜反應,並且壓力可能太高而無法形成電漿。
在部分實施方式中,在電漿處理期間,製程腔室110的製程壓力,例如由壓力計PG(參考圖1A)監測,在從幾毫托(mTorr)到數百毫托的範圍內。舉例而言,製程腔室110的製程壓力可以在大約8×10-2托到大約9×10-2托的範圍內。如果壓力高於大約9×10-2托,離子化效率低,可能不容易形成電漿。如果壓力低於大約8×10-2托,則氣體分解的電壓很高,因此可能不容易形成電漿。
在部分實施方式中,進行電漿處理的持續時間在從 大約1分鐘到大約15分鐘的範圍內。如果持續時間小於大約1分鐘,則硫屬化反應可能不完全,這可能導致不均勻的硫屬元素化金屬層。如果持續時間大於大約15分鐘,則長時間暴露於電漿可能會導致粗糙表面損壞,並且可能會不必要地增加製程時間。
回到圖6A。在本揭露的部分實施方式中,由於微波電漿是反應觸發,因此可以在所需區域以高能量密集地形成電漿,從而可以將過渡金屬層TM(參考圖3)轉變為TMDC層TM’,其中不加熱基板W(例如使用與基板W接觸的加熱裝置來直接加熱基板W)。透過該配置,在低溫下,合成TMDC層TM’。舉例而言,製程腔室110的溫度可以控制在大約400℃以下,這可以減少熱積存(thermal budget)。熱積存可稱為在給定高溫操作期間傳遞到晶圓的熱能總量,在積體電路製造中需要低熱積存以防止摻雜劑重新分佈。此外,在本揭露的部分實施方式中,在不加熱基板W(例如,使用與基板W接觸的加熱裝置來直接加熱基板W)的情況下,形成TMDC層TM’,從而不需要用於加熱和冷卻基板W的製程,這反過來可節省處理時間。
此外,透過將基板W向下放置,電漿P1撞擊在基板W的背面WB上。電漿P1可能轟擊基板的背面WB,但基板W的正面WF被保護而免於電漿轟擊。透過該配置,基板W的正面WF上的TMDC層TM’不會被電漿P1損壞。
在部分進一步的實施方式中,藉由沉積合適厚度的過渡金屬層TM(參見圖3)並以期望的圖案蝕刻過渡金屬層TM(參見圖3),所得的TMDC層TM’可以在具有合適的厚度和所需的圖案下形成。
圖7A是電子溫度(Te)和氣體溫度(Tg)對壓力的關係圖。電子溫度(Te)可以代表腔室中電子的溫度。氣體溫度(Tg)是指腔室中離子和中性原子的溫度,它可主要決定了製程腔室的溫度。在遠低於大氣壓力Pat的壓力下,不再能保持局部熱平衡。非熱平衡的開始可以表示為壓力Ps。在高壓(例如高於壓力Ps)下,可能在Te至Tg間產生熱電漿(thermal plasma或hot plasma)。在低壓(例如低於壓力Ps)下,在Te遠大於Tg時,產生非熱電漿(或冷電漿)。
在本揭露的部分實施方式中,用於形成TMDC層的製程腔室110的部分112(參見圖1A、圖1B和圖6A)被控制在區域110E。由於製程腔室110的部分112(參考圖1A、圖1B和圖6A)的壓力低於壓力Pat,所以製程腔室110的部分112中的氣體的氣體溫度(Tg)遠低於製程腔室110的部分112中的氣體的電子溫度(Te)。因此,製程腔室110可以保持在低溫下。
圖7B示出了根據本揭露的部分實施方式的用於形成TMDC層的設備100(參見圖1A、圖1B和圖6A)的製程腔室110的溫度。在部分實施方式中,具有不同熔點的各種金屬設置在製程腔室110中以測試製程腔室110 的部分112(參考圖1A和1B)的溫度。通過檢查這些金屬的熔化條件,可以推斷出製程腔室110的部分112(參考圖1A和1B)的溫度。舉例而言,通過檢查這些金屬的熔化條件,可以得出結論,製程腔室110的部分112(參考圖1A和1B)的溫度高於In和Sn的熔點、與Pb的熔點(例如大約328℃)重疊、且低於Zn的熔點(例如大約420℃)。因此,製程腔室110的部分112的溫度可以表示為圖中的溫度範圍110T,其中溫度範圍110T的範圍可以從大約300℃到大約400℃,或者從大約328℃至大約420℃。
圖8A和圖8B分別展示根據本揭露的部分實施方式經硫化的鉬層以及經硫化的鎢層的拉曼光譜(Raman spectra)。舉例而言,可以通過在電漿處理之後,對層進行拉曼光譜分析,來獲得拉曼光譜。由MX2的第一特徵峰E1 2g和第二特徵峰A1g,可確認層中MX2(例如MoS2與WS2)的存在,其中突出峰E1 2g和A1g處對應於原子的面內(in-plane)和面外(out-of-plane)振動。透過圖8A和圖8B所示的拉曼光譜,經硫化的鉬層以及經硫化的鎢層分別被認為是MoS2層和WS2層。
在圖8A所示的拉曼光譜中,第一特徵峰E1 2g位於大約380cm-1至大約384cm-1的範圍內,第二特徵峰A1g位於大約403cm-1至大約408cm-1的範圍內。注意到,根據MoS2層的數量,MoS2的第一特徵峰E1 2g和第二特徵峰A1g的位置可以在上述範圍內變化。如果 MoS2層的數量減少,則第一特徵峰E1 2g可能略微向右移動,並且第二特徵峰A1g可能略微向左移動。第一特徵峰E1 2g和第二特徵峰A1g之間的距離DEA可以隨著MoS2層的數量減少而減少。舉例而言,距離DEA在大約19cm-1至大約25cm-1的範圍內,對應於1層至5層或更多層的MoS2層。
在圖8B所示的拉曼光譜中,第一特徵峰E1 2g位於大約349cm-1至大約353cm-1,第二特徵峰A1g位於大約410cm-1至大約413cm-1。注意到,根據WS2層的數量,WS2的第一特徵峰E1 2g和第二特徵峰A1g的位置可以在上述範圍內變化。如果WS2層的數量減少,則第一特徵峰E1 2g可能略微向右移動,並且第二特徵峰A1g可能略微向左移動。第一個特徵峰E1 2g和第二個特徵峰A1g之間的距離DEA可以隨著WS2層的數量減少而減少。舉例而言,距離DEA在大約60cm-1至大約65cm-1,對應於1層至5個層或更多層的WS2層。
圖9A至圖9E展示根據本揭露的部分實施方式在各種基板上且在不同操作功率下硫化的鉬層的拉曼光譜。在圖9A至圖9E中,操作功率從P#1增加到P#5,並且沉積時間實質上相同。圖9A至圖9E分別展示了在SiO2層、低k介電層、高k介電層、藍寶石基板和SiO2層上硫化的鉬層的拉曼光譜。透過圖9A至圖9E所示的拉曼光譜,可以得知在SiO2層、低k介電層、高k介電層、藍寶石基板上的硫化的鉬層為MoS2層。
圖9F展示操作功率與圖9E的特徵峰E1 2g和A1g的半峰全寬(full width at half maximum;FWHM)之間的關係。隨著工作功率的增加,特徵峰E1 2g和A1g的FWHM及其誤差線降低。這表明較高的操作功率可以在所得的MoS2層中帶來更一致的品質。
圖10A至圖10C展示根據本揭露的部分實施方式在各種基板上且在不同沉積時間下硫化的鉬層的拉曼光譜。在圖10A至圖10C中,沉積時間從T#1增加到T#3,並且操作功率維持實質相同。圖10A至圖10C分別展示在SiO2層、低k介電層和高k介電層上硫化的鉬層的拉曼光譜。透過拉曼光譜,可以得知在SiO2層、低k介電層和高k介電層上硫化的鉬層是MoS2層。
該圖還表明,較長的沉積時間導致較小的FWHM,較小的FWHM表示所得MoS2層的信號較強。因此,較長的沉積時間可能會使得到的MoS2層質量更好。此外,比較圖10C和圖10A與10B,圖10C的拉曼光譜的FWHM似乎大於圖10A和10B的拉曼光譜的FWHM。這可表示SiO2層和低k介電層上的MoS2層比高k介電層上的MoS2層具有更好的薄膜品質。
圖11A和圖11B分別展示根據本揭露的部分實施方式的所得的硫化的鉬層的鉬(Mo)和硫(S)的X射線光電子能譜分析(X-ray photoelectron spectroscopy;XPS)數據。透過X射線光電子能譜分析,檢測所得的硫化的鉬層的結合能(binding energy) 和原子比(atomic ratio)。在圖11A中,Mo結合能的兩個峰分別對應於Mo4+3d5/2和Mo4+3d3/2。在圖11B中,S結合能的兩個峰分別對應於2p3/2和2p1/2。如圖所示。如圖11A和圖11B所示,S:Mo的元素組成比在大約1.9:1至大約2.1:1的範圍內,例如大約2:1。這所得的硫化的鉬層是MoS2層。
圖12A和圖12B展示根據本揭露的部分實施方式在高k介電層和SiO2層上所得的MoS2層的原子力顯微鏡(Atomic Force Microscope;AFM)。對於圖12A和圖12B中的MoS2層,用於形成TMDC的電漿處理期間的操作功率相同。大面積的TMDC層能被合成,不受基板表層的材料的限制。如圖12A和圖12B所示,在高k介電層(圖12A)和SiO2層(圖12B)上形成的MoS2層具有非常小的表面粗糙度。在上下文中,表面粗糙度可以由中心線平均粗糙度(centerline averaged roughness;Ra)表示,Ra是偏離中心線的每單位長度的平均面積(平均值)。換言之,Ra是粗糙度分佈縱坐標的多個絕對值的算術平均值。通過分析原子力顯微鏡,確認得到的MoS2層的表面粗糙度(例如Ra)在1奈米以下。舉例而言,圖12A所示的MoS2層的表面粗糙度(例如Ra)可以在大約0.5nm到大約0.6nm(例如大約0.536nm)的範圍內,並且圖12B所示的MoS2層的表面粗糙度(例如Ra)可以在大約0.8nm到大約0.9nm的範圍內(例如大約0.889nm)。
圖13A和圖13B分別展示根據本揭露的部分實施方式在電漿處理之前介電層上的鉬層的AFM和在電漿處理之後在該介電層上的相應的MoS2層。如圖13A所示,在電漿處理之前,Mo層具有非常小的表面粗糙度(例如Ra),其低於1奈米。舉例而言,圖13A所示的Mo層的表面粗糙度(例如Ra)可以在大約0.3nm到大約0.4nm的範圍內(例如大約0.37nm)。電漿處理後,如圖13B所示,MoS2層具有非常小的表面粗糙度(例如Ra),其低於1奈米。舉例而言,圖13B所示的MoS2層的表面粗糙度(例如Ra)可以在大約0.6nm到大約0.7nm(例如大約0.66nm)的範圍內。這表明電漿處理對粗糙度的影響很小或沒有影響,這在後端製程中具有很大的應用潛力。
圖14是根據本揭露的部分實施方式的用於形成TMDC層的設備的側視示意圖。本實施方式類似於圖1A的實施方式中所示,不同之處在於以粉末形式的硫屬元素前驅物200P向電漿P1提供硫屬元素。舉例而言,硫屬元素前驅物200P可以包含S、Se、Te粉末。在本實施方式中,硫屬元素前驅物200P儲存在製程腔室110的外部。舉例而言,硫屬元素前驅物200P儲存在容器140P中,該容器140P與氣體源152和154流體連通並被加熱裝置160P包圍。加熱裝置160P可加熱硫屬元素前驅物200P並熔化硫屬元素前驅物200P,從而產生適當量的氣相的含硫屬元素前驅物。因此,當氣體源152和154提供用於形 成電漿P1的氣流時,加熱的硫屬元素前驅物200P可以將硫屬元素氣體添加到氣流中。舉例而言,在硫屬元素前驅物200P的硫(其熔點約為120℃)的部分實施方式中,加熱裝置160P的溫度可在大約120℃至大約200℃的範圍內。如果加熱裝置160P的溫度低於大約120℃,硫可能不會熔化,並且幾乎不會產生硫屬元素氣體。如果加熱裝置160P的溫度高於大約200℃,硫可能會過快熔化,硫會蒸發和消耗過快,這可能導致高成本。
質量流量控制器MFC1和MFC2可用於控制從氣體源152和154到容器140P的氣體的流速,並且質量流量控制器MFC3可用於控制含硫屬元素的氣體從容器140P流到製程腔室110的流速。額外的閥門V6和V7可用於分別控制進入容器140P和從容器140P釋放的氣流。控制器180可以耦合到質量流量控制器MFC1至MFC3、閥門V11、V12、V21、V22、V3、V4、V6、V7、真空控制閥門V5、電漿反應器120和電源供應122、加熱裝置160P和用於操作設備100(控制例如真空壓力、氣體流速、電漿產生、加熱溫度和其他系統參數中的至少一些)的其他合適的系統組件。本實施方式的其他細節與圖1A的實施方式類似,在此不再贅述。
圖15是根據本揭露的部分實施方式的用於形成TMDC層的設備的側視示意圖。本實施方式類似於圖1A的實施方式中所示,不同之處在於以液態形式的硫屬元素前驅物200L向電漿P1提供硫屬元素。舉例而言,硫屬元 素前驅物200L可以包含硫屬元素液體,例如C2H6S、SeF 4 等。在本實施方式中,硫屬元素前驅物200L儲存在製程腔室110的外部。舉例而言,硫屬元素前驅物200L儲存在與氣體源152和154流體連通的容器140L中。在部分實施方式中,容器140L可以被加熱裝置160L包圍。加熱裝置160L可以加熱和蒸發硫屬元素前驅物200L,從而產生適當量的氣相的含硫屬元素前驅物。因此,當氣體源152和154提供用於形成電漿P1的氣流時,加熱的硫屬元素前驅物200L可以將硫屬元素氣體添加到氣流中。舉例而言,在硫屬元素前驅物200L包含SeF4的部分實施方式中,加熱裝置160L的溫度可以在大約25℃到大約80℃的範圍內。如果加熱裝置160L的溫度低於大約25℃,則幾乎不產生硫屬元素氣體。如果加熱裝置160L的溫度高於大約80℃,硫可能蒸發和消耗太快,這可能導致高成本。在硫屬元素前驅物200L包含C2H6S的一些其他實施方式中,可以省略加熱裝置160L。
質量流量控制器MFC4可用於控制硫屬元素氣體從容器140L到由氣體源152和154提供的氣流以及到製程腔室110的流速。另外的閥門V8可用於控制從容器140L釋放的硫屬元素氣體。控制器180可以耦合到質量流量控制器MFC1、MFC2、MFC4、閥門V11、V12、V21、V22、V3、V4、V8、真空控制閥門V5、電漿反應器120和電源供應122、加熱裝置160L以及用於操作設備100(例如控制真空壓力、氣體流速、電漿產生、加 熱溫度和其他系統參數中的至少一些)的其他合適的系統組件。本實施方式的其他細節與圖1A的實施方式類似,在此不再贅述。
圖16是根據本揭露的部分實施方式的用於形成TMDC層的設備的側視示意圖。本實施方式類似於圖1A的實施方式中所示,不同之處在於以氣體形式的硫屬元素前驅物200G向電漿P1提供硫屬元素。舉例而言,硫屬元素前驅物200G可以包含硫屬元素氣體,例如H2S、SeF6、CH4S、WF6等。在本實施方式中,硫屬元素前驅物200G儲存在製程腔室110的外部。舉例而言,硫屬元素前驅物200G儲存在與氣體源152和154流體連通的容器140G中。當氣體源152和154提供用於形成電漿P1的氣流時,可以將硫屬元素氣體(即硫屬元素前驅物200G)添加到該氣流中。在本實施方式中,由於硫屬元素前驅物200G以氣體形式儲存,因此不需要加熱裝置來加熱容器140G和蒸發硫屬元素前驅物200G。
可以使用質量流量控制器MFC5來控制硫屬元素前驅物200G從容器140G到由氣體源152和154提供的氣流以及到製程腔室110的流速。可以使用另外的閥門V91和V92,以控制從容器140G和從質量流量控制器MFC5釋放的硫屬元素前驅物200G。控制器180可以耦合到質量流量控制器MFC1、MFC2、MFC5、閥門V11、V12、V21、V22、V3、V4、V91、V92、真空控制閥門V5、電漿反應器120和電源供應122以及用於操作設 備100(例如控制真空壓力、氣體流速、電漿生成、加熱溫度和其他系統參數中的至少一些)的其他合適的系統組件。本實施方式的其他細節與圖1A的實施方式類似,在此不再贅述。
在圖14至圖16,來自硫屬元素前驅物200L和200G的硫屬元素氣體具有比來自硫屬元素前驅物200P的硫屬元素氣體高的流動性。因此,儲存硫屬元素前驅物200L和200G的容器140L和140G被佈置為與氣體源152和154並聯連接的氣體源。另一方面,容納硫屬元素前驅物200P的容器140P與氣體源152、154串聯連接。
圖17A和圖17B是根據本揭露的部分實施方式用於形成積體電路裝置的方法MA的流程圖。圖18至圖35繪示根據本揭露的部分實施方式的用於形成積體電路裝置的方法。方法MA可以包含步驟AS1至AS14。可以理解,可以在圖17A和圖17B所示的步驟AS1至AS14之前、期間和之後提供額外的步驟。對於該方法的其他實施方式,可以替換或消除下面描述的一些步驟。操作/過程的順序可以互換。
參考圖17A和圖18,方法MA開始於步驟AS1,提供一基板,其具有內連接結構。圖18示出了積體電路製造過程中晶圓W的中間結構的截面圖。在圖18中,半導體晶圓W是積體電路製造過程的中間結構,其中已經形成電晶體和內連接結構。在部分實施方式中,半導體晶圓W可以包含基板402。基板402可以包含例如摻雜或未摻雜 的矽塊或絕緣體上半導體(semiconductor-on-insulator;SOI)基板的主動層。通常,SOI基板包含形成在絕緣層上的半導體材料層,例如矽。絕緣層可以是例如掩埋氧化物(buried oxide;BOX)層或氧化矽層。絕緣層設置在基板上,例如矽或玻璃基板。或者,基板402可以包含另一種元素半導體,例如鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。也可以使用其他基板,例如多層或梯度基板。
在部分實施方式中,在基板402上,形成一或多個主動和/或被動裝置404(在圖18中繪示為單個電晶體)。此一個或多個主動和/或被動裝置404可以包含各種N型金屬氧化物半導體(N-type metal-oxide semiconductor;NMOS)和/或P型金屬氧化物半導體(P-type metal-oxide semiconductor;PMOS)裝置,例如電晶體、電容器、電阻器、二極體、光電二極體、保險絲等。本領域通常技術人員將理解,提供上述示例僅用於說明目的,並不意味著以任何方式限製本揭露。對於給定的應用,也可以適當地形成其他電路。
在部分實施方式中,在一或多個主動和/或被動裝置404和基板402上,形成內連接結構406。內連接結構406將一或多個主動和/或被動裝置404電性互相連接, 以在半導體結構內形成功能電路。內連接結構406可以包含一或多個金屬化層。金屬化層包含一或多個水平內連接(例如分別在介電層中水平或橫向延伸的導線)和垂直內連接(例如分別在介電層中垂直延伸的導電通孔)。內連接結構406的形成可以稱為後端(back-end-of-line;BEOL)過程。
接觸插塞412將上層內連接結構406電耦合到下層裝置404。在所描繪的實施例中,裝置404是鰭式場效應電晶體(field-effect transistors;FinFET),其為三維MOSFET結構,形成在鰭狀條的半導體突起(簡稱鰭片)403中。圖18所示的剖面是沿著鰭的縱軸在平行於源極/汲極區404SD之間的電流流動的方向上截取的。可以透過使用光刻微影和蝕刻技術,圖案化基板402,來形成鰭片403。舉例而言,可以使用間隔圖像轉移(spacer image transfer;SIT)圖案化技術。在該方法中,在基板上形成犧牲層,並使用合適的光刻微影和蝕刻製程圖案化犧牲層,以形成心軸(mandrel)。使用自對準製程(self-aligned process)在心軸旁邊形成間隔物。接著,通過適當的選擇性蝕刻製程去除犧牲層。然後,可以將每個剩餘的間隔物用作硬式遮罩,以通過使用例如反應離子蝕刻(reactive ion etching;RIE)將溝槽蝕刻到基板402中來圖案化相應的鰭片403。圖18繪示了單個鰭片403,基板402可以包含任何數量的鰭片。在部分其他實施方式中,裝置404是平面電晶體或環閘極 (gate-all-around;GAA)電晶體。
在鰭片403的相對側壁上,形成淺溝槽隔離(shallow trench isolation;STI)區域405。可以通過沉積一種或多種介電材料(例如氧化矽)以完全填充鰭片周圍的溝槽,然後,使介電材料的上表面凹陷,來形成淺溝槽隔離區域405。可以使用高密度電漿化學氣相沉積(high density plasma CVD;HDP-CVD)、低壓化學氣相沉積(low-pressure;LPCVD)、亞大氣壓化學氣相沉積(sub-atmospheric CVD;SACVD)、可流動化學氣相沉積(flowable CVD;FCVD)、旋塗以及/或類似方法或其組合來沉積淺溝槽隔離區域405的介電材料。在沉積之後,可以進行退火製程或固化製程。在一些情況下,淺溝槽隔離區域405可以包含襯層,例如通過氧化矽表面而生長的熱氧化物襯層。凹陷製程可以使用例如平坦化製程(例如化學機械拋光(chemical mechanical polish;CMP))接續選擇性蝕刻製程(例如濕蝕刻、乾蝕刻或其組合),選擇性蝕刻製程可以使淺溝槽隔離區域405中的介電材料的上表面凹陷,使得鰭片403的上部從周圍的絕緣淺溝槽隔離區域405突出。在一些情況下,用於形成鰭片403的圖案化硬式遮罩也可以通過該平坦化製程去除。
在部分實施方式中,FinFET裝置404的閘極結構404G是可以使用後閘極(gate-last)製程流程而形成的高k金屬閘極(high-k metal gate;HKMG)結 構。在後閘極製程流程中,在形成淺溝槽隔離區域405之後,形成犧牲虛設閘極結構(未示出)。虛設閘極結構可以包含虛設閘極介電質、虛設閘電極和硬式遮罩。首先,可以沉積虛設閘極介電材料(例如氧化矽、氮化矽等)。接下來,可以在虛設閘極介電質上,沉積虛設閘極材料(例如非晶矽、多晶矽等),然後平坦化(例如透過CMP)。可以在虛設閘極材料上方形成硬式遮罩(例如氮化矽、碳化矽等)。然後通過圖案化硬式遮罩,並使用合適的光刻微影和蝕刻技術將該圖案轉移到虛設閘極介電質和虛設閘極材料,來形成虛設閘極結構。虛設閘極結構可以沿著突出鰭片的多個側面延伸並且在鰭片之間延伸到淺溝槽隔離區域405的表面之上。如下文更詳細描述的,如圖18所示,虛設閘極結構可以被HKMG閘極結構404G代替。可以使用任何合適的方法來沉積用於形成虛設閘極結構和硬式遮罩的材料,例如CVD、PECVD、ALD、電漿增強原子層沉積(plasma-enhanced ALD;PEALD)、類似方法、透過半導體表面的熱氧化或其組合。
在圖18中,形成裝置404的源極/汲極區404SD和間隔物404SP,例如自對準虛設閘極結構。間隔物404SP可以通過在虛設閘極圖案化完成之後執行的間隔物介電層的沉積和各向異性蝕刻來形成。間隔物介電層可以包含一種或多種介電質,例如氧化矽、氮化矽、氧氮化矽、碳化矽、碳氮化矽等或其組合。各向異性蝕刻製程去除了虛設閘極結構的頂部上的間隔物介電層,留下間隔物 404SP,404SP沿著虛設閘極結構的側壁橫向延伸到鰭片403的表面的一部分上。
源極/汲極區404SD是與半導體鰭片403直接接觸的半導體區。在部分實施方式中,源極/汲極區404SD可以包含重摻雜區和相對輕摻雜的汲極延伸區,或輕摻雜汲極(lightly-doped drain;LDD)區。間隔物404SP使重摻雜區與虛設閘極結構隔開,而LDD區可以在形成間隔物404SP之前形成,因此在間隔物404SP下方延伸,並且在部分實施方式中,進一步延伸到虛設閘極結構下方的半導體鰭片403的一部分中。舉例而言,可以通過使用離子注入製程注入摻雜劑(例如As、P、B、In等)來形成LDD區。
源極/汲極區404SD可以包含磊晶生長區。舉例而言,在形成LDD區之後,可以形成間隔物404SP,隨後,可以透首先蝕刻鰭片以形成凹槽來形成與間隔物404SP自對準的重摻雜源極和汲極區,然後通過選擇性磊晶生長(selective epitaxial growth;SEG)製程在凹槽中沉積晶體半導體材料,該製程可以填充凹槽並且可以進一步延伸超出鰭片403的原表面,以形成凸起的源極/汲極磊晶結構。晶體半導體材料可以是元素(例如Si或Ge等)或合金(例如Si1-xCx或Si1-xGex等)。SEG製程可以使用任何合適的磊晶生長方法,例如氣相/固相/液相磊晶(vapor/solid/liquid phase epitaxy;VPE/SPE/LPE)或金屬有機化學氣相沉積 (metal-organic CVD;MOCVD)或分子束磊晶(molecular beam epitaxy;MBE)等。高劑量(例如大約1014cm-2到1016cm-2)的摻雜劑可以在SEG期間原位摻雜,或透過在SEG之後執行的離子注入製程,被引入重摻雜源極和汲極區404SD,或其組合。
在源極/汲極區404SD形成後,第一層間介電(interlayer dielectric;ILD)層(例如層間介電層ILD0的下部)沉積在源極/汲極區404SD上方。在部分實施方式中,可以在沉積層間介電材料之前,沉積合適的介電質(例如氮化矽、碳化矽等或其組合)的接觸蝕刻停止層(contact etch stop layer;CESL)(未示出)。可以進行平坦化製程(例如CMP),以從虛設閘極上方去除多餘的層間介電材料和任何剩餘的硬式遮罩材料,以形成上表面,其中虛設閘極材料的上表面被暴露並且可以與所述第一層間介電層的上表面實質上共平面。然後,為了形成HKMG閘極結構404G,可以先使用一或多種蝕刻技術去除虛設閘極結構,從而在各個間隔物404SP之間產生凹槽。接著,沉積包含一或多種介電質的取代閘極介電質層404GD,隨後沉積包含一或多種金屬的取代閘極金屬層404GM以完全填充凹槽。可以使用例如CMP製程從第一層間介電層的上表面去除閘極結構層404GD和404GM的多餘部分。所得結構可以包含嵌入在各個間隔物404SP之間的HKMG閘極層404GD和404GM的剩餘部分。
閘極介電層404GD包含例如高k介電材料,例如 金屬(例如Hf、Al、Zr、La、Mg、Ba、Ti和其他金屬)的氧化物和/或矽酸鹽、氮化矽、氧化矽等,或其組合,或其多層結構。在部分實施方式中,閘極金屬層404GM可以是多層金屬閘極疊層,包含連續形成在閘極介電層404GD之上的阻擋層、功函數層和閘極填充層。用於阻擋層的示例材料包含TiN、TaN、Ti、Ta、類似物或其多層組合。功函數層可以包含用於p型FET的TiN、TaN、Ru、Mo、Al,以及用於n型FET的Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr。也可以使用其他合適的功函數材料、其組合或多層結構。填充凹槽剩餘部分的閘極填充層可以包含金屬,例如Cu、Al、W、Co、Ru、類似物、其組合或其多層結構。可以透過任何合適的方法沉積用於形成閘極結構的材料,例如CVD、PECVD、PVD、ALD、PEALD、電化學鍍(electrochemical plating;ECP)、化學鍍(electroless plating)和/或類似方法。
在形成HKMG結構404G之後,在第一層間介電層之上,沉積第二層間介電層,被並且這些層間介電層被組合稱為層間介電層ILD0,如圖18所示。在部分實施方式中,形成第一層間介電層和第二層間介電層的絕緣材料可以包含氧化矽、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼矽酸鹽玻璃(borosilicate glass;BSG)、硼摻雜的磷矽酸鹽玻璃(boron-doped phosphosilicate glass;BPSG)、未摻雜的矽酸鹽玻 璃(undoped silicate glass;USG)、低介電常數(low-k)介電質如氟矽酸鹽玻璃(fluorosilicate glass;FSG)、碳氧化矽(SiOCH)、碳摻雜氧化物(carbon-doped oxide;CDO)、可流動氧化物或多孔氧化物(例如乾凝膠/氣凝膠)、或類似物或其組合。用於形成第一層間介電層和第二層間介電層的介電材料可以使用任何合適的方法沉積,例如CVD、PVD、ALD、PEALD、PECVD、SACVD、FCVD、旋塗和/或類似方法或其組合。可以使用光刻微影、蝕刻和沉積技術,在層間介電層ILD0中形成導電接觸插塞412。在圖18所示的實施例中,接觸插塞412與裝置404的閘極結構404G和源極/汲極區404SD電性連接。
形成接觸插塞412後,根據積體電路設計採用的後端(BEOL)方案,可以形成包含多個內連接層的內連接結構406,垂直堆疊在形成在層間介電層ILD0中的接觸插塞412上方。在所示實施方式中,內連接結構406可以包含多個內連接層406I,並且每個內連接層406I可以具有層間介電層406D和被層間介電層406D包圍的導電特徵(例如導電通孔、導線或其組合)406M。在部分實施方式中,可以在每兩個相鄰內連接層406I之間,形成蝕刻停止層406E。這些蝕刻停止層406E可以包含不同於內連接結構406的層間介電層406D的介電材料。舉例而言,蝕刻停止層406E可以包含氮化矽、碳化矽、氮氧化矽、碳氧化矽、碳氮化矽等或其組合。在圖18所示的BEOL 實施例中,各種內連接層具有相似的特徵。然而,應當理解,其他實施方式可以使用其他整合方案,其中各種內連接層級可以使用不同的特徵。舉例而言,顯示為垂直連接件的源極/汲極接觸插塞412可以延伸以形成橫向傳輸電流的導線。
在部分實施方式中,層間介電層406D可以包含具有例如低於大約4.0或甚至2.0的k值的低k介電材料。舉例而言,層間介電層406D可以由例如PSG、BPSG、FSG、SiOxCy、旋塗式玻璃、旋塗式聚合物、氧化矽、氮氧化矽、其組合製成,透過例如旋塗、CVD、PECVD等任何合適的方法形成。導電特徵406M可以包含例如銅、鋁、鎢、其組合等的導電材料。在部分實施方式中,導電特徵406M可以更包含一個或多個阻擋層/黏附層406MB以保護相應的層間介電層406D免受金屬擴散(例如銅擴散)和金屬汙染。此一或多個擋擋/黏附層可以包含鈦、氮化鈦、鉭、氮化鉭、類似物或其組合。
參考圖17A和圖19,方法MA進行到步驟AS2,其中在內連接結構406上,沉積第一金屬薄膜420。第一金屬薄膜420可以包含過渡金屬,例如Mo、W、Pd、Pt、類似物或其組合。第一金屬薄膜420的沉積可以包含PVD(例如電子槍蒸發沉積或熱蒸發沉積)、ALD、CVD、類似方法或其組合。
參考圖17A和圖20,方法MA進行到步驟AS3,圖案化第一金屬薄膜420(參考圖19),以形成第一金屬 層422。舉例而言,首先在第一金屬薄膜420上形成(參見圖19)遮罩層,接著圖案化遮罩層,以形成圖案遮罩,然後利用圖案化的遮罩對第一金屬薄膜420(參見圖19)進行蝕刻製程。遮罩層作為蝕刻遮罩,從而將第一金屬薄膜420(參見圖19)圖案化成第一金屬層422。在部分實施方式中,圖案化遮罩層可以包含有機材料,例如光阻材料,並且可以使用旋塗製程形成,然後使用合適的光刻微影技術將光阻材料圖案化以具有圖案。在部分實施方式中,蝕刻製程可以包含濕蝕刻、乾蝕刻或其組合。對於該蝕刻製程,內連接結構406的最頂層(例如蝕刻停止層406ESL)可以具有比第一金屬薄膜420(參考圖19)更高的抗蝕刻性,從而保護下面的元件免受被蝕刻。
參考圖17A和圖21,方法MA進行到步驟AS4,在內連接結構上,沉積第二金屬薄膜430。第二金屬薄膜430可以包含過渡金屬,例如Mo、W、Pd、Pt、類似物或其組合。第二金屬薄膜430可包含不同於第一金屬層422的材料。第二金屬薄膜430的沉積可以包含PVD(例如電子槍蒸發沉積或熱蒸發沉積)、ALD、CVD、類似方法或其組合。
參考圖17A和圖22,方法MA進行到步驟AS5,圖案化第二金屬薄膜430(參考圖21)圖案化,以形成第二金屬層432。舉例而言,首先,於第二金屬薄膜430(參考圖21)上,形成遮罩層,然後圖案化遮罩層而形成圖案化遮罩,然後利用圖案化遮罩作為蝕刻遮罩,對第二金屬 薄膜430(參考圖21)進行蝕刻製程層,從而圖案化第二金屬薄膜430(參見圖21)為第二金屬層432。第一金屬層422和第二金屬層432用於在後續處理中形成具有不同成分的TMDC層。第一和第二金屬的材料取決於所得電晶體的目標導電類型。舉例而言,當第一金屬層422為Mo時,其可用於形成MoS2層,該MoS2層可適合用作n型FET的主動區,其在MoS2通道中使用電子作為通道載子,且當第二金屬層是W,它可以用來形成WS2,該WS2可適合用作p型FET的主動區,其在WS2中使用電洞作為通道載子。在部分實施方式中,圖案化遮罩層可以包含有機材料,例如光阻材料,並且可以使用旋塗製程形成,然後使用合適的光刻微影技術圖案化光阻材料以使光阻材料具有圖案。在部分實施方式中,蝕刻製程可以包含濕蝕刻、乾蝕刻或其組合。對於該蝕刻製程,內連接結構406的最頂層(例如蝕刻停止層406ESL)可以具有比第二金屬薄膜430(參考圖21)更高的抗蝕刻性,從而保護下面的內連接結構406免於被蝕刻。
參考圖17A和圖23,方法MA進行到步驟AS6,其中進行電漿處理以硫屬化第一和第二金屬層422和432(參見圖22)。如前圖所示。如圖1A至圖6A所示,電漿處理可以使用微波電漿P1,其包含由氣流CG帶來的硫屬元素。透過電漿處理,第一和第二金屬層422和432(參見圖22)分別轉變為TMDC層422’和432’,它們具有高通道遷移率、高電流開關(ON/OFF)比和良好的亞閾 值擺幅。舉例而言,在第一和第二金屬層422和432(參見圖22)分別是Mo層和W層的部分實施方式中,當氣流CG包含硫時,形成的TMDC層422’和432’分別是MoS2層和WS2層。
參考圖17A和圖24,方法MA進行到步驟AS7,在TMDC層422’和432’上,沉積介電層450。介電層450可以包含合適的介電材料,用於將TMDC層422’和432’與後續形成的閘極電極電性隔離。在部分實施方式中,介電層450可以被稱為閘極介電層。在部分實施方式中,介電層450包含高k介電材料,例如金屬的氧化物和/或矽酸鹽(例如Hf、Al、Zr、La、Mg、Ba、Ti和其他金屬的氧化物和/或矽酸鹽)、氮化矽、氧化矽、類似物或其組合或其多層結構。在部分實施方式中,介電層450可以包含低k介電材料,例如PSG、BPSG、FSG、SiOxCy、旋塗式玻璃、旋塗式聚合物、氧化矽、氮氧化矽、其組合等。在部分實施方式中,介電層450包含與內連接結構406下方的電晶體404中的閘極介電層404GD類似的材料。介電層450可以通過任何合適的方法形成,例如旋塗、CVD、PECVD等。
參考圖17A和圖25,方法MA進行到步驟AS8,其中在介電層450上,沉積閘極電極層460。閘極電極層460可以包含合適的導電材料,例如金屬(例如W)或多晶矽(poly-Si)。在部分實施方式中,閘極電極層460包含與內連接結構406下方的裝置404中的閘極金屬層 404GM類似的材料。閘極電極層460可以透過CVD、PVD、濺射沉積或其他適用於沉積導電材料的技術來沉積。
參考圖17B和圖26,方法MA進行到步驟AS9,其中圖案化閘極電極層460(參見圖24)成閘極電極462和464,兩者分別在TMDC層422’和432’之上。舉例而言,首先,在閘極電極層460(參見圖24)上形成遮罩層,然後圖案化遮罩層而形成圖案化遮罩,然後,透過使用圖案化遮罩層作為蝕刻遮罩,對閘極電極層460(參見圖24)進行蝕刻製程,從而圖案化閘極電極層460(參見圖24)為閘極電極462和464。在部分實施方式中,圖案化遮罩層可以包含有機材料,例如光阻材料,並且可以使用旋塗製程形成,然後使用合適的光刻微影技術將光阻材料圖案化以具有圖案。在部分實施方式中,蝕刻製程可以包含濕蝕刻、乾蝕刻或其組合。在部分實施方式中,對蝕刻製程,介電層450可以具有比閘極電極層460更高的抗蝕刻性(參見圖24),從而保護下方內連接結構406和TMDC層422’和432’不被蝕刻。
通過上述步驟,在TMDC層422’上,形成閘極結構GS1(即閘極462及其下方的部分介電層450的一部分的組合),且在TMDC層432’上,形成閘極結構GS2(即閘極464及其下方的介電層450的一部分的組合)。電極)。
在本實施方式中,透過圖案化沉積的閘極電極層和 沉積的介電層,來形成閘極結構GS1和GS2。在一些替代實施方式中,閘極結構GS1和GS2可以使用後閘極製程流程形成。在後閘極製程流程中,在形成TMDC層422’和423’之後,形成犧牲虛設閘極結構(未示出)。虛設閘極結構可以包含虛設閘極介電質(例如氧化矽)、虛設閘極介電質上方的虛設閘極電極(例如多晶矽)。虛設閘極結構可以由金屬閘極結構代替。虛設閘極結構的替換可以包含在虛設閘極結構的相對側上形成閘極間隔物,蝕刻虛設閘極結構,以在閘極間隔物之間留下閘極溝槽,在其中沉積閘極介電層和閘極電極層至閘極溝槽內,然後進行平坦化製程(例如CMP)。閘極介電層可以包含高k介電層。閘極電極層可以包含阻擋層、功函數層和填充金屬。用於阻擋層的示例材料包含TiN、TaN、Ti、Ta、類似物或其多層組合。功函數層可以包含用於p型FET的TiN、TaN、Ru、Mo、Al,以及用於n型FET的Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr。填充金屬可以包含金屬,例如Cu、Al、W、Co、Ru、類似物或其組合或其多層結構。在後閘極實施方式中,閘極結構GS1和GS2可以包含與內連接結構406下面的裝置404中的閘極結構404G類似的材料。
參考圖17B和圖27,方法MA進行到步驟AS10,其中在閘極電極462和464上沉積層間介電層ILDX。在部分實施方式中,層間介電層ILDX可以包含氧化矽、PSG、BSG、BPSG、USG、低介電常數(low-k)介電質(例 如(FSG)、碳氧化矽(SiOCH)、碳摻雜氧化物(CDO)、可流動氧化物或多孔氧化物(例如乾凝膠/氣凝膠)等)或其組合。層間介電層ILDX可以使用任何合適的方法沉積,例如CVD、PVD、ALD、PEALD、PECVD、SACVD、FCVD、旋塗以及/或類似方法或其組合。
參考圖17B和圖28,方法MA進行到步驟AS11,在層間介電層ILDX和介電層450中,蝕刻開口O1和O2。開口O1可以露出TMDC層422’和432’。開口O2可以露出下面的導電特徵406M。舉例而言,首先在層間介電層ILDX上形成遮罩層,然後圖案化該遮罩層以形成圖案化遮罩,以圖案化遮罩層作為蝕刻遮罩,然後對層間介電層ILDX以及介電層450進行蝕刻製程。從而形成開口O1、O2或其組合。在部分實施方式中,圖案化遮罩層可以包含有機材料,例如光阻材料,並且可以使用旋塗製程形成,然後使用合適的光刻微影技術將光阻材料圖案化以具有圖案。在部分實施方式中,蝕刻製程可以包含濕蝕刻、乾蝕刻或其組合。對於該蝕刻製程,TMDC層422’和432’以及蝕刻停止層406E可能具有比層間介電層ILDX更高的抗蝕刻性,因此不會實質上被該蝕刻製程蝕刻。在形成開口O2之後,可以執行襯層去除蝕刻製程,以去除由開口O2露出的蝕刻停止層406E的一部分,從而露出下方的導電特徵406M。
參考圖17B和圖29,方法MA進行到步驟AS12,其中將導電填充材料470a沉積到開口O1中。導電填充材 料470a可以包含W、Al、Cu、Ru、Ni、Co、其合金、其組合等。可以使用任何可接受的沉積技術來沉積導電填充材料470a,例如CVD、ALD、PEALD、PECVD、PVD、ECP、化學鍍等或其任意組合。在部分實施方式中,在沉積導電填充材料470a之前,在開口O1上,保形地沉積襯層470b。襯層470b可以包含阻擋金屬,用於減少從接觸向外擴散到周圍介電材料中的導電材料。襯層470b可以包含不同於導電填充材料470a的材料。舉例而言,襯層470b可以包含TiN、TaN、Ta或其他合適的金屬或其合金。
參考圖17B和圖30,方法MA進行到步驟AS13,其中可以使用平坦化製程(例如CMP),從層間介電層ILDX的表面,去除所有導電材料(例如襯層470b和導電填充材料470a)。剩餘的導電材料在開口O1中形成源極/汲極接觸472且在開口O2中形成導電插塞474。源極/汲極接觸472延伸到層間介電層ILDX和介電層450中,並與TMDC層422’和432’進行物理和電性連接。導電插塞474延伸到層間介電層ILDX和介電層450中,並與導電特徵406M進行物理和電性連接。
藉由上述步驟,形成電晶體T1和T2。電晶體T1可以包含TMDC層422’、閘極結構GS1和源極/汲極接觸472。電晶體T2可以包含TMDC層432’、閘極結構GS2和源極/汲極接觸472。在部分實施方式中,源極/汲極接觸472可以稱為源極/汲極電極。在部分實施方式中, TMDC層422’和432’被源極/汲極接觸472覆蓋的部分可以被稱為源極/汲極區422SD和432SD,TMDC層422’在源極/汲極區422SD之間的一部分可以被稱為通道區422C,並且TMDC層432’在源極/汲極區432SD之間的一部分可以被稱為通道區432C。在部分實施方式中,電晶體T1為n型電晶體,電晶體T2為p型電晶體。導電類型差異可以至少取決於TMDC層422’和432’之間的成分差異。
參考圖17B和圖31至圖35,方法MA進行到步驟AS14,使用與先前關於內連接結構406討論的類似製程和材料,在層間介電層ILDX上,形成另一個內連接結構490。舉例而言,內連接結構490電性互連接一或多個電晶體T1和T2,並且可以進一步電性互連接在基板402上的一或多個電晶體404,例如透過使用從上內連接結構490延伸到下內連接結構406的一個或多個深通孔(deep through vias)。內連接結構490可以包含一或多個內連接層490I,並且每個內連接層490I包含層間介電層490D以及被層間介電層490D包圍的導電特徵(例如導電通孔、導電線或其組合)490M’。內連接結構490還可以包含位於兩個相鄰內連接層490I之間的蝕刻停止層490E。
參考圖31,在接觸472和導電插塞474上,沉積層間介電層490D。在部分實施方式中,層間介電層490D可以包含氧化矽、PSG、BSG、BPSG、USG、低 介電常數(low-k)介電質(例如FSG、碳氧化矽(SiOCH)、碳摻雜氧化物(CDO)、可流動氧化物或多孔氧化物(例如乾凝膠/氣凝膠)等)或其組合。層間介電層490D可以使用任何合適的方法來沉積,例如CVD、PVD、ALD、PEALD、PECVD、SACVD、FCVD、旋塗等,或其組合。在部分實施方式中,在沉積層間介電層490D之前,在接觸472和導電插塞474上,沉積蝕刻停止層490E。
參考圖32,在層間介電層490D中,蝕刻開口O3。開口O3可以是通孔開口、溝槽開口或其組合。可進行襯層移除蝕刻製程,以移除開口O3露出的蝕刻停止層490E的一部分。所形成的開口O3可以延伸穿過層間介電層和蝕刻停止層490E,並且暴露出一些接觸472和導電插塞474。
參考圖33,導電材料490M被沉積到層間介電層490D中的開口O3中。導電材料490M可以包含導電填充材料490Ma和襯層490Mb。導電填充材料490Ma可以包含W、Al、Cu、Ru、Ni、Co、這些的合金、其組合等。可以使用任何可接受的沉積技術來沉積導電填充材料490Ma,例如CVD、ALD、PEALD、PECVD、PVD、ECP、化學鍍等或它們的任何組合。在沉積導電填充材料490Ma之前,在開口O3上,可保形地沉積襯層490Mb。襯層490Mb可包含阻擋金屬,用於減少從接觸向外擴散到周圍介電材料中的導電材料。襯層490Mb可以包含與導電填充材料490Ma不同的材料。舉例而言,襯層490Mb 可以包含TiN、TaN、Ta或其他合適的金屬或其合金。
參考圖34,進行平坦化製程(例如CMP),以從層間介電層490D的表面,去除所有導電材料(例如襯層490Mb和導電填充材料490Ma)的多餘材料。剩餘的導電材料在開口O3中形成導電特徵490M’。取決於開口O3的形狀,導電特徵490M’可以是導電通孔、導線或其組合。通過這些步驟,形成包含層間介電層490D和導電特徵490M’的內連接層490I。
參考圖35,在圖31的結構上,形成上內連接層490I。上內連接層490I的形成類似於圖31至圖34所示的製程。通過這些步驟,形成包含多個內連接層490I的內連接結構490。根據蝕刻在上內連接層490I的層間介電層490D中的開口O3’的形狀,上內連接層490I的導電特徵490M’可以是導電通孔、導線或其組合。舉例而言,在圖35中,由於蝕刻在上內連接層490I的層間介電層490D中的開口O3’是通孔開口和溝槽開口的組合,所以上內連接層490I的導電特徵490M’是導電通孔和導線的組合。
圖36是根據本揭露的部分實施方式用於形成積體電路裝置的方法MB的流程圖。圖37至圖43繪示根據本揭露的部分實施方式的用於形成積體電路裝置的方法。方法MB可以包含步驟BS1至BS7。可以理解,可以在圖36所示的步驟BS1至BS7之前、期間和之後提供額外的步驟,並且對於該方法的其他實施方法,可以替換或消除 下面描述的一些步驟。操作/過程的順序可以互換。
參照圖36和圖37,方法MB開始於步驟BS1,提供具有內連接結構的基板。圖37示出了積體電路製造過程中晶圓W的中間結構的剖面圖。在圖37中,半導體晶圓W是積體電路製造過程的中間結構,其中已經形成電晶體和內連接結構。在部分實施方式中,半導體晶圓W可以包含基板402以及形成於其上的一或多個主動和/或被動裝置404。內連接結構406形成在一或多個主動和/或被動裝置404和基板402上。內連接結構406電性互連接一或多個主動和/或被動裝置404,以在半導體結構內形成功能電路。內連接結構406包含一或多個內連接層406I,每個內連接層406I包含層間介電層406D和被層間介電層包圍的導電特徵406M(例如導電通孔、導線或其組合)406D。關於內連接結構406、裝置404、基板402的其他細節已經說明如上,在此不再贅述。
將層間介電層ILDX沉積在內連接結構406上方。層間介電層ILDX的材料可以包含氧化矽、PSG、BSG、BPSG、USG、低介電常數(low-k)介電質(例如FSG、碳氧化矽(SiOCH)、碳摻雜氧化物(CDO)、可流動氧化物或多孔氧化物(例如乾凝膠/氣凝膠)等)或其組合。用於形成第一層間介電層和第二層間介電層的介電材料可以使用任何合適的方法沉積,例如CVD、PVD、ALD、PEALD、PECVD、SACVD、FCVD、旋塗和/或其他類似方法或者其組合。在部分實施方式中,在沉積層間介電 層ILDX之前,在內連接層406I上,沉積蝕刻停止層406E。蝕刻停止層406E可以包含不同於層間介電層ILDX的介電材料。舉例而言,蝕刻停止層406E可以包含氮化矽、碳化矽、氮氧化矽、碳氧化矽、碳氮化矽等或其組合。
參考圖36和圖38,方法MB進行到步驟BS2,其中在層間介電層ILDX中,蝕刻開口O4。蝕刻製程可以包含溝槽蝕刻製程和通孔蝕刻製程。舉例而言,首先進行溝槽蝕刻製程,以去除層間介電層ILDX的一部分,從而形成開口O4的溝槽部分OT。隨後,進行通孔蝕刻製程以去除層間介電層ILDX的一部分,從而形成開口O4的通孔部分OV。對通孔蝕刻製程,蝕刻停止層406E可以具有比層間介電層ILDX更高的抗蝕刻性,從而保護下面的材料在通孔蝕刻製程期間不被蝕刻。可以進行合適的襯層去除製程,以在通孔蝕刻製程之後去除蝕刻停止層406E的一部分,使得開口O4可露出下面的導電特徵406M。
參考圖36和圖39,方法MB進行到步驟BS3,將金屬薄膜510沉積在層間介電層ILDX上方並進入開口O4中。可以以保形方式沉積金屬薄膜510,以使金屬薄膜510與開口O4的側壁、導電特徵406M的外露的上表面和ILD層ILDX的上表面對齊。金屬薄膜510可以包含過渡金屬,例如Mo、W、Pd、Pt、類似物或其組合。金屬薄膜510的沉積可以包含PVD(例如電子槍蒸發沉積或熱蒸發沉積)、ALD、CVD、類似方法或其組合。
參考圖36和圖40,方法MB進行到步驟BS4, 進行電漿處理,以硫屬化金屬薄膜510(參見圖39)。如前圖1A至圖6A所示,電漿處理可以使用微波電漿P1,其含有由氣流CG帶來的硫屬元素。透過電漿處理,金屬薄膜510被轉變成TMDC層510’,其具有高通道遷移率、高電流開/關比和良好的亞閾值擺幅。舉例而言,在金屬薄膜510是Mo層的部分實施方式中,形成的TMDC層510’是MoS2層。
參照圖36和圖41,方法MB進行到步驟BS5,其中以導電填充材料520過填充開口O4。導電填充材料520可以包含W、Al、Cu、Ru、Ni、Co、其合金、其組合以及類似物。可以使用任何可接受的沉積技術來沉積導電填充材料520,例如CVD、ALD、PEALD、PECVD、PVD、ECP、化學鍍、類似方法或其組合。
參考圖36和圖42,方法MB進行到步驟BS6,其中進行平坦化製程(例如CMP),以從層間介電層ILDX的上表面,去除導電填充材料520(參見圖41)和TMDC層510’(參見圖41)的多餘材料。剩餘的導電填充材料520(參見圖41)在開口O3中形成導電特徵520’。取決於開口O4的形狀,導電特徵520’可以是導電通孔、導線或其組合。剩餘的TMDC層510’(參見圖41)可以用作阻擋層510”以防止金屬擴散,阻擋層510”將導電特徵520’與層間介電層ILDX隔開。在本實施方式中,阻擋層510”還將導電特徵520’與導電特徵406M隔開。在部分實施方式中,可圖案化金屬層510(參考圖39)或阻擋層 510”,以露出導電特徵406M,使得導電特徵520’與導電特徵406M直接接觸。在部分實施方式中,導電特徵520’之一和阻擋層510”之一可以被合稱為導電特徵,例如導電通孔、導線或其組合。
參考圖36和圖43,方法MB進行到步驟BS7,使用與先前關於內連接結構406討論的類似製程和材料,在層間介電層ILDX上,形成另一個內連接結構490。內連接結構490可以包含一或多個內連接層490I,並且每個內連接層490I都包含介電層490D和被介電層490D包圍的導電特徵(例如,導電通孔、導電線或其組合)490M’。內連接結構490還可以包含位於兩個相鄰內連接層490I之間的蝕刻停止層490E。本實施方式的其他細節與上述實施方式類似,在此不再贅述。
基於以上討論,可以看出本揭露提供了優點。然而,應當理解,其他實施方式可以提供額外的優點,並且並非所有優點都必須在本文中公開,並且不需要所有實施方式都有特定的優點。優點之一是TMDC層是在微波電漿系統中使用硫屬化製程直接合成和生長在基板上的。另一個優點是TMDC層是在低溫下合成的,從而降低了熱積存,在後端製程中具有很高的應用潛力。另一個優點是TMDC層位於基板背離電漿反應器的一側,使得電漿處理對形成的TMDC層的粗糙度幾乎沒有或沒有影響。
根據本揭露的部分實施方式,提供了一種用於形成積體電路裝置的方法。該方法包含在基板的正面上,沉積 第一過渡金屬層;進行電漿處理,以將第一過渡金屬層轉變為第一過渡金屬二硫化物層;在第一過渡金屬二硫化物層上,形成介電層;在介電層以及該第一過渡金屬二硫化物層的一第一部分上,形成第一閘極電極;以及形成第一源極接觸和第一汲極接觸,分別連接第一過渡金屬二硫化物層的第二以及第三部分,第一過渡金屬二硫化物層的第一部分位於第一過渡金屬二硫化物層的第二和第三部分之間。
於部分實施方式中,該電漿處理是使用一電漿反應器進行的,且在該電漿處理的期間,該基板的該正面背向該電漿反應器。
於部分實施方式中,該電漿處理的進行是當該基板由一基板支架支撐且該基板的該正面朝向該基板支架時。
於部分實施方式中,該電漿處理使用一微波電漿進行,該基板的溫度低於400℃。
於部分實施方式中,該電漿處理使用一硫屬元素氣體進行。
於部分實施方式中,方法更包含在該基板的該正面上,形成一電晶體;以及在沉積該第一過渡金屬層之前,在該電晶體上,形成一內連接結構,其中沉積該第一過渡金屬層使得該第一過渡金屬層位在該內連接結構上。
於部分實施方式中,在該電漿處理之前,圖案化該第一過渡金屬層,以露出該基板的該正面的至少一部分。
於部分實施方式中,在該基板上形成一經圖案化的 第二過渡金屬層,其中該經圖案化的第二過渡金屬層包含不同於該經圖案化的第一過渡金屬層的一過渡金屬元素,且該電漿處理的進行更使得該經圖案化的第二過渡金屬層變成一第二過渡金屬二硫化物層;在該介電層以及該第二過渡金屬二硫化物層的一第一部分上,形成一第二閘極電極以及形成一第二源極接觸和一第二汲極接觸,分別連接該第二過渡金屬二硫化物層的一第二部分以及一第三部分,該第二過渡金屬二硫化物層的該第一部分位於該第二過渡金屬二硫化物層的該第二部分和該第三部分之間。
根據本揭露的部分實施方式,提供了一種形成積體電路裝置的方法。該方法包含在一基板的一正面上,形成一非絕緣體結構;以及在該非絕緣體結構上,形成一導電結構,其中形成該導電結構包含:在該非絕緣體結構上,沉積一過渡金屬層;進行一電漿處理,以將該過渡金屬層轉變為一過渡金屬二硫化物層;以及在該過渡金屬二硫化物層上,沉積一金屬材料。
於部分實施方式中,該電漿處理以高於300MHz的電漿頻率進行。
於部分實施方式中,該電漿處理是使用一電漿反應器進行的,且在該電漿處理的期間,該基板的一背面朝向該電漿反應器。
於部分實施方式中,該電漿處理是在不直接加熱該基板的情況下進行的。
於部分實施方式中,方法更包含在該非絕緣結構上, 形成一介電層;以及在形成該導電結構之前,在該介電層中蝕刻一開口,其中形成該導電結構的進行使得導電結構形成在該介電層中的該開口中。
於部分實施方式中,形成該導電結構更包含移除位於該介電層的一上表面上的該過渡金屬二硫化物層的一部分以及該金屬材料的一部分。
於部分實施方式中,形成該導電結構的進行使得該過渡金屬二硫化物層將該金屬材料與該介電層隔開。
於部分實施方式中,形成該導電結構的進行使得該過渡金屬二硫化物層將該金屬材料與該非絕緣體結構隔開。
根據本揭露的部分實施方式,積體電路裝置包含基板、第一過渡金屬二硫化物層、介電層、第一閘極電極、第一源極接觸和第一汲極接觸。第一過渡金屬二硫化物層位於基板上方,其中第一過渡金屬二硫化物層的表面粗糙度大於0.5nm且小於1nm。介電層在第一過渡金屬二硫化物層之上。第一閘極電極在介電層和第一過渡金屬二硫化物層的第一部分之上。第一源極接觸和第一汲極接觸分別與第一過渡金屬二硫化物層的第二部分和第三部分連接,第一過渡金屬二硫化物層的第一部分在第一過渡金屬二硫化物層的第二和第三部分之間。
於部分實施方式中,該第一過渡金屬二硫化物層中的硫屬元素與過渡金屬元素的元素組成比在1.9:1至2.1:1的範圍內。
於部分實施方式中,積體電路裝置更包含電晶體、層間介電層以及接觸插塞。電晶體位於該基板上。層間介電層覆蓋該電晶體。接觸插塞位於該層間介電層中並連接該電晶體,其中該第一過渡金屬二硫化物層位在該層間介電層的一上表面以及該接觸插塞的一上表面上。
於部分實施方式中,積體電路裝置更包含第二過渡金屬二硫化物層、第二閘極電極以及第二源極接觸和第二汲極接觸。第二過渡金屬二硫化物層位於該基板上,其中該第二過渡金屬二硫化物層具有與該第一過渡金屬二硫化物層的一硫屬元素相同的一硫屬元素以及與該第一過渡金屬二硫化物的一過渡金屬元素不同的一過渡金屬元素。第二閘極電極位在該介電層和該第二過渡金屬二硫化物層的一第一部分上。第二源極接觸和一第二汲極接觸分別連接該第二過渡金屬二硫化物層的一第二部分和一第三部分,該第二過渡金屬二硫化物層的該第一部分在該第二過渡金屬二硫化物的該第二部分和該第三部分之間。
以上概述多個實施方式之特徵,該技術領域具有通常知識者可較佳地了解本揭露之多個態樣。該技術領域具有通常知識者應了解,可將本揭露作為設計或修飾其他程序或結構的基礎,以實行實施方式中提到的相同的目的以及/或達到相同的好處。該技術領域具有通常知識者也應了解,這些相等的結構並未超出本揭露之精神與範圍,且可以進行各種改變、替換、轉化,在此,本揭露精神與範圍涵蓋這些改變、替換、轉化。
110:製程腔室
120:電漿反應器
130:基板支架
P1:電漿
W:基板、晶圓
WF:正面
WB:背面
TM’:TMDC層
CS:氣體通道
CG:氣流

Claims (10)

  1. 一種形成積體電路裝置的方法,包含:在一基板的一正面上,沉積一第一過渡金屬層;當該基板由一基板支架支撐且該基板的該正面朝向該基板支架時,進行一電漿處理,以將該第一過渡金屬層轉變為一第一過渡金屬二硫化物層;在該第一過渡金屬二硫化物層上,形成一介電層;在該介電層以及該第一過渡金屬二硫化物層的一第一部分上,形成一第一閘極電極;以及形成一第一源極接觸和一第一汲極接觸,分別連接該第一過渡金屬二硫化物層的一第二部分以及一第三部分,該第一過渡金屬二硫化物層的該第一部分位於該第一過渡金屬二硫化物層的該第二部分和該第三部分之間。
  2. 如請求項1所述的方法,其中該電漿處理使用一硫屬元素氣體進行。
  3. 如請求項1所述的方法,其中該電漿處理使用一微波電漿進行,該基板的溫度低於400℃。
  4. 如請求項1所述的方法,更包含:在該電漿處理之前,圖案化該第一過渡金屬層,以露出該基板的該正面的至少一部分。
  5. 一種形成積體電路裝置的方法,包含:在一基板的一正面上,形成一非絕緣體結構;以及在該非絕緣體結構上,形成一導電結構,其中形成該導電結構包含:在該非絕緣體結構上,沉積一過渡金屬層;進行一電漿處理,以將該過渡金屬層轉變為一過渡金屬二硫化物層;以及在該過渡金屬二硫化物層上,沉積一金屬材料。
  6. 如請求項5所述的方法,其中該電漿處理是使用一電漿反應器進行的,且在該電漿處理的期間,該基板的一背面朝向該電漿反應器。
  7. 如請求項5所述的方法,其中該電漿處理是在不直接加熱該基板的情況下進行的。
  8. 一種積體電路裝置,包含:一基板;一第一電晶體,包含:一第一過渡金屬二硫化物層,位於該基板上,其中該第一過渡金屬二硫化物層的表面粗糙度大於0.5nm且小於1nm;一介電層,位於該第一過渡金屬二硫化物層上;一第一閘極電極,位於該介電層以及該第一過渡金屬二硫 化物層的一第一部分上;以及一第一源極接觸和一第一汲極接觸,分別連接該第一過渡金屬二硫化物層的一第二部分和一第三部分,該第一過渡金屬二硫化物層的該第一部分在該第一過渡金屬二硫化物的該第二部分和該第三部分之間;以及一第二電晶體,包含:一第二過渡金屬二硫化物層,位於該基板上,其中該第二過渡金屬二硫化物層具有與該第一過渡金屬二硫化物層的一硫屬元素相同的一硫屬元素以及與該第一過渡金屬二硫化物的一過渡金屬元素不同的一過渡金屬元素,其中該第二過渡金屬二硫化物層橫向對齊該第一過渡金屬二硫化物層;一第二閘極電極,位在該介電層和該第二過渡金屬二硫化物層的一第一部分上;以及一第二源極接觸和一第二汲極接觸,分別連接該第二過渡金屬二硫化物層的一第二部分和一第三部分,該第二過渡金屬二硫化物層的該第一部分在該第二過渡金屬二硫化物的該第二部分和該第三部分之間。
  9. 如請求項8所述的積體電路裝置,其中該第一過渡金屬二硫化物層中的硫屬元素與過渡金屬元素的元素組成比在1.9:1至2.1:1的範圍內。
  10. 如請求項8所述的積體電路裝置,更包含: 一電晶體,位於該基板上;一層間介電層,覆蓋該電晶體;以及一接觸插塞,位於該層間介電層中並連接該電晶體,其中該第一過渡金屬二硫化物層位在該層間介電層的一上表面以及該接觸插塞的一上表面上。
TW111110632A 2021-07-09 2022-03-22 積體電路裝置及其形成方法 TWI812096B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163220025P 2021-07-09 2021-07-09
US63/220,025 2021-07-09
US17/583,330 US20230009266A1 (en) 2021-07-09 2022-01-25 Integrated circuit device and method for forming the same
US17/583,330 2022-01-25

Publications (2)

Publication Number Publication Date
TW202303853A TW202303853A (zh) 2023-01-16
TWI812096B true TWI812096B (zh) 2023-08-11

Family

ID=83819678

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111110632A TWI812096B (zh) 2021-07-09 2022-03-22 積體電路裝置及其形成方法

Country Status (3)

Country Link
US (1) US20230009266A1 (zh)
CN (1) CN115295491A (zh)
TW (1) TWI812096B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201808795A (zh) * 2016-05-13 2018-03-16 納諾柯技術有限公司 用於製造二維材料之化學氣相沈積方法
US20190067005A1 (en) * 2017-08-29 2019-02-28 Electronics And Telecommunications Research Institute Method for fabricating metal chalcogenide thin films
US20200098934A1 (en) * 2018-09-25 2020-03-26 Shriram Shivaraman Spacer and channel layer of thin-film transistors
TW202022148A (zh) * 2018-12-14 2020-06-16 財團法人國家實驗研究院 二維材料及其製造方法
TW202125818A (zh) * 2019-12-19 2021-07-01 台灣積體電路製造股份有限公司 半導體元件

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9879341B2 (en) * 2015-06-22 2018-01-30 Applied Materials, Inc. Method and apparatus for microwave assisted chalcogen radicals generation for 2-D materials
WO2017111869A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Transition metal dichalcogenides (tmdcs) over iii-nitride heteroepitaxial layers
US10847366B2 (en) * 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201808795A (zh) * 2016-05-13 2018-03-16 納諾柯技術有限公司 用於製造二維材料之化學氣相沈積方法
US20190067005A1 (en) * 2017-08-29 2019-02-28 Electronics And Telecommunications Research Institute Method for fabricating metal chalcogenide thin films
US20200098934A1 (en) * 2018-09-25 2020-03-26 Shriram Shivaraman Spacer and channel layer of thin-film transistors
TW202022148A (zh) * 2018-12-14 2020-06-16 財團法人國家實驗研究院 二維材料及其製造方法
TW202125818A (zh) * 2019-12-19 2021-07-01 台灣積體電路製造股份有限公司 半導體元件

Also Published As

Publication number Publication date
CN115295491A (zh) 2022-11-04
US20230009266A1 (en) 2023-01-12
TW202303853A (zh) 2023-01-16

Similar Documents

Publication Publication Date Title
TWI711075B (zh) 半導體結構及其製造方法
TWI671855B (zh) 半導體裝置及其製造方法
US11855154B2 (en) Vertical interconnect features and methods of forming
KR20180061071A (ko) 반도체 디바이스 및 제조 방법
TW201901761A (zh) 用於奈米片裝置之取代金屬閘極圖案化
US11322359B2 (en) Single process for liner and metal fill
US9087916B2 (en) Method for keyhole repair in replacement metal gate integration through the use of a printable dielectric
US11769694B2 (en) Contact plug with impurity variation
US20160276267A1 (en) Methods of forming wiring structures in a semiconductor device
US11183426B2 (en) Method for forming a FinFET structure that prevents or reduces deformation of adjacent fins
TW202118068A (zh) 在芯軸上具有包括二維材料的通道區的場效電晶體
TW202115934A (zh) 半導體結構的形成方法
TW202018832A (zh) 半導體結構的製造方法
TW202133452A (zh) 半導體裝置之製造方法
CN113053803A (zh) 用于金属化的双层衬里
TWI812096B (zh) 積體電路裝置及其形成方法
TW202139294A (zh) 半導體裝置及其製造方法
TWI820428B (zh) 電晶體閘極結構及其形成方法
US20240154010A1 (en) Semiconductor device structure and methods of forming the same
TWI749871B (zh) 半導體元件及形成半導體元件之方法
US20220285515A1 (en) Graphene wrap-around contact
TW202232603A (zh) 半導體裝置的製造方法