TWI797639B - 半導體封裝及製造半導體封裝的方法 - Google Patents

半導體封裝及製造半導體封裝的方法 Download PDF

Info

Publication number
TWI797639B
TWI797639B TW110121570A TW110121570A TWI797639B TW I797639 B TWI797639 B TW I797639B TW 110121570 A TW110121570 A TW 110121570A TW 110121570 A TW110121570 A TW 110121570A TW I797639 B TWI797639 B TW I797639B
Authority
TW
Taiwan
Prior art keywords
semiconductor device
rewiring
conductive
redistribution
semiconductor
Prior art date
Application number
TW110121570A
Other languages
English (en)
Other versions
TW202243175A (zh
Inventor
許佳桂
游明志
葉書伸
林柏堯
鄭心圃
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202243175A publication Critical patent/TW202243175A/zh
Application granted granted Critical
Publication of TWI797639B publication Critical patent/TWI797639B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Control And Other Processes For Unpacking Of Materials (AREA)

Abstract

一種半導體封裝,包含包封半導體裝置及重佈線結構。包封半導體裝置包含由包封材料包封的半導體裝置。重佈線結構上覆於包封半導體裝置且包含多個通孔及重佈線。多個通孔分別位於重佈線結構的不同層上且通過多個導電線彼此連接,其中從俯視圖看,多個導電線中的相鄰兩個之間所夾的角度實質上大於零。重佈線設置在多個導電線之下且連接多個通孔中的對應一個,且通過多個通孔電性連接到半導體裝置。

Description

半導體封裝及製造半導體封裝的方法
本發明實施例是有關於一種半導體封裝及製造半導體封裝的方法。
半導體裝置用於例如個人電腦、蜂窩電話、數位相機以及其它電子設備的各種電子應用中。通常通過在半導體基板上方依序沉積絕緣層或介電層、導電層以及半導體材料層且使用微影圖案化各種材料層以在其上形成電路元件及元件來製造半導體裝置。通常在單個半導體晶圓上製造許多積體電路。可在晶圓級下處理及封裝晶圓的晶粒,且已針對晶圓級封裝開發各種技術。
本發明實施例提供一種半導體封裝包含包封半導體裝置及重佈線結構。包封半導體裝置包含由包封材料包封的半導體裝置。重佈線結構上覆於包封半導體裝置且包含多個通孔及重佈線。多個通孔分別位於重佈線結構的不同層上且通過多個導電線彼此 連接,其中從俯視圖看,多個導電線中的相鄰兩個之間所夾的角度實質上大於零。重佈線設置在多個導電線之下且連接多個通孔中的對應一個,且通過多個通孔電性連接到半導體裝置。
本發明實施例提供一種半導體封裝包含半導體裝置及重佈線結構。重佈線結構上覆於所述半導體裝置且包括多個通孔以及重佈線。通孔分別位於所述重佈線結構的不同層上且通過多個導電線彼此連接。重佈線設置在所述多個導電線之下且連接所述多個通孔中的對應一個,其中接合到所述半導體裝置的所述多個通孔中的一個與所述半導體裝置的最接近邊緣之間的水平距離短於連接所述重佈線的所述多個通孔中的另一個與所述半導體裝置的所述最接近邊緣之間的水平距離。
本發明實施例提供一種半導體封裝的製造方法包含以下步驟。形成重佈線結構,其中重佈線結構包含通過多個導電線彼此連接的多個通孔及通過多個通孔連接到半導體裝置的重佈線,且從俯視圖看,多個導電線中的相鄰兩個之間所夾的角度實質上大於零。將半導體裝置接合在重佈線結構的第一側上。在重佈線結構上方提供包封材料以包封半導體裝置。將基板接合到重佈線結構的與第一側相對的第二側。
100、100a:半導體封裝
101、103:載體
102、104:黏合劑層
105:包封半導體裝置
106:切割膠帶
110、110a、110b:半導體裝置
112、140:基板
113:接墊
114:導通孔
116a、1221、1241、1261:介電層
120:重佈線結構
122、124、126:通孔
123、127:導電線
125、128、1222:重佈線
130:包封材料
150:導電凸塊
152:銅晶種層
154:鎳層
156:焊料層
160:連接件
162:集成被動裝置
170、180:底部填充材料
A1、A2、A3:長度方向
D1、D2、D3:直徑、水平距離
DL:切割線
E1:邊緣
F:拉力
L1:長度
PK:封裝結構
PK1:封裝
S1:第一側
S2:第二側
W1:寬度
θ、θ1、θ1':角度、夾角
結合附圖閱讀以下詳細描述會最好地理解本發明實施例的各方面。應注意,根據業界中的標準慣例,各種特徵未按比例繪 製。實際上,為了論述清楚起見,可任意增大或減小各種特徵的尺寸。
圖1示出根據本揭露的一些實施例的半導體封裝的示意性橫截面圖。
圖2示出根據本揭露的一些實施例的半導體封裝的部分放大圖。
圖3示出根據本揭露的一些實施例的半導體封裝的一部分的透視圖。
圖4示出圖3中所示的半導體封裝的一部分的俯視圖。
圖5示出圖4中所示的半導體封裝的一部分在熱膨脹之後的俯視圖。
圖6示出根據本揭露的一些實施例的半導體封裝的一部分的透視圖。
圖7示出圖6中所示的半導體封裝的一部分的俯視圖。
圖8示出圖7中所示的半導體封裝的一部分在熱膨脹之後的俯視圖。
圖9至圖19示出根據本揭露的一些實施例的製造半導體封裝的中間階段的橫截面圖。
圖20至圖24示出根據本揭露的一些實施例的製造半導體封裝的中間階段的橫截面圖。
根據各種示例性實施例提供一種半導體封裝及製造半導體封裝的方法。在具體地提出示出的實施例之前,將實質上提出本揭露的實施例的某些有利特徵及方面。下文描述的是一種包含至少一個半導體裝置的半導體封裝,所述至少一個半導體裝置接合到重佈線結構以形成封裝結構,且所述封裝結構接著接合到基板。在一些實施例中,在通常用於半導體裝置的材料(例如,矽)與用於基板的材料(例如,味之素堆積膜(Ajinomoto Build-up Film;ABF)、玻璃纖維)之間可存在熱膨脹係數(coefficient of thermal expansion;CTE)失配。這些材料之間的CTE失配可在重佈線結構中尤其是在熱循環(thermal cycling)期間引起熱應力。舉例來說,在溫度改變的期間,由半導體裝置與基板之間的CTE失配所引起的不同膨脹率及收縮率在重佈線結構內的重佈線上產生應力。應力對於在晶粒邊緣(從俯視圖看)上方延伸的重佈線來說尤其是問題。在一些實施例中,多個通孔分別設置在重佈線結構的不同層上且通過多個導電線彼此連接,且其中從俯視圖看,多個導電線中的相鄰兩個之間所夾的角度實質上大於零。因此,重佈線連接到通孔中的最底部通孔。由此,在熱循環期間,可通過導電線之間所夾的角度的改變來釋放重佈線與半導體裝置之間的某些熱應力,且介電層的額外層(經添加以用於形成至少一個通孔)更為重佈線結構提供更大可撓性。根據一些實施例示出形成半導體封裝的中間階段。論述一些實施例的一些變化。貫穿各視圖及說明性實施例,類似附圖標號用於表示類似元件。
圖1示出根據本揭露的一些實施例的半導體封裝的示意性橫截面圖。參考圖1,在一些實施例中,半導體封裝100可包含包封半導體裝置105及重佈線結構120。包封半導體裝置105包含由包封材料130包封的至少一個半導體裝置110。在本實施例中,本文中示出兩個半導體裝置110,可在半導體封裝100中應用更多或更少的半導體裝置110。本揭露不限於此。在一些實施例中,半導體裝置110可包含邏輯晶粒(例如,中央處理單元、微控制器等)、記憶體晶粒(例如,動態隨機記憶體(dynamic random access memory;DRAM)晶粒、靜態隨機存取記憶體(static random access memory;SRAM)晶粒等)、電力管理晶粒(例如,電力管理積體電路(power management integrated circuit;PMIC)晶粒)、射頻(radio frequency;RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system;MEMS)晶粒、信號處理晶粒(例如,數位信號處理(digital signal processing;DSP)晶粒)、前端晶粒(例如,模擬前端(analog front-end;AFE)晶粒)、收發器(transceiver;TRX)晶粒、類似物或其組合。另外,在一些實施例中,半導體裝置110可具有不同尺寸(例如,不同高度及/或表面積),且在其它實施例中,半導體裝置110可具有相同尺寸(例如,相同高度及/或表面積)。在一些實施例中,半導體裝置110中的一個可為邏輯晶粒,例如晶片上系統(system on chip;SOC)、集成晶片上系統(system on integrated chip;SoIC)、專用積體電路(application specific integrated circuit;ASIC)或類似物。半導 體裝置110中的一個可為記憶體晶粒,例如DRAM晶粒、SRAM晶粒或類似物。
在一些實施例中,半導體裝置110可由包封材料130包封。在一些實施例中,包封材料130至少橫向地包封半導體裝置110。包封材料130可包含模制化合物、環氧樹脂或樹脂等。在一些實施例中,包封材料130的頂表面可與半導體裝置110的背表面共面。也就是說,包封材料130可顯露出半導體裝置110的背表面,這可通過例如研磨製程來實現。在替代實施例中,包封材料130的頂表面可高於半導體裝置110的背表面。即,包封材料130覆蓋半導體裝置110的背表面。
圖2示出根據本揭露的一些實施例的半導體封裝的部分放大圖。圖3示出根據本揭露的一些實施例的半導體封裝的一部分的透視圖。參考圖1至圖3,在一些實施例中,重佈線結構120上覆於包封半導體裝置105以電性連接到半導體裝置110。在一些實施例中,半導體裝置105可通過覆晶接合技術經由多個導電凸塊150接合到重佈線結構120。在一些實施例中,導電凸塊150可為焊球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection;C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique;ENEPIG)形成的凸塊、其組合(例如附接有焊球的金屬柱)或類似物。在本實施例中,導電凸塊150可為微凸塊,但本揭露不限於此。在其它實施例中,重佈線結構120可在不接合導電凸塊150的情況下形 成於包封半導體裝置105上方。
可通過例如沉積導電層、圖案化導電層以形成多個重佈線(例如,重佈線125)及至少一個導電線(例如,導電線123、導電線127)來形成重佈線結構120。重佈線及導電線至少部分地由介電層(例如,圖2中所示的介電層1221、介電層1241、介電層1261)覆蓋,且介電層填充重佈線與導電線之間的間隙。通孔(例如,通孔122、通孔124、通孔126)分別位於重佈線結構120的層上,且延伸穿過對應介電層以用於使不同層處的重佈線及導電線內連。重佈線及導電線的材料可包含含有鋁、銅、鎢及/或其合金的金屬或金屬合金。「介電質」是指導電性低於例如銀等金屬導體的材料,且可指透明的半導體材料及絕緣體(包含聚合物)。介電層可由導電性小於例如銀等金屬導體的介電材料形成,且可指(透明的)半導體材料及絕緣體(包含聚合物)。舉例來說,介電材料可包含聚合物、氧化物、氮化物、碳化物、碳氮化物、其組合及/或其多層。重佈線及導電線形成於介電層中且電性連接到半導體裝置110。
在整個描述中,如圖1中所示的包含半導體裝置110、重佈線結構120以及包封材料130的組合結構稱為封裝結構PK,所述封裝結構PK在製造流程中可具有晶圓形式。因此,在封裝結構PK中,半導體裝置110由包封材料130包封,且重佈線結構120設置在包封材料130的第一側(上側)上。
在一些實施例中,封裝結構PK可通過多個連接件160接 合到基板140。在一些實施例中,連接件160可為焊球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、其組合(例如附接有焊球的金屬柱)或類似物。連接件160可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,作為實例,連接件160包含共晶材料且可包括焊料凸塊或焊球。在一些實施例中,可執行回焊製程,從而在一些實施例中使連接件160形成部分球形的形狀。或者,連接件160可包括其它形狀。舉例來說,連接件160更可包括非球形導電連接件。在一些實施例中,連接件160包含通過濺鍍、印刷、電鍍、無電式鍍覆、CVD或類似操作形成的金屬柱(例如銅柱),所述金屬柱上具有或不具有焊料材料。金屬柱可為無焊料的,且具有實質上豎直的側壁或錐形的側壁。在本實施例中,連接件為C4凸塊,但本揭露不限於此。
在一些實施例中,可在連接件160與重佈線結構120之間的空間中注入或以其它方式形成底部填充材料180。底部填充材料180可例如包括液體環氧樹脂、非導電膏(non-conductive paste;NCP)、非導電膜(non-conductive film;NCF)、可變形凝膠、矽橡膠或類似物,所述底部填充材料180分配在連接件160與重佈線結構120之間及/或預層疊在基板140的表面上,且接著固化以硬化。此底部填充材料180尤其用於減小開裂且保護連接件160。
一般來說,在半導體封裝100中的不同材料之間可能存在熱膨脹係數(CTE)失配。這些材料之間的CTE失配可在重佈 線結構120中引起熱應力。舉例來說,在溫度改變的期間,由通常用於半導體裝置110的材料(例如,矽)與通常用於基板的材料(例如,味之素堆積膜(ABF)、玻璃纖維)之間的CTE失配所引起的不同膨脹率及收縮率在重佈線結構120內的重佈線(例如,重佈線125)上產生應力。這種應力可尤其在晶粒邊緣E1周圍(例如,半導體裝置110的拐角(corner)及/或側邊)引起重佈線(例如,重佈線125)開裂。
因此,重佈線結構120可包含至少一個導電線(例如,導電線123、導電線127)、多個通孔(例如,通孔122、通孔124、通孔126),以及設置在導電線123、導電線127之下的至少一個重佈線(例如,重佈線125)。在一些實施例中,(第一)通孔122為連接半導體裝置110或半導體裝置110上的導電凸塊150的最上部通孔。在一些實施例中,導電凸塊150接合在半導體裝置110與重佈線結構120之間,且導電凸塊150中的每一個可包含在銅晶種層152上方形成的焊料層156。任選的鎳層154可在焊料凸塊156與銅晶種層152之間,但本揭露不限於此。導電凸塊150中可包含更多或更少層。銅晶種層152及鎳層154可充當用於形成焊料層156的UBM層及勢壘層。焊料層156可包含導電焊料材料,例如Sn、Ni、Au、Ag、Cu、Bi、W、Fe、鐵氧體、合金或其組合或任何其它合適的材料。導電凸塊150中的一個接合到通孔中的最上部(uppermost)通孔(例如,通孔122)。因此,通孔122連接導電凸塊150且延伸穿過(最上部)介電層(例如,圖2中所 示的介電層1221),以在半導體裝置110上的導電凸塊150與(第一)導電線123之間進行連接。
根據本揭露的一些實施例,重佈線結構120內的金屬化圖案可包含重佈線125(即,信號線)(例如將電力、接地及/或電佈線提供到半導體裝置110)及重佈線之間及當中的導電線。在一些實施例中,本文中的術語“導電線”是指出於除電佈線以外的原因而包含的導電特徵。舉例來說,在實施例中,導電線123、導電線127可與封裝中的其它導電特徵(線路)電絕緣。在其它實施例中,導電線123可電性連接到其它層中的重佈線125。然而,在這類實施例中,導電線123、導電線127可不為設置導電線123、導電線127的層內的重佈線125提供任何電佈線。
在一些實施例中,通孔122、通孔124、通孔126分別位於重佈線結構120的不同層上,且通過導電線123、導電線127彼此連接。舉例來說,(第一)通孔122延伸穿過最接近於半導體裝置110的(最上部)介電層1221,(第二)通孔124延伸穿過最遠離半導體裝置110的(下部)介電層1241且連接重佈線125。另外,(第三)通孔126延伸穿過中間的介電層1261且設置在通孔122與通孔124之間。重佈線125設置在(第一)導電線123及(第二)導電線127之下且連接到其中一個對應的通孔124。在一個實施例中,導電線127設置在導電線123與重佈線125之間。因此,通孔124連接在導電線123與重佈線125之間,而通孔126連接在導電線123與導電線127之間。在一些實施例中,導電線 123配置成用於沿其長度方向(例如,圖4中所示的長度方向A1)連接通孔122、通孔126。在一些實施例中,通孔122及通孔126沿導電線123的長度方向連接到導電線123的兩個相對端部,且通孔122及通孔126分別連接導電線123的兩個相對表面(上表面及下表面)。類似地,通孔126及通孔124沿導電線127的長度方向連接到導電線127的兩個相對端部,且通孔126及通孔1264分別連接導電線127的兩個相對表面(上表面及下表面)。因此,重佈線125通過通孔122、通孔124、通孔126以及導電線123及導電線127電性連接到半導體裝置110。應注意,配置成用於將電力、接地及/或電佈線提供至半導體裝置110的其它重佈線(例如,重佈線1222)更可與導電線123、導電線127設置在相同水平上(例如,在相同介電層1221中)。
根據本揭露的一些實施例,參考圖4及圖5,從俯視圖看,導電線123、導電線127中的相鄰兩個之間所夾的角度θ1,且角度θ1實質上大於零。舉例來說,從俯視圖測量,導電線123的長度方向A1與導電線127的長度方向A3之間所夾的角度θ1實質上大於零。也就是說,導電線123、導電線127中的相鄰兩個不彼此平行或共線。在一個實施例中,角度θ1在約20度至約90度的範圍內。更確切地說,角度θ1大於或實質上等於20度,且小於或實質上等於90度。在本實施例中,重佈線125與導電線123、導電線127中的一個之間更可包含角度θ2,且角度θ2實質上大於零。也就是說,重佈線125不與導電線123、導電線127中 的一個平行或共線。在一些實施例中,角度θ1可為約45度。在一些實施例中,角度θ1可小於約90度。在一些實施例中,從俯視圖看,導電線123、導電線127以不垂直於半導體裝置110的邊緣E1的方式佈線。通過這種佈置,相較於彼此平行或共線的導電線123、導電線127,角度θ1可有助於減少熱循環(加熱製程)期間重佈線125上的應力。因此,這種佈置可有助於提高重佈線125的可靠性。
根據本揭露的一些實施例,導電線123配置成用於水平地連接通孔122及通孔126,且導電線127配置成用於水平地連接通孔126及通孔124,因此導電線123、導電線127的寬度約大於通孔122、通孔124、通孔126的直徑。舉例來說,通孔122/124/126的直徑D1與導電線123/127的寬度W1的比為約0.5至0.9(即,0.5
Figure 110121570-A0305-02-0014-1
D1/W1
Figure 110121570-A0305-02-0014-2
0.9)。通孔122/124/126的直徑D1與導電線123/127的長度L1的比為約0.3至0.9(即,0.3
Figure 110121570-A0305-02-0014-3
D1/L1
Figure 110121570-A0305-02-0014-4
0.9)。另外,導電凸塊150的尺寸可約大於通孔122、通孔124、通孔126的直徑。舉例來說,通孔122的直徑D2(可與直徑D1相同)與導電凸塊150的直徑D3的比為約0.5至0.7(即,0.5
Figure 110121570-A0305-02-0014-5
D3/D2
Figure 110121570-A0305-02-0014-6
0.7)。此外,導電線123、導電線127的寬度大於重佈線125的寬度,所述重佈線125配置成用於將電力、接地及/或電佈線提供到半導體裝置110。
根據本揭露的一些實施例,在加熱製程期間,用於基板140的材料的膨脹率通常大於用於半導體裝置110(的基板)的材料的膨脹率。因此,更接近於基板140的重佈線125遭受相對於 內連半導體裝置110的通孔124朝向半導體裝置110的邊緣E1拉動的拉力F。通過導電線123、導電線127之間所夾的角度θ1的佈置,角度θ1可在熱膨脹期間改變(例如,減小),這釋放集中於重佈線125上的一些應力。舉例來說,在由加熱製程引起的熱膨脹之後,導電線123與導電線127之間所夾的角度θ1可從圖4中所示的角度θ1改變為圖5中所示的角度θ1',其中角度θ1'實質上小於角度θ1。重佈線125上的應力可通過通孔124、導電線127、通孔126、導電線123以及通孔122傳輸且分佈在通孔124、導電線127、通孔126、導電線123以及通孔122上方。因此,這種佈置可有助於減小熱循環(加熱製程)期間重佈線125上的應力,且提高重佈線125的可靠性。
在一個實施例中,接合到通孔122的導電凸塊150為最接近於半導體裝置110的邊緣E1的一個。也就是說,導電線123與導電線127之間所夾的角度θ1的佈置可應用於接合到最接近於邊緣E1的導電凸塊150的通孔122,這是因為邊緣E1周圍的應力更嚴重。在另一實施例中,相鄰導電線之間所夾的角度θ1的佈置可應用於接合到導電凸塊150中的每一個的通孔中的每一個。
通過這種佈置,重佈線上的應力可通過通孔122、通孔124、通孔126及導電線123、導電線127傳輸且分佈在通孔122、通孔124、通孔126及導電線123、導電線127上方。換句話說,在熱循環期間,可通過導電線之間的角度θ/θ1的改變釋放重佈線與半導體裝置之間的某些熱應力。此外,額外添加的介電層(經添加以 用於形成至少一個通孔)更為重佈線結構提供更大可撓性。由此,這種佈置可有助於減小熱循環(加熱製程)期間重佈線上的應力,且提高半導體封裝的重佈線的可靠性。
根據本揭露的一些實施例,返回參考圖3,如先前所論述,應力對於(從俯視圖看)在邊緣E1上方延伸或在兩個半導體裝置110之間的間隙上方延伸的重佈線125尤其是問題。因此,在一些實施例中,接合到半導體裝置110的通孔中的(最上部)通孔(例如,通孔122)與半導體裝置110的最接近邊緣E1之間的(最短)水平距離D1短於連接重佈線的通孔124中的(最底部)通孔與最接近邊緣E1之間的(最短)水平距離D2,其中最接近邊緣E1為最接近於通孔122的半導體裝置110的邊緣。換句話說,連接重佈線125的通孔124比通孔122佈置得更遠離邊緣E1,從而進一步減小重佈線125上的應力且提高重佈線125的可靠性。在一個實施方式中,從俯視圖看,通孔122、通孔124、通孔126不彼此重疊。
另外,在重佈線結構120中添加額外層(例如,包含通孔126、導電線127、介電層1261)提供了另一緩衝層,這是因為介電層的材料(例如,聚合物)提供更大的可撓性,從而進一步減輕重佈線125上的應力。因此,通過上文所描述的佈置,重佈線125上的應力水平可減小約10%或大於10%。也就是說,相較於當導電線與重佈線之間不夾角度時(當角度θ、θ1為0度時)的經歸一化應力級別(normalized stress level)為1,當導電線之間所夾 的角度介在20度至90度之間時,其經歸一化應力級別可減小至約0.9或小於0.9。
圖6示出根據本揭露的一些實施例的半導體封裝的一部分的透視圖。圖7示出圖6中所示的半導體封裝的一部分的俯視圖。圖8示出圖7中所示的半導體封裝的一部分在熱膨脹之後的俯視圖。應注意,圖6至圖8中所示的半導體封裝含有與圖1至圖5較早所揭露的半導體封裝相同或相似的許多特徵。出於清楚及簡單的目的,可省略相同或相似特徵的細節描述,且相同或相似附圖標號表示相同或相似組件。如下描述圖6至圖8中所示的半導體封裝與圖1至圖5較早所揭露的半導體封裝之間的主要差異。
在一些實施例中,重佈線結構120可包含至少一個導電線(例如,虛線123)、多個通孔(例如,通孔122、通孔124)以及至少一個重佈線(例如,重佈線125)。在一些實施例中,(第一)通孔122為連接半導體裝置110或半導體裝置110上的導電凸塊150的最上部通孔。
在一些實施例中,(第二)通孔124位於(第一)通孔122下方且連接在導電線123與重佈線125之間。換句話說,通孔122及通孔124分別位於重佈線結構120的不同(介電)層上且通過導電線123彼此連接。根據本揭露的一些實施例,重佈線結構120內的金屬化圖案可包含重佈線125(即,信號線)(例如將電力、接地及/或電佈線提供到半導體裝置110)及重佈線之間及當中的導電線。在一些實施例中,導電線123可與封裝中的其它導電特 徵(電路)電絕緣。在其它實施例中,導電線123可電性連接到其它層中的重佈線125。然而,在這類實施例中,導電線123可不為設置導電線123的層內的重佈線125提供任何電佈線。在一些實施例中,導電線123配置成用於沿其長度方向(例如,圖3中所示的長度方向A1)連接通孔122、通孔124。換句話說,通孔122及通孔124沿導電線123的長度方向連接到導電線123的兩個相對端部,且通孔122及通孔124分別連接導電線123的兩個相對表面(上表面及下表面)。應注意,配置成用於將電力、接地及/或電佈線提供到半導體裝置110的其它重佈線(例如,重佈線1222)更可與導電線123設置在同一水平上(例如,在同一介電層1221中)。參考圖6至圖8,在一些實施例中,從俯視圖看,導電線123與重佈線125之間包含夾角θ,且夾角θ約大於零。舉例來說,從俯視圖測量,導電線123的長度方向A1與重佈線125的長度方向A2之間的夾角θ約大於零。換句話說,導電線123與重佈線125彼此不平行或不共線。在一個實施例中,接合到通孔122的導電凸塊150為最接近於半導體裝置110的邊緣E1的一個。也就是說,導電線123與重佈線125之間的夾角θ的佈置可應用於接合到最接近於半導體裝置110的邊緣E1的導電凸塊150的通孔122,這是因為邊緣E1周圍的應力更嚴重。在另一實施例中,導電線與重佈線之間的夾角θ的佈置可應用於連接到每一個導電凸塊150的每一個通孔。
在一些實施例中,夾角θ大於約15度,且在一些實施例 中,夾角θ可為約45度。在一些實施例中,夾角θ可小於約90度。在一些實施例中,從俯視圖看,導電線123以不垂直於半導體裝置110的邊緣E1的方式佈線。通過這種佈置,相較於彼此平行或共線的導電線123及重佈線125,夾角θ可有助於減小熱循環(加熱製程)期間重佈線125上的應力。因此,這種佈置可有助於提高重佈線125的可靠性。
根據本揭露的一些實施例,在加熱製程期間,用於基板140的材料的膨脹率通常大於用於半導體裝置110(的基板)的材料的膨脹率。因此,更接近於基板140的重佈線125遭受相對於內連半導體裝置110的通孔124朝向半導體裝置110的邊緣E1拉動的拉力F。通過導電線123與重佈線125之間的夾角θ的佈置,夾角θ可在熱膨脹期間改變(例如,增大),這釋放集中於重佈線125上的一些應力。舉例來說,在由加熱製程引起的熱膨脹之後,導電線123與重佈線125之間的夾角θ可從圖7中所示的夾角θ改變為圖8中所示的夾角θ',其中夾角θ'實質上大於夾角θ。重佈線125上的應力可通過通孔124、導電線123以及通孔122傳輸且分佈在通孔124、導電線123以及通孔122上方。因此,這種佈置可有助於減小熱循環(加熱製程)期間重佈線125上的應力,且提高重佈線125的可靠性。
根據本揭露的一些實施例,返回參考圖6,如先前所論述,應力對於(從俯視圖看)在邊緣E1上方延伸或在兩個半導體裝置110之間的間隙上方延伸的重佈線125尤其是問題。因此,在一些 實施例中,通孔122與最接近於通孔122的半導體裝置110的邊緣E1之間的最短水平距離D1短於通孔124與邊緣E1之間的最短水平距離D2。換句話說,連接重佈線125的通孔124比通孔122佈置得更遠離邊緣E1,從而進一步減小重佈線125上的應力且提高重佈線125的可靠性。在一個實施方式中,從俯視圖看,通孔122、通孔124不彼此重疊。
圖9至圖19示出根據本揭露的一些實施例的製造半導體封裝的中間階段的橫截面圖。用於製造上文所描述的半導體封裝的方法(製程)中的一種示出如下。參考圖9,在一些實施例中,在載體101上形成上文所描述的重佈線結構120。在一些實施例中,載體101包含例如矽基材料(例如玻璃或氧化矽)或其它材料(例如氧化鋁)、這些材料中的任一種的組合或類似物。載體101為平坦的,以在其上形成重佈線結構120且容納半導體裝置110的附接(圖9中未示出但下文相對於圖11示出且進行描述)。在一些實施例中,黏合劑層102可放置在載體101上以有助於上覆結構(例如,重佈線結構120)的黏附。在實施例中,黏合劑層102可包含紫外線膠,所述紫外線膠在暴露於紫外光下時失去其黏合特性。然而,更可使用其它類型的黏合劑,例如壓敏黏合劑、輻射可固化黏合劑、環氧樹脂、味之素堆積膜(ABF)、這些黏合劑的組合或類似物。可以在壓力下可易於變形的半液體或凝膠形式將黏合劑層102放置到載體101上。
現參考圖5及圖9,在載體101及黏合劑層102(如果存 在)上方形成重佈線結構120。在一些實施例中,可通過沉積導電層、圖案化導電層以形成多個重佈線(例如,重佈線125、重佈線128、重佈線1222)及至少一個導電線(例如,導電線123、導電線127)來形成重佈線結構120。重佈線及導電線至少部分地由介電層(例如,圖5中所示的介電層1221、介電層1241、介電層1261)覆蓋,且介電層填充重佈線與導電線之間的間隙。通孔(例如,通孔122、通孔124、通孔126)分別位於重佈線結構120的層上,且延伸穿過對應介電層以用於使不同層處的重佈線及導電線內連。重佈線及導電線的材料可包含含有鋁、銅、鎢及/或其合金的金屬或金屬合金。
詳細地說,可例如通過濺鍍或另一物理氣相沉積(physical vapor deposition;PVD)製程在載體101上方沉積例如銅、鈦或類似物的晶種層。在晶種層上沉積光阻層且圖案化光阻層,以通過微影暴露出晶種層的部分。圖案用於重佈線結構120上的金屬化層。例如通過無電式鍍覆、電鍍或類似操作在暴露的晶種層上沉積重佈線及導電線的導電材料,例如銅、鋁、類似物或其組合。通過灰化及/或沖洗製程去除光阻層。例如通過濕式或乾式蝕刻去除暴露的晶種層。剩餘的導電材料形成重佈線結構120的金屬化層(例如,圖5中所示的重佈線125、重佈線128、重佈線1222以及導電線123、導電線127)。在金屬化層上方沉積介電層(例如,圖5中所示的介電層1221、介電層1241、介電層1261)。介電層的材料可包含聚合物,例如聚醯亞胺、聚苯並惡唑 (polybenzoxazole;PBO)、苯並環丁烯(benzocyclobutene;BCB)、類似物或其組合。可通過塗布製程、疊層製程、類似製程或其組合來沉積介電層。可使用可接受的微影技術來形成穿過介電層到金屬化層的通孔(例如,圖5中所示的通孔122、通孔124、通孔126)。
可使用與所論述相同或相似的製程來形成後續金屬化層及介電層。可在先前形成的介電層的開口中沉積在後續金屬化層的形成期間沉積的導電材料,以形成用於電性連接相應金屬化層的通孔。在形成最頂部介電層1221之後,形成穿過最頂部介電層1221的通孔122,用於耦接在重佈線125與另一半導體裝置、封裝、晶粒及/或另一基板之間的連接件。應注意,可形成任何數目的金屬化層及介電層,且重佈線結構120在此實施例中示出為實例。
如圖3及圖7中所示出,在一些實施例中,重佈線結構120包含通過導電線123、導電線127彼此連接的通孔122、通孔126、通孔124。另外,從俯視圖看,導電線123與重佈線125之間包含夾角θ,且夾角θ約大於零。換句話說,導電線123與重佈線125不彼此平行或共線。在具有用於連接通孔的多個導電線的重佈線結構120的實施例中,從俯視圖看,導電線123、導電線127中的相鄰兩個之間包含角度θ1,且角度θ1約大於零。也就是說,導電線123、導電線127中的相鄰兩個彼此不平行或不共線。在一些實施例中,角度θ1實質上介在約20度至約90度之間。
現參考圖10,在一些實施例中,在形成重佈線結構120之後,在重佈線結構120上方提供導電凸塊150。在一些實施例中,連接件160可為焊球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、其組合(例如附接有焊球的金屬柱)或類似物。在本實施例中,導電凸塊例如為微凸塊,且導電凸塊150中的每一個可包含在銅晶種層上方形成的焊料層。任選的鎳層可在焊料層與銅晶種層之間。銅晶種層及鎳層可充當用於形成焊料層的UBM層及障蔽層(barrier layer)。焊料層可包含導電焊料材料,例如Sn、Ni、Au、Ag、Cu、Bi、W、Fe、鐵氧體、合金或其組合或任何其它合適的材料。本領域的普通技術人員應認識到,存在許多適用於形成導電凸塊150的材料及層的合適佈置。可用於導電凸塊150的任何合適的材料或材料層完全意圖包含在當前實施例的範圍內。導電凸塊接合到通孔中的最上部通孔(例如,通孔122)。因此,通孔122連接導電凸塊150且延伸穿過(最上部)介電層(例如,圖5中所示的介電層1221)以用於在導電凸塊150與導電線123之間進行連接。
現參考圖11,在一些實施例中,例如通過覆晶接合技術經由導電凸塊26將至少一個半導體裝置110接合在重佈線結構120的第一側S1上。在一些實施例中,可使用例如取放(pick-and-place)工具將多於一個半導體裝置110(例如,兩組半導體裝置110a、110b)放置在導電凸塊26上。在本實施例中,本文中示出兩組半導體裝置110a、110b,但可在半導體封裝100中應用更多 或更少的半導體裝置110。本揭露不限於此。舉例來說,在一些實施例中,半導體裝置110a可為邏輯晶粒,例如晶片上系統(SOC)、集成晶片上系統(SoIC)、專用積體電路(ASIC)或類似物。半導體裝置110b可為記憶體晶粒,例如DRAM晶粒、SRAM晶粒或類似物。更可採用其它類型的晶粒,如電力管理晶粒(例如,電力管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、信號處理晶粒(例如,數位信號處理(DSP)晶粒)、前端晶粒(例如,模擬前端(AFE)晶粒)、收發器(TRX)晶粒、類似物或其組合。另外,半導體裝置110a、半導體裝置110b可具有不同尺寸(例如,不同高度及/或表面積),且在其它實施例中,半導體裝置110可具有相同尺寸(例如,相同高度及/或表面積)。在實施例中,通過回焊製程將半導體裝置110接合到重佈線結構120的第一側S1。在此回焊製程期間,導電凸塊150與半導體裝置110及重佈線結構120的焊盤(UBM層)接觸,以將半導體裝置110物理地且電性地耦接到重佈線結構120。因此,重佈線結構120的重佈線125通過通孔122、通孔124以及通孔126及導電凸塊150電性連接到半導體裝置110。
現參考圖12,底部填充材料170選擇性地分配到半導體裝置110a及半導體裝置110b、重佈線結構120之間的間隙中,且環繞導電凸塊150。在一些實施例中,底部填充材料170可沿半導體裝置110a及半導體裝置110b的側壁向上延伸。底部填充材料170可為任何可接受的材料,例如聚合物、環氧樹脂、模制底部填 充物或類似物。底部填充材料170可在半導體裝置110a及半導體裝置110b接合之後通過毛細流動製程形成,或可在半導體裝置110a及半導體裝置110b接合之前通過合適的沉積方法形成。
參考圖13,根據一些實施例,在重佈線結構120上方提供包封材料130以包封半導體裝置110。接著,執行熱製程以設置包封材料130。如果應用底部填充材料170,那麼隨後也立即執行熱製程以定型底部填充材料170。包封材料130可包含模制化合物、環氧樹脂或樹脂等。在一些實施例中,包封材料130的頂表面可高於半導體裝置110的背表面。即,包封材料130覆蓋半導體裝置110的背表面。
接著,可執行包含研磨製程的薄化製程以使包封材料130(及底部填充材料170)變薄直到顯露出半導體裝置110的背表面為止。所得結構繪示於圖13中。歸因於薄化製程,半導體裝置110的背表面與底部填充材料170的上表面實質上共水平,且與如圖13中所示的包封材料130的上表面實質上共水平。在整個描述中,如圖13中所示的包含半導體裝置110及包封材料130的所得結構稱為包封半導體裝置105,所述包封半導體裝置105在製程中可具有晶圓形式。因此,如圖13中所示的包含半導體裝置110、包封材料130以及重佈線結構120的所得結構稱為封裝結構PK,所述封裝結構PK在製程中更可具有晶圓形式。
現參考圖14及圖15,封裝結構PK的上側現通過黏合劑層104臨時地附接到另一載體103以用於在後續處理期間支撐封 裝結構PK。在一些實施例中,載體103可為玻璃、陶瓷、氧化鋁、不銹鋼或在處理期間為封裝結構PK提供足夠的臨時支撐的另一種材料。執行卸除步驟以從重佈線結構120的第二側S2去除載體101。在一些實施例中,通過使黏合劑層102失去或減小黏合力而使載體101與重佈線結構120的第二側S2分離。接著,將黏合劑層102與載體101一起去除。舉例來說,黏合劑層102可暴露於UV光,以使得黏合劑層102失去或減小黏合力,且因此可從重佈線結構120的第二側S2去除載體101及黏合劑層102。應注意,僅出於說明的目的繪示圖式中的定向,且可通過在另一方向上定向的結構執行所述製程。
在圖16中,翻轉封裝結構PK的定向,且在重佈線結構120的第二側S2上方提供連接件160。再次,僅出於說明的目的繪示圖式中的定向,且可通過在另一方向上定向的結構執行所述製程。在一些實施例中,連接件160可為焊球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、其組合(例如附接有焊球的金屬柱)或類似物。連接件160可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,作為實例,連接件160包含共晶材料且可包括焊料凸塊或焊球。在一些實施例中,可執行回焊製程,從而在一些實施例中使連接件160形成部分球形的形狀。或者,連接件160可包括其它形狀。舉例來說,連接件160更可包括非球形導電連接件。在一些實施例中,連接件160包含通過濺 鍍、印刷、電鍍、無電式鍍覆、CVD或類似操作形成的金屬柱(例如銅柱),所述金屬柱上具有或不具有焊料材料。金屬柱可為無焊料的,且具有實質上豎直的側壁或錐形的側壁。在本實施例中,連接件為C4凸塊,但本揭露不限於此。
現參考圖17,執行卸除步驟以從封裝結構PK去除載體103。在一些實施例中,通過使黏合劑層104失去或減小黏合力而使載體103與封裝結構PK分離。接著,將黏合劑層104與載體103一起去除。舉例來說,黏合劑層104可暴露於UV光,以使得黏合劑層104失去或減小黏合力,且因此可從封裝結構PK去除載體103及黏合劑層104。
參看圖17及圖18,接著可將封裝結構PK安裝(例如,框架安裝)到切割帶106上。然後,可(例如,沿切割線DL)單體化或切割圖18中所示的封裝結構PK,由此形成多個封裝PK1,多個封裝PK1中的每一個可與圖19中所示的封裝PK1實質上相同。
現參考圖19,通過連接件160將封裝PK1接合到基板140。在一些實施例中,基板140接合到切割的封裝PK1的重佈線結構120的第二側S2,其中第二側S2與半導體裝置110接合的第一側S1相對。此時,可實質上形成圖19中所示的半導體封裝100。在一些實施例中,借助於連接件160將封裝PK1安裝到基板140,基板140可為封裝、晶粒、印刷電路板、晶片或另一表面。另外,雖然未繪示,但在一些實施例中,額外晶粒可安裝於基板 140上且通過基板140與封裝PK1電性連通。在一些實施例中,基板140是基於絕緣核心(core),例如玻璃纖維增強樹脂核心。示例性核心材料為玻璃纖維樹脂,例如FR4。核心材料的替代物為雙馬來醯亞胺-三嗪(bismaleimide-triazine;BT)樹脂或替代地為其它印刷電路板(printed circuit board;PCB)材料或層。另外,對於基板140,可使用例如味之素製作塗布(ABF)或其它疊層物的層疊層。在替代實施例中,基板140可由例如矽、鍺、金剛石或類似物的半導體材料製成。在一些實施例中,更可使用複合材料,例如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷化鎵砷、磷化鎵銦、其組合以及類似物。另外,基板140可為絕緣體上矽基板(silicon on insulator substrate;SOI基板)。通常,SOI基板包括半導體材料的層,所述半導體材料為例如外延矽、鍺、矽鍺、SOI、絕緣體上矽鍺(silicon germanium on insulator;SGOI)或其組合。
圖20至圖24示出根據本揭露的一些實施例的製造半導體封裝的中間階段的橫截面圖。上文所描述的重佈線結構120的配置更可應用於集成扇出型(integrated fan-out;InFO)封裝以減小重佈線結構120中的應力。因此,如下示出用於製造半導體封裝的方法(製程)中的另一種。參考圖20,在一些實施例中,提供載體101,且可在載體101上設置黏合劑層102。在一些實施例中,載體101可為玻璃載體、陶瓷載體或類似物。黏合劑層102可為光熱轉換脫模塗布(light to heat conversion release coating;LTHC) 或類似物。
另外,如圖20中所示的至少一個半導體裝置110設置在載體101上。在一些實施例中,半導體裝置110可包含邏輯晶粒(例如,中央處理單元、微控制器等)、記憶體晶粒(例如,動態隨機記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒等)、電力管理晶粒(例如,電力管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、信號處理晶粒(例如,數位信號處理(DSP)晶粒)、前端晶粒(例如,模擬前端(AFE)晶粒)、收發器(TRX)晶粒、類似物或其組合。儘管在本文中示出一個半導體裝置110,但更多半導體裝置可放置在載體101上方且彼此齊平。
在一些實施例中,載體101可包含以例如陣列方式佈置的多個晶粒區域。因此,可分別在晶粒區域上設置多個半導體裝置110。通過這種佈置,可同時形成多個封裝。為了簡潔及清楚起見,圖20到圖24中示出封裝中的一個的製造流程。舉例來說,圖20中示出半導體裝置110中的一個。
在一些示例性實施例中,多個導通孔114(例如銅通孔)可形成於半導體裝置110的主動表面(例如,頂表面)上,且電性耦接到半導體裝置110的基板112上的接墊113。在一些實施例中,介電層116a可形成於半導體裝置110的主動表面(例如,頂表面)上,且可覆蓋導通孔114的頂表面。在其它實施例中,介電層116a的頂表面可與導通孔114的頂表面實質上共水平。或者, 可省略介電層116a,且導電通孔114從半導體裝置110的主動表面突出。
現參考圖21,由包封材料130包封載體101上的半導體裝置110。換句話說,在載體101上方提供包封材料130以包封半導體裝置110。在一些實施例中,包封材料130可包含模制化合物、環氧樹脂或樹脂等。在一些實施例中,包封材料130的頂表面可高於介電層116a的頂表面。即,包封材料130覆蓋介電層116a的頂表面。
接著,可執行包含研磨製程的薄化製程,以使包封材料130(及介電層116a)變薄直到顯露出導通孔114的頂表面為止。所得結構繪示於圖21中。歸因於薄化製程,如圖21中所示,導通孔114的頂表面與包封材料130的頂表面及介電層116的頂表面實質上水平。在整個描述中,如圖21中所示的包含半導體裝置110及包封材料130的所得結構稱為包封半導體裝置105,所述包封半導體裝置105在製程中可具有晶圓形式。
接著,參考圖5及圖22,在半導體裝置110及包封材料130上方形成重佈線結構120。重佈線結構120電性連接到半導體裝置110。在一些實施例中,在包封半導體裝置105上方形成重佈線結構120以連接到半導體裝置110的導通孔114。在本實施例中,半導體裝置110的導通孔114可視為先前實施例中的導電凸塊150,其連接重佈線結構120的通孔122。在一個實施例中,重佈線結構120與半導體裝置110的導通孔114及介電層116以及 包封材料130接觸,其間沒有設置任何底部填充物或凸塊。在一些實施例中,可通過沉積導電層、圖案化導電層以形成多個重佈線(例如,重佈線125、重佈線128、重佈線1222)及至少一個導電線(例如,導電線123、導電線127)來形成重佈線結構120。重佈線及導電線至少部分地由介電層(例如,圖5中所示的介電層1221、介電層1241、介電層1261)覆蓋,且介電層填充重佈線與導電線之間的間隙。通孔(例如,通孔122、通孔124、通孔126)分別位於重佈線結構120的層上,且延伸穿過對應介電層以用於使不同層處的重佈線及導電線內連。重佈線及導電線的材料可包含含有鋁、銅、鎢及/或其合金的金屬或金屬合金。
詳細地說,可例如通過濺鍍或另一物理氣相沉積(PVD)製程在載體101上方沉積例如銅、鈦或類似物的晶種層。在晶種層上沉積光阻層且圖案化光阻層,以通過微影暴露出晶種層的部分。圖案用於重佈線結構120上的金屬化層。例如通過無電式鍍覆、電鍍或類似操作在暴露的晶種層上沉積重佈線及導電線的導電材料,例如銅、鋁、類似物或其組合。通過灰化及/或沖洗製程去除光阻層。例如通過濕式或乾式蝕刻去除暴露的晶種層。剩餘的導電材料形成重佈線結構120的金屬化層(例如,圖5中所示的重佈線125、重佈線128、重佈線1222以及導電線123、導電線127)。在金屬化層上方沉積介電層(例如,圖5中所示的介電層1221、介電層1241、介電層1261)。介電層的材料可包含聚合物,例如聚醯亞胺、聚苯並惡唑(PBO)、苯並環丁烯(BCB)、類似物 或其組合。可通過塗布製程、疊層製程、類似製程或其組合來沉積介電層。可使用可接受的微影技術來形成穿過介電層到金屬化層的通孔(例如,圖5中所示的通孔122、通孔124、通孔126)。
可使用與所論述相同或相似的製程來形成後續金屬化層及介電層。可在先前形成的介電層的開口中沉積在後續金屬化層的形成期間沉積的導電材料,以形成用於電性連接相應金屬化層的通孔。在形成最頂部介電層1221之後,形成穿過最頂部介電層1221的通孔122,用於耦接在重佈線125與另一半導體裝置、封裝、晶粒及/或另一基板之間的連接件。應注意,可形成任何數目的金屬化層及介電層,且重佈線結構120在此實施例中示出為實例。
如圖3及圖7中所示出,在一些實施例中,重佈線結構120包含通過導電線123、導電線127彼此連接的通孔122、通孔126、通孔124。另外,從俯視圖看,導電線123與重佈線125之間包含夾角θ,且夾角θ約大於零。換句話說,導電線123與重佈線125彼此不平行或不共線。在具有用於連接通孔的多個導電線的重佈線結構120的實施例中,從俯視圖看,導電線123、導電線127中的相鄰兩個之間包含角度θ1,且角度θ1約大於零。也就是說,導電線123、導電線127中的相鄰兩個不彼此平行或共線。在一些實施例中,角度θ1介在約20度至約90度之間。另外,可通過濺鍍、蒸鍍或無電式鍍覆等在重佈線結構120上形成凸塊下金屬(Under Bump Metallurgy;UBM)層。
通過這種佈置,相較於彼此平行或共線的導電線及重佈線125,重佈線125上的應力可通過通孔122/124/126、導電線123/127傳輸且分佈在通孔122/124/126、導電線123/127上方。換句話說,在熱循環期間,可通過導電線之間的角度θ/θ1的改變來釋放重佈線與半導體裝置之間的某些熱應力,且額外添加的介電層(經添加以用於形成至少一個通孔)更為重佈線結構提供更大可撓性。由此,這種佈置可有助於減小在熱循環(加熱製程)期間重佈線125上的應力,且提高例如本文中所示的集成扇出型(InFO)封裝的半導體封裝的重佈線125的可靠性。
參考圖23,根據一些示例性實施例,在重佈線結構120上設置多個連接件160。在一些實施例中,更可在重佈線結構120上設置至少一個集成被動裝置(integrated passive device;IPD)162。在一些實施例中,連接件160可為焊球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、其組合(例如附接有焊球的金屬柱)或類似物。連接件160可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,作為實例,連接件160包含共晶材料且可包括焊料凸塊或焊球。在一些實施例中,可執行回焊製程,從而在一些實施例中使連接件160形成部分球形的形狀。或者,連接件160可包括其它形狀。舉例來說,連接件160更可包括非球形導電連接件。在一些實施例中,連接件160包含通過濺鍍、印刷、電鍍、無電式鍍覆、CVD或類似操作形成的金屬柱(例 如銅柱),所述金屬柱上具有或不具有焊料材料。金屬柱可為無焊料的,且具有實質上豎直的側壁或錐形的側壁。在本實施例中,連接件為C4凸塊,但本揭露不限於此。連接件160的形成可包含將焊球放置在重佈線結構120上,且接著回焊焊球。在替代實施例中,連接件160的形成可包含執行鍍覆製程以在重佈線結構120上形成焊料區,且接著回焊焊料區。連接件160更可包含也可通過鍍覆形成的導電柱或具有焊料蓋的導電柱。IPD 162可使用例如薄膜及微影處理的標準晶圓製造技術來製造,且可通過例如覆晶接合或打線接合等安裝在重佈線結構120上。
接著,參考圖24,執行卸除步驟以從包封半導體裝置105去除載體101。在一些實施例中,通過使黏合劑層102失去或減小黏合力而使載體101與包封半導體裝置105分離。接著,將黏合劑層102與載體101一起去除。舉例來說,黏合劑層102可暴露於UV光,以使得黏合劑層102失去或減小黏合力,且因此可從封裝結構PK去除載體101及黏合劑層102。
接著,可將所得結構(包含包封半導體裝置105及重佈線結構120)安裝(例如,框架安裝)到切割膠帶(例如,圖18中所示的切割膠帶)上。然後,可(例如沿切割線DL)單體化或切割所得結構,由此形成多個封裝,多個封裝中的每一個可與圖24中所示的封裝實質上相同。接著,通過連接件160將切割的封裝接合到基板140。此時,可實質上形成圖24中所示的半導體封裝100a。在一些實施例中,借助於連接件160將切割的封裝安裝到 基板140,所述基板140可為封裝、晶粒、PCB、晶片或另一表面。另外,雖然未繪示,但在一些實施例中,額外晶粒可安裝於基板140上且通過基板140與切割的封裝電性連通。在一些實施例中,基板140是基於絕緣核心,例如玻璃纖維增強樹脂核心。示例性核心材料為玻璃纖維樹脂,例如FR4。核心材料的替代物為雙馬來醯亞胺-三嗪(BT)樹脂或替代地為其它印刷電路板(PCB)材料或層。另外,對於基板140,可使用例如味之素製作塗布(ABF)或其它疊層物的層疊層。在替代實施例中,基板140可由例如矽、鍺、金剛石或類似物的半導體材料製成。在一些實施例中,更可使用複合材料,例如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷化鎵砷、磷化鎵銦、其組合以及類似物。另外,基板140可為絕緣體上矽基板(SOI基板)。通常,SOI基板包括半導體材料的層,所述半導體材料為例如外延矽、鍺、矽鍺、SOI、絕緣體上矽鍺(SGOI)或其組合。
基於以上論述,可看出本揭露提供各種優點。然而,應理解,並非所有優點都必須在本文中論述,且其它實施例可提供不同優點,且對於所有實施例並不要求特定優點。
更可包含其它特徵及製程。舉例來說,可包含測試結構以輔助對3D封裝或3DIC裝置的驗證測試。測試結構可包含例如在重佈線層中或基板上形成的測試墊,所述基板允許對3D封裝或3DIC的測試、探針及/或探針卡的使用以及類似者。可對中間結構以及最終結構執行驗證測試。另外,本文中所揭露的結構及方法可 與併入已知良好晶粒的中間驗證的測試方法結合使用以提高良率且降低成本。
根據本揭露的一些實施例,一種半導體封裝包含包封半導體裝置及重佈線結構。包封半導體裝置包含由包封材料包封的半導體裝置。重佈線結構上覆於包封半導體裝置且包含多個通孔及重佈線。多個通孔分別位於重佈線結構的不同層上且通過多個導電線彼此連接,其中從俯視圖看,多個導電線中的相鄰兩個之間所夾的角度實質上大於零。重佈線設置在多個導電線之下且連接多個通孔中的對應一個,且通過多個通孔電性連接到半導體裝置。
在一實施例中,所述的半導體封裝更包括接合在所述半導體裝置與所述重佈線結構之間的多個導電凸塊,且所述多個導電凸塊中的一個接合到所述多個通孔中的最上部通孔。
在一實施例中,所述多個導電凸塊中的所述一個最接近於所述半導體裝置的邊緣。
在一實施例中,所述的半導體封裝更包括基板及多個連接件,其中所述重佈線結構通過所述多個連接件接合到所述基板。
在一實施例中,所述角度介於20°至90°之間。
在一實施例中,從俯視圖看,所述多個通孔彼此不重疊。
在一實施例中,接合到所述半導體裝置的所述多個通孔中的一個與所述半導體裝置的最接近邊緣之間的水平距離短於連接所述重佈線的所述多個通孔中的另一個與所述半導體裝置的所述最接近邊緣之間的水平距離。
在一實施例中,從俯視圖看,所述多個導電線中的一個與所述重佈線之間的夾角實質上大於零。
根據本揭露的一些實施例,一種半導體封裝包含半導體裝置及重佈線結構。重佈線結構上覆於所述半導體裝置且包括多個通孔以及重佈線。通孔分別位於所述重佈線結構的不同層上且通過多個導電線彼此連接。重佈線設置在所述多個導電線之下且連接所述多個通孔中的對應一個,其中接合到所述半導體裝置的所述多個通孔中的一個與所述半導體裝置的最接近邊緣之間的水平距離短於連接所述重佈線的所述多個通孔中的另一個與所述半導體裝置的所述最接近邊緣之間的水平距離。
在一實施例中,更包括接合在所述半導體裝置與所述重佈線結構之間的多個導電凸塊,且所述多個導電凸塊中的一個接合到所述多個通孔中的所述一個。
在一實施例中,所述的半導體封裝更包括基板及多個連接件,其中所述重佈線結構通過所述多個連接件接合到所述基板。
在一實施例中,所述多個導電線中的相鄰兩個之間所夾的角度實質上大於零。
在一實施例中,所述角度介於20°至90°之間。
在一實施例中,從俯視圖看,所述多個通孔彼此不重疊。
在一實施例中,從俯視圖看,所述多個導電線中的一個與所述重佈線之間的夾角實質上大於零。
在一實施例中,所述的半導體封裝更包括設置在所述重 佈線結構上方且包封所述半導體裝置的包封材料。
根據本揭露的一些實施例,一種半導體封裝的製造方法包含以下步驟。形成重佈線結構,其中重佈線結構包含通過多個導電線彼此連接的多個通孔及通過多個通孔連接到半導體裝置的重佈線,且從俯視圖看,多個導電線中的相鄰兩個之間所夾的角度實質上大於零。將半導體裝置接合在重佈線結構的第一側上。在重佈線結構上方提供包封材料以包封半導體裝置。將基板接合到重佈線結構的與第一側相對的第二側。
在一實施例中,所述角度介於20°至90°之間。
在一實施例中,通過多個導電凸塊將所述半導體裝置接合到在所述重佈線結構的所述第一側上的所述多個通孔中的最上部通孔。
在一實施例中,通過多個連接件將所述基板接合到所述重佈線結構的所述第二側。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
122、124、126:通孔
123、127:導電線
125:重佈線
150:導電凸塊
D1、D2:水平距離
E1:邊緣

Claims (10)

  1. 一種半導體封裝,包括:包封半導體裝置,包括由包封材料包封的半導體裝置;以及重佈線結構,上覆於所述包封半導體裝置且包括:多個通孔,分別位於所述重佈線結構的不同層上且通過多個導電線彼此連接,其中從俯視圖看,所述多個導電線中的相鄰兩個之間所夾的角度實質上大於零;以及重佈線,設置在所述多個導電線之下且連接所述多個通孔中的對應一個,且通過所述多個通孔電性連接到所述半導體裝置。
  2. 如請求項1所述的半導體封裝,更包括接合在所述半導體裝置與所述重佈線結構之間的多個導電凸塊,且所述多個導電凸塊中的一個接合到所述多個通孔中的最上部通孔,其中所述多個導電凸塊中的所述一個最接近於所述半導體裝置的邊緣。
  3. 如請求項1所述的半導體封裝,更包括基板及多個連接件,其中所述重佈線結構通過所述多個連接件接合到所述基板。
  4. 如請求項1所述的半導體封裝,其中所述角度介於20°至90°之間。
  5. 如請求項1所述的半導體封裝,其中接合到所述半導體裝置的所述多個通孔中的一個與所述半導體裝置的最接近邊緣之間的水平距離短於連接所述重佈線的所述多個通孔中的另一個與所述半導體裝置的所述最接近邊緣之間的水平距離。
  6. 一種半導體封裝,包括:半導體裝置;以及重佈線結構,上覆於所述半導體裝置且包括:多個通孔,分別位於所述重佈線結構的不同層上且通過多個導電線彼此連接;以及重佈線,設置在所述多個導電線之下且連接所述多個通孔中的對應一個,其中從俯視圖看,所述多個導電線中的一個與所述重佈線之間的夾角實質上大於零。
  7. 如請求項6所述的半導體封裝,更包括基板及多個連接件,其中所述重佈線結構通過所述多個連接件接合到所述基板。
  8. 如請求項6所述的半導體封裝,其中所述多個導電線中的相鄰兩個之間所夾的角度實質上介於20°至90°之間。
  9. 如請求項6所述的半導體封裝,其中接合到所述半導體裝置的所述多個通孔中的一個與所述半導體裝置的最接近邊緣之間的水平距離短於連接所述重佈線的所述多個通孔中的另一個與所述半導體裝置的所述最接近邊緣之間的水平距離。
  10. 一種半導體封裝的製造方法,包括:形成重佈線結構,其中所述重佈線結構包括通過多個導電線彼此連接的多個通孔以及重佈線,且從俯視圖看,所述多個導電線中的相鄰兩個之間所夾的角度實質上大於零;將半導體裝置接合在所述重佈線結構的第一側上,其中所述重佈線通過所述多個通孔連接到所述半導體裝置; 在所述重佈線結構上方提供包封材料以包封所述半導體裝置;以及將基板接合到所述重佈線結構的與所述第一側相對的第二側。
TW110121570A 2021-04-15 2021-06-15 半導體封裝及製造半導體封裝的方法 TWI797639B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/232,066 2021-04-15
US17/232,066 US11594477B2 (en) 2021-04-15 2021-04-15 Semiconductor package and method of manufacturing semiconductor package

Publications (2)

Publication Number Publication Date
TW202243175A TW202243175A (zh) 2022-11-01
TWI797639B true TWI797639B (zh) 2023-04-01

Family

ID=82667743

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121570A TWI797639B (zh) 2021-04-15 2021-06-15 半導體封裝及製造半導體封裝的方法

Country Status (3)

Country Link
US (1) US11594477B2 (zh)
CN (1) CN114883289A (zh)
TW (1) TWI797639B (zh)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200418196A (en) * 2003-01-08 2004-09-16 Hamamatsu Photonics Kk Distribution substrate and radiation-ray detector using the same
TW200638471A (en) * 2005-02-18 2006-11-01 Toshiba Kk Wiring board and manufacturing method thereof
TW200839991A (en) * 2007-01-19 2008-10-01 Renesas Tech Corp Semiconductor device and producing method for the same
US20180047571A1 (en) * 2016-08-11 2018-02-15 Advanced Semiconductor Engineering, Inc. Semiconductor device packages and stacked package assemblies including high density interconnections
TW201810565A (zh) * 2016-09-09 2018-03-16 台灣積體電路製造股份有限公司 封裝、半導體元件及封裝的形成方法
US20190333899A1 (en) * 2018-04-30 2019-10-31 SK Hynix Inc. Stack packages including through mold via structures
TW202105636A (zh) * 2019-07-22 2021-02-01 南韓商三星電子股份有限公司 半導體封裝
US20210057326A1 (en) * 2018-12-12 2021-02-25 Intel Corporation Interconnect structure fabricated using lithographic and deposition processes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
KR20110137565A (ko) * 2010-06-17 2011-12-23 삼성전자주식회사 반도체 칩 패키지 및 반도체 칩 패키지의 제조 방법
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US11282776B2 (en) * 2018-02-22 2022-03-22 Xilinx, Inc. High density routing for heterogeneous package integration
US10916519B2 (en) * 2018-06-08 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor package with connection structures including via groups

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200418196A (en) * 2003-01-08 2004-09-16 Hamamatsu Photonics Kk Distribution substrate and radiation-ray detector using the same
TW200638471A (en) * 2005-02-18 2006-11-01 Toshiba Kk Wiring board and manufacturing method thereof
TW200839991A (en) * 2007-01-19 2008-10-01 Renesas Tech Corp Semiconductor device and producing method for the same
US20180047571A1 (en) * 2016-08-11 2018-02-15 Advanced Semiconductor Engineering, Inc. Semiconductor device packages and stacked package assemblies including high density interconnections
TW201810565A (zh) * 2016-09-09 2018-03-16 台灣積體電路製造股份有限公司 封裝、半導體元件及封裝的形成方法
US20190333899A1 (en) * 2018-04-30 2019-10-31 SK Hynix Inc. Stack packages including through mold via structures
US20210057326A1 (en) * 2018-12-12 2021-02-25 Intel Corporation Interconnect structure fabricated using lithographic and deposition processes
TW202105636A (zh) * 2019-07-22 2021-02-01 南韓商三星電子股份有限公司 半導體封裝

Also Published As

Publication number Publication date
US20220336334A1 (en) 2022-10-20
TW202243175A (zh) 2022-11-01
CN114883289A (zh) 2022-08-09
US20230178465A1 (en) 2023-06-08
US11594477B2 (en) 2023-02-28

Similar Documents

Publication Publication Date Title
US11996401B2 (en) Packaged die and RDL with bonding structures therebetween
US11652063B2 (en) Semiconductor package and method of forming the same
TWI708355B (zh) 半導體封裝
US11177201B2 (en) Semiconductor packages including routing dies and methods of forming same
US10629537B2 (en) Conductive vias in semiconductor packages and methods of forming same
US9735129B2 (en) Semiconductor packages and methods of forming the same
CN111799227B (zh) 半导体器件及其形成方法
US20230395490A1 (en) Package structure and method of forming the same
US11482484B2 (en) Symmetrical substrate for semiconductor packaging
TW201906127A (zh) 半導體封裝及其製造方法
KR102415484B1 (ko) 패키지 구조체 및 그 제조 방법
CN113113381A (zh) 封装结构及其形成方法
US20230069031A1 (en) Semiconductor Package and Method
US20230386866A1 (en) Semiconductor Package and Method of Forming Thereof
US20230369274A1 (en) Integrated circuit package and method of forming same
US20220301970A1 (en) Semiconductor package and method of manufacturing semiconductor package
TWI797639B (zh) 半導體封裝及製造半導體封裝的方法
US12033928B2 (en) Manufacturing method of semiconductor package
KR102628146B1 (ko) 반도체 패키지 및 이를 형성하는 방법
US20230377905A1 (en) Dummy through vias for Integrated Circuit Packages and Methods of Forming the Same
US20230387063A1 (en) Integrated circuit package and method of forming same