TWI789538B - Membrane complex and method for producing the same - Google Patents

Membrane complex and method for producing the same Download PDF

Info

Publication number
TWI789538B
TWI789538B TW108123407A TW108123407A TWI789538B TW I789538 B TWI789538 B TW I789538B TW 108123407 A TW108123407 A TW 108123407A TW 108123407 A TW108123407 A TW 108123407A TW I789538 B TWI789538 B TW I789538B
Authority
TW
Taiwan
Prior art keywords
film
carbon
substrate
mentioned
frame
Prior art date
Application number
TW108123407A
Other languages
Chinese (zh)
Other versions
TW202006460A (en
Inventor
川島雄樹
村上睦明
Original Assignee
日商鐘化股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商鐘化股份有限公司 filed Critical 日商鐘化股份有限公司
Publication of TW202006460A publication Critical patent/TW202006460A/en
Application granted granted Critical
Publication of TWI789538B publication Critical patent/TWI789538B/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)

Abstract

本發明之護膜複合體具有碳膜(A)、面接合於該碳膜之單側之膜部(B)、及沿著上述膜部(B)之外緣設置之框部(C),且構成上述框部(C)之元素係於上述膜部(B)中作為其構成元素之至少一部分而包含。較佳為構成上述框部(C)之元素係選自由矽、鋯、鈮、鉬、鈦、及鎢所組成之群中之1種以上。較佳為上述膜部(B)滿足為與框部(C)相同材質之層、或為包含構成框部(C)之元素與碳兩者之層中之至少一者。The coating composite of the present invention has a carbon film (A), a film part (B) surface-bonded to one side of the carbon film, and a frame part (C) arranged along the outer edge of the film part (B), And the element which comprises the said frame part (C) is contained in the said film part (B) as at least a part of its constituent elements. Preferably, the element constituting the frame portion (C) is one or more elements selected from the group consisting of silicon, zirconium, niobium, molybdenum, titanium, and tungsten. Preferably, the film portion (B) is at least one of a layer made of the same material as that of the frame portion (C), or a layer containing both elements constituting the frame portion (C) and carbon.

Description

護膜複合體及其製造方法Membrane complex and method for producing the same

本發明係關於一種護膜複合體及其製造方法。The invention relates to a protective film complex and a manufacturing method thereof.

半導體裝置之微細化逐年不斷發展,線寬45 nm左右之圖案係藉由準分子曝光而達成。近年來,業界亦要求更狹窄之例如線寬32 nm左右以下之圖案,應對於此,業界正研究將曝光之光變更為更短波長之極紫外線(EUV,Extreme Ultra Violet)。 於EUV微影法中,利用經反映曝光圖案之光罩(主光罩、工作光罩等)所反射之EUV使抗蝕劑曝光。並且,於上述光罩中,使用有具備防塵用保護膜之護膜複合體(例如專利文獻1、專利文獻2等)。如圖4所示,專利文獻1及專利文獻2之護膜複合體1係設置於光罩80之圖案形成面者,包含作為防塵膜而發揮功能之護膜25、及設置於該護膜25之外緣之框部40。藉由將護膜複合體1自框部40側接合於光罩80,可保護圖案形成面免受灰塵污染。又,專利文獻1之護膜25為DLC(Diamond-like Carbon,類金剛石碳),專利文獻2之護膜25為石墨烯膜或石墨薄膜,該等護膜25與框部40係利用接著劑70接合。 [先前技術文獻] [專利文獻]The miniaturization of semiconductor devices continues to develop year by year, and the pattern with a line width of about 45 nm is achieved by excimer exposure. In recent years, the industry has also required narrower patterns such as a line width of about 32 nm or less. In response to this, the industry is researching to change the exposure light to a shorter wavelength of extreme ultraviolet (EUV, Extreme Ultra Violet). In EUV lithography, the resist is exposed using EUV reflected by a mask (master mask, working mask, etc.) that reflects the exposure pattern. In addition, in the above photomask, a pellicle composite provided with a protective film for dust prevention is used (for example, Patent Document 1, Patent Document 2, etc.). As shown in FIG. 4 , the pellicle complex 1 of Patent Document 1 and Patent Document 2 is disposed on the pattern forming surface of the photomask 80, and includes a pellicle 25 functioning as a dustproof film, and a pellicle disposed on the pellicle 25. The frame part 40 of the outer edge. By bonding the pellicle composite 1 to the photomask 80 from the frame portion 40 side, the pattern formation surface can be protected from dust. In addition, the protective film 25 of Patent Document 1 is DLC (Diamond-like Carbon, diamond-like carbon), and the protective film 25 of Patent Document 2 is a graphene film or graphite film, and the protective film 25 and the frame portion 40 are made of an adhesive 70 engagement. [Prior Art Literature] [Patent Document]

專利文獻1:WO2014/188710號說明書 專利文獻2:日本專利特開2015-18228號公報Patent Document 1: Specification WO2014/188710 Patent Document 2: Japanese Patent Laid-Open No. 2015-18228

[發明所欲解決之問題][Problem to be solved by the invention]

然而,如上所述之護膜複合體於耐久性、釋氣產生、耐氫自由基性等方面具有課題。本發明係著眼於如上所述之情況而成者,其目的在於改善耐久性、釋氣產生、耐氫自由基性中之至少一者(較佳為全部)。 [解決問題之技術手段]However, the above-mentioned pellicle complex has problems in terms of durability, outgassing, hydrogen radical resistance, and the like. The present invention is made focusing on the above situation, and its purpose is to improve at least one (preferably all) of durability, outgassing, and hydrogen radical resistance. [Technical means to solve the problem]

本發明者等人為了解決上述課題而反覆進行努力研究,結果發現,耐久性之降低或釋氣之產生係由接著劑所導致,並且將護膜之一部分設為碳膜,於該碳膜之框體側形成由特定之元素所構成之膜,若將兩者進行面接合,則即便不使用接著劑,亦可將兩者間之剝離強度維持為特定以上,亦可提高護膜之耐氫自由基性,並且亦可提高耐久性、減少釋氣等,從而完成了本發明。 即,本發明如下所述。 [1]一種護膜複合體,其特徵在於具有:碳膜(A)、面接合於該碳膜之單側之膜部(B)、及沿著上述膜部(B)之外緣設置之框部(C),且構成上述框部(C)之元素係於上述膜部(B)中作為其構成元素之至少一部分而包含。 [2]如[1]中所記載之護膜複合體,其中構成上述框部(C)之元素係選自由矽、鋯、鈮、鉬、鈦、及鎢所組成之群中之1種以上。 [3]如[1]或[2]中所記載之護膜複合體,其中上述膜部(B)滿足為與框部(C)相同材質之層、或為包含構成框部(C)之元素與碳兩者之層中之至少一者。 [4]如[1]至[3]中任一項所記載之護膜複合體,其中上述碳膜(A)與上述膜部(B)直接接合。 [5]如[1]至[4]中任一項所記載之護膜複合體,其中上述碳膜(A)為碳質膜或石墨膜(A1)。 [6]一種如[1]至[5]中任一項所記載之護膜複合體之製造方法,其中對在包含與上述框部(C)相同材料之基板(C')之單面積層有碳化原料膜(A')之積層體進行加熱而將上述碳化原料膜(A')製成碳膜(A)後,自基板(C')側蝕刻上述積層體,殘留基板(C')之外緣使之厚於其以外之部分,藉此形成上述框部(C),另一方面,亦殘留外緣部以外之部分而形成上述膜部(B)。 [7]一種如[1]至[5]中任一項所記載之護膜複合體之製造方法,其中對在包含與上述框部(C)相同材料之基板(C')之單面積層有碳化原料膜(A')之積層體進行加熱,使上述碳化原料膜(A')變成碳質膜或石墨膜(A1)、及包含構成框部(C)之元素及碳兩者之層(B2)之2層,而形成上述碳膜(A)與上述膜部(B),其後, 殘留基板(C')之外緣作為上述框部(C),並且自基板(C')側蝕刻上述積層體。 [8]如[7]中所記載之護膜複合體之製造方法,其中對作為框部(C)所殘留之部分以外之基板進行蝕刻之同時殘留,並將所殘留之部分與上述層(B2)一併設為上述膜部(B)。 [9]如[7]中所記載之護膜複合體之製造方法,其中藉由上述蝕刻完全地去除作為框部(C)所殘留之部分以外之基板(C')。 [發明之效果]The inventors of the present invention have made intensive research to solve the above-mentioned problems. As a result, they have found that the reduction in durability or the generation of outgassing is caused by the adhesive, and a part of the coating is made of a carbon film, and the carbon film is placed on top of the carbon film. A film composed of specific elements is formed on the frame side, and if the two are surface-bonded, even without using an adhesive, the peel strength between the two can be maintained at a specified level or higher, and the hydrogen resistance of the coating can also be improved. Free radical property, and can also improve durability, reduce outgassing, etc., thereby completing the present invention. That is, the present invention is as follows. [1] A protective film composite, characterized in that it has: a carbon film (A), a film part (B) surface-bonded to one side of the carbon film, and a film part (B) arranged along the outer edge of the above-mentioned film part (B). The frame portion (C), and elements constituting the frame portion (C) are contained in the film portion (B) as at least a part of its constituent elements. [2] The coating composite as described in [1], wherein the element constituting the frame portion (C) is one or more elements selected from the group consisting of silicon, zirconium, niobium, molybdenum, titanium, and tungsten . [3] The pellicle composite as described in [1] or [2], wherein the above-mentioned film part (B) satisfies a layer of the same material as the frame part (C), or includes a material constituting the frame part (C). At least one of layers of both elements and carbon. [4] The coating composite according to any one of [1] to [3], wherein the carbon film (A) is directly bonded to the film part (B). [5] The coating complex according to any one of [1] to [4], wherein the carbon film (A) is a carbon film or a graphite film (A1). [6] A method of manufacturing the pellicle composite as described in any one of [1] to [5], wherein the single-layer layer on the substrate (C') made of the same material as the frame portion (C) is After heating the laminate with the carbonized raw material film (A') to form the carbonized raw material film (A') into a carbon film (A), the laminated body is etched from the substrate (C') side to leave the substrate (C') The frame part (C) is formed by making the outer edge thicker than the other part, and the film part (B) is formed by leaving the part other than the outer edge part. [7] A method of manufacturing the pellicle composite as described in any one of [1] to [5], wherein the single-layer layer on the substrate (C') made of the same material as the frame portion (C) is Heating the layered body with the carbonized raw material film (A') to turn the carbonized raw material film (A') into a carbonaceous film or a graphite film (A1) and a layer containing both the elements constituting the frame (C) and carbon 2 layers of (B2), forming the above-mentioned carbon film (A) and the above-mentioned film part (B), thereafter, The outer edge of the substrate (C') is left as the frame portion (C), and the above-mentioned laminate is etched from the substrate (C') side. [8] The method for producing a pellicle composite as described in [7], wherein the substrate other than the remaining portion of the frame portion (C) is etched while remaining, and the remaining portion is combined with the above-mentioned layer ( B2) is collectively referred to as the above-mentioned film part (B). [9] The method for producing a pellicle composite as described in [7], wherein the substrate (C') other than the remaining portion of the frame portion (C) is completely removed by the etching. [Effect of Invention]

根據本發明之護膜複合體,可改善耐久性、釋氣產生、耐氫自由基性中之至少一者(較佳為全部)。According to the coating composite of the present invention, at least one (preferably all) of durability, outgassing, and hydrogen radical resistance can be improved.

1.用語 對本說明書中所使用之用語之含義進行說明。 (1)極紫外線(EUV,Extreme Ultra Violet) 於本說明書中,EUV係指波長為5 nm~30 nm,較佳為5 nm~13.5 nm之光。本發明之護膜複合體較佳為用於利用該EUV之微影法。1. Terms Explain the meaning of terms used in this manual. (1) Extreme Ultraviolet (EUV, Extreme Ultra Violet) In this specification, EUV refers to light with a wavelength of 5 nm to 30 nm, preferably 5 nm to 13.5 nm. The pellicle composite of the present invention is preferably used in lithography using the EUV.

(2)護膜複合體 護膜複合體係用於保護反映曝光圖案之光罩之圖案面,包含護膜、及設置於該護膜之外緣之框部(護膜框)。護膜之形狀並無特別限定,可自圓形、橢圓形、多邊形等中適當加以選擇。較佳之形狀為正方形、長方形等四邊形。(2) Membrane Complex The pellicle composite system is used to protect the pattern surface of the photomask reflecting the exposure pattern, including a pellicle and a frame (pellet frame) arranged on the outer edge of the pellicle. The shape of the pellicle is not particularly limited, and may be appropriately selected from circular, elliptical, polygonal, and the like. Preferable shapes are quadrilaterals such as squares and rectangles.

(3)護膜 護膜係指護膜複合體之膜部。於本發明中,其係由碳膜(A)與膜部(B)構成。關於膜部(B),於下文中加以說明。(3) protective film The pellicle refers to the membrane portion of the pellicle complex. In the present invention, it is composed of a carbon film (A) and a film portion (B). About film part (B), it demonstrates below.

(4)碳膜(A) 所謂碳膜(A)係指實質上由碳原子所構成之膜,於本發明中係用作上述護膜之構成構件。碳膜之厚度例如為1000 nm以下,較佳為5 nm以上且100 nm以下,更佳為5 nm以上且50 nm以下,尤佳為5 nm以上且30 nm以下。 碳膜之面積例如為100 cm2 以上,較佳為120 cm2 以上,更佳為150 cm2 以上且3000 cm2 以下。碳膜之形狀並無特別限定,較佳為長方形或正方形,一邊之長度例如為10 cm以上,較佳為15 cm以上,更佳為20 cm以上。波長13.5 nm之EUV之碳膜透過率例如為55%以上且97%以下,較佳為74%以上且97%以下,更佳為84%以上且97%以下。 碳膜之表面粗糙度(Sa)例如為0.1 nm以上且500 nm以下。表面粗糙度較佳為0.2 nm以上,更佳為0.5 nm以上,進而較佳為1 nm以上,又,較佳為200 nm以下,更佳為100 nm以下,進而較佳為50 nm以下。再者,表面粗糙度Sa係指基於ISO 25178求出之算術平均高度。表面粗糙度越小,則EUV透過率越提高。(4) Carbon film (A) The carbon film (A) refers to a film substantially composed of carbon atoms, and is used as a constituent member of the above coating in the present invention. The thickness of the carbon film is, for example, not more than 1000 nm, preferably not less than 5 nm and not more than 100 nm, more preferably not less than 5 nm and not more than 50 nm, especially preferably not less than 5 nm and not more than 30 nm. The area of the carbon film is, for example, not less than 100 cm 2 , preferably not less than 120 cm 2 , more preferably not less than 150 cm 2 and not more than 3000 cm 2 . The shape of the carbon film is not particularly limited, but it is preferably a rectangle or a square, and the length of one side is, for example, more than 10 cm, preferably more than 15 cm, and more preferably more than 20 cm. The transmittance of the carbon film for EUV at a wavelength of 13.5 nm is, for example, not less than 55% and not more than 97%, preferably not less than 74% and not more than 97%, more preferably not less than 84% and not more than 97%. The surface roughness (Sa) of the carbon film is, for example, not less than 0.1 nm and not more than 500 nm. The surface roughness is preferably at least 0.2 nm, more preferably at least 0.5 nm, further preferably at least 1 nm, and preferably at most 200 nm, more preferably at most 100 nm, further preferably at most 50 nm. In addition, the surface roughness Sa means the arithmetic mean height obtained based on ISO25178. The smaller the surface roughness, the higher the EUV transmittance.

碳膜包含碳質膜、類金剛石碳膜(DLC)、石墨烯膜、石墨膜等,上述碳質膜包含無定形碳膜、非晶形碳膜等。較佳之碳膜為碳質膜、石墨烯膜、石墨膜等,更佳為碳質膜、石墨膜(A1)。The carbon film includes a carbon film, a diamond-like carbon film (DLC), a graphene film, a graphite film, and the like, and the carbon film includes an amorphous carbon film, an amorphous carbon film, and the like. Preferred carbon films are carbonaceous films, graphene films, graphite films, etc., more preferably carbonaceous films and graphite films (A1).

碳質膜與石墨烯膜或石墨膜可基於雷射拉曼測定結果而加以區分。於雷射拉曼分光之情形時,於1575~1600 cm-1 附近出現由石墨構造引起之G頻帶,於1350~1360 cm-1 附近出現由非晶形碳構造引起之D頻帶。拉曼光譜中之G頻帶強度(I(G))與D頻帶(I(D))之強度之比(I(D)/I(G);D/G頻帶強度比)超過0.5者被分類為碳質膜,D/G頻帶強度比為0.5以下者被分類為石墨烯膜或石墨膜。A carbonaceous film can be distinguished from a graphene film or a graphite film based on the results of laser Raman measurement. In the case of laser Raman spectroscopy, the G band caused by the graphite structure appears around 1575~1600 cm -1 , and the D frequency band caused by the amorphous carbon structure appears around 1350~1360 cm -1 . In the Raman spectrum, the ratio of the intensity of the G band (I(G)) to the intensity of the D band (I(D)) (I(D)/I(G); D/G band intensity ratio) exceeds 0.5 and is classified A carbonaceous film with a D/G band intensity ratio of 0.5 or less is classified as a graphene film or a graphite film.

碳質膜之D/G頻帶強度比較佳為2.5以下,更佳為0.7以上且1.5以下,尤佳為0.9以上且1.3以下。藉由蒸鍍或濺鍍等方法製作之典型之非晶形碳即玻璃石墨(Glassy carbon)之D/G頻帶強度比為1.8~2.0左右。D/G頻帶強度比為1.5以下之碳質膜可藉由適當之方法獲取或製造,例如,較佳為藉由使芳香族聚醯亞胺膜碳化而製造。上述芳香族聚醯亞胺膜例如較佳為利用化學固化法之膜,該化學固化法係使用以乙酸酐等酸酐為代表之脫水劑、或甲基吡啶、喹啉、異喹啉、吡啶等三級胺類作為醯亞胺化促進劑,對於將均苯四甲酸二酐與4,4-二胺基二苯醚(ODA)、對苯二胺(PDA)加以組合而製作之聚醯胺酸進行醯亞胺轉化。芳香族聚醯亞胺膜之碳化處理(熱處理)只要於氮氣、氬氣或氬氣與氮氣之混合氣體等惰性氣體氣氛下,於900~2000℃左右下進行15~30分鐘即可。升溫至碳化處理溫度之升溫速度並無特別限定,例如為5℃/min以上且15℃/min以下。碳化熱處理後,只要藉由自然冷卻等冷卻至室溫即可。The D/G band intensity ratio of the carbonaceous film is preferably 2.5 or less, more preferably 0.7 or more and 1.5 or less, particularly preferably 0.9 or more and 1.3 or less. The D/G frequency band intensity ratio of typical amorphous carbon (Glassy carbon) produced by vapor deposition or sputtering is about 1.8~2.0. A carbonaceous film having a D/G band intensity ratio of 1.5 or less can be obtained or produced by an appropriate method, for example, preferably produced by carbonizing an aromatic polyimide film. The above-mentioned aromatic polyimide film is, for example, preferably a film using a chemical curing method using a dehydrating agent represented by an acid anhydride such as acetic anhydride, or picoline, quinoline, isoquinoline, pyridine, etc. Tertiary amines are used as imidization accelerators for polyamides produced by combining pyromellitic dianhydride with 4,4-diaminodiphenyl ether (ODA) and p-phenylenediamine (PDA). acid for imide conversion. The carbonization treatment (heat treatment) of the aromatic polyimide film only needs to be carried out at 900-2000°C for 15-30 minutes in an inert gas atmosphere such as nitrogen, argon or a mixture of argon and nitrogen. The rate of temperature increase to the carbonization treatment temperature is not particularly limited, and is, for example, 5° C./min or more and 15° C./min or less. After carbonization heat treatment, what is necessary is just to cool to room temperature by natural cooling etc.

碳質膜之厚度可自與上述碳膜之厚度同樣之範圍加以選擇。碳質膜之表面粗糙度(Sa)亦與上述碳膜之表面粗糙度(Sa)同樣。The thickness of the carbonaceous film can be selected from the same range as the thickness of the above-mentioned carbon film. The surface roughness (Sa) of the carbonaceous film is also the same as the surface roughness (Sa) of the above-mentioned carbon film.

石墨烯膜或石墨膜之D/G頻帶強度比為0以上且0.5以下,較佳為0以上且0.1以下,更佳為0以上且0.05以下。The D/G band intensity ratio of the graphene film or the graphite film is 0 to 0.5, preferably 0 to 0.1, more preferably 0 to 0.05.

上述石墨烯膜可列舉石墨烯單層膜或厚度未達5 nm之石墨烯多層膜等。石墨膜為厚度5 nm以上之膜,其厚度之較佳之範圍係與碳膜之較佳之範圍同樣。 石墨膜之表面粗糙度(Sa)係與上述碳膜之表面粗糙度(Sa)同樣。Examples of the above-mentioned graphene film include a graphene single-layer film or a graphene multilayer film with a thickness of less than 5 nm. The graphite film is a film with a thickness of 5 nm or more, and the preferred range of its thickness is the same as that of the carbon film. The surface roughness (Sa) of the graphite film is the same as the surface roughness (Sa) of the above-mentioned carbon film.

石墨烯膜或石墨膜可藉由以高於碳化溫度之溫度、例如超過2000℃且3300℃以下、較佳為2200℃以上且3200℃以下、更佳為2400℃以上且3000℃以下對由上述芳香族聚醯亞胺膜獲得之碳質膜進行熱處理而獲得。Graphene film or graphite film can be formed by the temperature higher than the carbonization temperature, for example, more than 2000°C and less than 3300°C, preferably more than 2200°C and less than 3200°C, more preferably more than 2400°C and less than 3000°C. The carbonaceous film obtained from the aromatic polyimide film is obtained by heat treatment.

(5)護膜框 護膜框係指形成於護膜之框部,且用於利用護膜複合體覆蓋光罩。護膜框為了使曝光裝置內與護膜複合體內之氣壓固定,可具有通氣孔。 作為上述護膜框之材質,可為銫、鉀、鈉、銣等與碳膜形成層間化合物之元素;鎳、鉍、鐵等使碳溶解(固溶)或溶解於碳中之元素等,較佳為含有包含矽、鋯、鈮、鉬、鈦、及鎢之元素。矽、鉬、鈦、鎢等係形成碳化金屬(金屬碳化物)之元素,優於鋯、鈮等不易與碳原子反應之元素。該等元素較佳為構成框之元素(惟碳、氫、氧、氮除外)中之最多元素。作為護膜框之材質,較佳為矽、鋯、鈮、鉬、鈦、及鎢等半金屬或金屬,更佳為鋯、鈮、鉬、鈦、及鎢等金屬。(5) Protective film frame The pellicle frame refers to the frame portion formed on the pellicle and used to cover the photomask with the pellicle complex. The pellicle frame may have ventilation holes in order to stabilize the air pressure in the exposure device and in the pellicle complex. As the material of the above-mentioned protective film frame, elements such as cesium, potassium, sodium, rubidium and the like that form interlayer compounds with the carbon film; elements such as nickel, bismuth, and iron that dissolve (solid solution) or dissolve in carbon, etc. Preferably, it contains elements including silicon, zirconium, niobium, molybdenum, titanium, and tungsten. Silicon, molybdenum, titanium, tungsten, etc. are elements that form metal carbides (metal carbides), which are superior to elements such as zirconium and niobium that are not easy to react with carbon atoms. These elements are preferably the most numerous elements among the elements constituting the frame (excluding carbon, hydrogen, oxygen, and nitrogen). The material of the protective film frame is preferably a semi-metal or metal such as silicon, zirconium, niobium, molybdenum, titanium, and tungsten, more preferably a metal such as zirconium, niobium, molybdenum, titanium, and tungsten.

2.護膜複合體 以下,一面參照圖示例,一面對本發明之護膜複合體1詳細地進行說明。再者,對相同之構成部分標註相同之符號而避免重複說明。 圖1(a)係表示本發明之護膜複合體1之一例之概略剖視圖,作為該一例之護膜複合體1(2)具有作為碳膜(A)20之碳質膜(A1)21、及沿著該碳膜20(21)之外緣設置之框部(C)40。又,上述護膜複合體2具備面接合於該碳膜(A)20之單側(存在框部(C)40之側)之膜部(B)30,且圖示例之膜部(B)30係由與框部(C)40相同材質所構成且與框部(C)40成為一體之膜部(以下,稱為「同質膜」(B1))31。若使膜部(B)30(31)與碳膜(A)20(21)面接合,則兩者被直接接合,故而即便不使用接著劑,亦可將兩者間之剝離強度維持為特定以上。又,可防止由接著劑引起之耐久性降低、釋氣產生等。進而碳膜20(21)被膜部30(31)保護,故而亦可提高耐氫自由基性。2. Cuticle complex Hereinafter, the pellicle complex 1 of the present invention will be described in detail with reference to the illustrated examples. In addition, the same code|symbol is attached|subjected to the same component, and duplication of description is avoided. Fig. 1 (a) is a schematic sectional view showing an example of the pellicle complex 1 of the present invention, as the pellicle complex 1 (2) of the example has a carbonaceous film (A1) 21 as a carbon film (A) 20, And the frame portion (C) 40 provided along the outer edge of the carbon film 20 (21). Moreover, the above-mentioned pellicle composite 2 has a film portion (B) 30 surface-bonded to one side of the carbon film (A) 20 (the side where the frame portion (C) 40 exists), and the film portion (B) of the illustrated example ) 30 is a film part (hereinafter referred to as "homogeneous film" (B1)) 31 made of the same material as the frame part (C) 40 and integrated with the frame part (C) 40 . If the film portion (B) 30(31) and the carbon film (A) 20(21) are bonded, the two are directly bonded, so even without using an adhesive, the peel strength between the two can be maintained at a specified level. above. In addition, it is possible to prevent durability reduction, outgassing, etc. caused by adhesives. Furthermore, since the carbon film 20 ( 21 ) is protected by the film portion 30 ( 31 ), hydrogen radical resistance can also be improved.

碳膜(A)20之厚度(圖示例中,為碳質膜(A1)21之厚度)係如用語之欄中所說明。膜部(B)30之厚度(圖示例中,為同質膜(B1)31之厚度)例如為0.5 nm以上且100 nm以下,較佳為0.5 nm以上且10 nm以下,更佳為0.5 nm以上且5 nm以下。The thickness of the carbon film (A) 20 (in the illustrated example, the thickness of the carbon film (A1) 21) is as described in the column of terms. The thickness of the film portion (B) 30 (in the illustrated example, the thickness of the homogeneous film (B1) 31 ) is, for example, not less than 0.5 nm and not more than 100 nm, preferably not less than 0.5 nm and not more than 10 nm, more preferably 0.5 nm Above and below 5 nm.

碳膜(A)20之表面粗糙度(圖示例中,為碳質膜(A1)21之表面粗糙度)係如用語之欄中所說明。此處所述之表面粗糙度係指碳膜(A)20之外表面側(與和膜部30之接觸面相反之側)之粗糙度。 膜部(B)30(圖示例中,為同質膜(B1)31)之外表面之表面粗糙度(Sa)(即不與碳膜(A)20接觸之側之表面粗糙度)例如為0.1 nm以上1000 nm以下,較佳為0.1 nm以上且500 nm以下,更佳為0.1 nm以上且200 nm以下。表面粗糙度越小,則EUV透過率越提高。 碳膜(A)20與膜部(B)30之接合強度只要為於護膜複合體1之製造或使用時兩者不會剝離之程度之強度便足夠。較佳為於進行剝離試驗時與界面破壞相比優先產生材料破壞(例如碳膜(A)20之材料破壞)之程度之強度。The surface roughness of the carbon film (A) 20 (in the illustrated example, the surface roughness of the carbonaceous film (A1) 21 ) is as described in the column of terms. The surface roughness mentioned here refers to the roughness of the outer surface side (the side opposite to the contact surface with the film portion 30 ) of the carbon film (A) 20 . The surface roughness (Sa) of the outer surface of the film portion (B) 30 (in the example of the figure, the homogeneous film (B1) 31) (that is, the surface roughness of the side not in contact with the carbon film (A) 20 ) is, for example, 0.1 nm to 1000 nm, preferably 0.1 nm to 500 nm, more preferably 0.1 nm to 200 nm. The smaller the surface roughness, the higher the EUV transmittance. The bonding strength between the carbon film (A) 20 and the film portion (B) 30 is sufficient as long as the strength of the bonding between the two is not peeled off during manufacture or use of the pellicle composite 1 . Preferably, the strength is such that material failure (for example, material failure of the carbon film (A) 20 ) occurs preferentially over interface failure during the peeling test.

上述膜部(B)30無需為與框部(C)40相同之材質,只要包含構成上述框部(C)40之元素作為其構成元素之至少一部分即可。例如,關於圖1(b)之護膜複合體3(1),上述膜部(B)30成為2層構造,該2層構造係由框部(C)40側之同質膜(B1)31、及碳質膜(A1)21側之膜(以下,稱為「含框部元素、碳之膜」(B2))35所構成。並且,該膜(B2)35包含構成框部(C)40之元素與碳之兩者。膜(B2)35藉由包含碳,進而改善與碳膜(A)20(圖示例中,為碳質膜(A1)21)之接合性,膜(B2)35藉由包含框部(C)40之構成元素,進而改善與框部(C)40之接合性。The film portion (B) 30 does not need to be made of the same material as the frame portion (C) 40 , as long as it contains elements constituting the frame portion (C) 40 as at least a part of its constituent elements. For example, regarding the pellicle complex 3 (1) of Fig. 1(b), the above-mentioned film portion (B) 30 has a two-layer structure, and the two-layer structure is composed of a homogeneous film (B1) 31 on the side of the frame portion (C) 40 , and a film on the side of the carbonaceous film (A1) 21 (hereinafter referred to as "film containing frame elements and carbon" (B2)) 35. And this film (B2) 35 contains both the element which comprises the frame part (C)40, and carbon. The film (B2) 35 improves the bondability with the carbon film (A) 20 (in the illustrated example, the carbon film (A1) 21) by including carbon, and the film (B2) 35 improves the bondability with the carbon film (A1) 21 by including the frame portion (C ) 40 constituent elements, thereby improving the bondability with the frame portion (C) 40 .

作為含框部元素、碳之膜(B2)35,根據構成框部之元素可列舉各種膜。例如於構成框部之元素(以下,有時稱為「框部元素」)為金屬元素或半金屬元素之情形時,可列舉碳化金屬膜、碳化半金屬膜,該等可為包含金屬碳化物、半金屬碳化物等之層。又,可為框部元素與碳膜之含層間化合物之層,亦可為碳固溶於框部元素之層。較佳為碳化金屬膜。 碳化金屬膜之生成可使用利用掃描穿透式電子顯微鏡(STEM,Scanning transmission electron microscopy)測定與能量分散型X射線分析(EDS,Energy dispersive X-ray spectrometry)獲得之元素分佈之映射而進行測定。測定係使用利用雷射刻號機切出數毫米見方之護膜複合體。於將所切出之護膜複合體包埋於環氧樹脂等樹脂後,以露出護膜複合體之剖面之方式連同樹脂一起進行切削,加工為剖面TEM(Transmission Electron Microscope,穿透式電子顯微鏡)測定用之樣品。剖面之切削不為對剖面形狀造成影響之研磨或切削等機械之處理,較理想為例如聚焦離子束(FIB,Focused Ion Beam)或電子束(EB,Electron Beam)、Ar離子束(Ar Ion Beam)等能夠以高精度進行剖面加工之方法。對經剖面加工之樣品進行STEM/EDS分析,而進行碳化金屬膜之生成確認與膜厚測定。同時亦可進行碳膜與同質膜之確認及膜厚測定。As the film (B2) 35 containing a frame element and carbon, various films can be exemplified depending on elements constituting the frame. For example, when the element constituting the frame (hereinafter, sometimes referred to as "frame element") is a metal element or a semi-metal element, a metal carbide film or a semi-metal carbide film may be mentioned, and these may include metal carbides. , semi-metallic carbide, etc. layer. Also, it may be a layer containing an interlayer compound of a frame element and a carbon film, or may be a layer in which carbon is solid-dissolved in a frame element. A metal carbide film is preferred. The formation of the metal carbide film can be measured by mapping the element distribution obtained by scanning transmission electron microscopy (STEM, Scanning transmission electron microscopy) and energy dispersive X-ray analysis (EDS, Energy dispersive X-ray spectrometry). The measurement system uses a laser engraving machine to cut out a few millimeters square film composite. After embedding the cut-out pellicle complex in resin such as epoxy resin, it is cut together with the resin to expose the cross section of the pellicle complex, and processed into a cross-sectional TEM (Transmission Electron Microscope, transmission electron microscope) ) samples for measurement. The cutting of the cross-section is not a mechanical treatment such as grinding or cutting that affects the shape of the cross-section. It is more ideal such as focused ion beam (FIB, Focused Ion Beam) or electron beam (EB, Electron Beam), Ar ion beam (Ar Ion Beam) ) and other methods that can perform cross-section processing with high precision. STEM/EDS analysis is performed on the cross-sectioned samples to confirm the formation of the metal carbide film and measure the film thickness. At the same time, it can also confirm the carbon film and homogeneous film and measure the film thickness.

於膜部(B)30為2層構造時,2層之合計厚度係與上述膜部(B)30之厚度相同。又,2層構造之膜部(B)30中,框部(C)40側之膜(圖示例中,為同質膜(B1)31)之厚度例如為0.3 nm以上且60 nm以下,較佳為0.3 nm以上且6 nm以下,更佳為0.3 nm以上且3 nm以下。2層構造之膜部(B)30中,碳膜(A)20側之膜(圖示例中,為含框部元素、碳之膜(B2)35)之厚度例如為0.2 nm以上且40 nm以下,較佳為0.2 nm以上且4 nm以下,更佳為0.2 nm以上且2 nm以下。When the film part (B) 30 has a two-layer structure, the total thickness of the two layers is the same as the thickness of the above-mentioned film part (B) 30 . In addition, in the film portion (B) 30 of the two-layer structure, the thickness of the film on the side of the frame portion (C) 40 (in the illustrated example, the homogeneous film (B1) 31) is, for example, 0.3 nm to 60 nm. Preferably, it is not less than 0.3 nm and not more than 6 nm, more preferably not less than 0.3 nm and not more than 3 nm. In the film part (B) 30 of the two-layer structure, the film on the side of the carbon film (A) 20 (in the illustrated example, the film (B2) 35 containing frame element and carbon) has a thickness of, for example, 0.2 nm or more and 40 nm or less, preferably 0.2 nm or more and 4 nm or less, more preferably 0.2 nm or more and 2 nm or less.

圖1(c)中所例示之護膜複合體4之膜部(B)30包含含框部元素、碳之膜(B2)35。如該圖示例所示,同質膜(B1)31並非必需。The film portion (B) 30 of the pellicle composite 4 illustrated in FIG. 1( c ) includes a film ( B2 ) 35 including a frame element and carbon. Homogeneous membrane (B1) 31 is not necessary, as shown in the example of this figure.

圖1(d)之護膜複合體5係將圖1(a)之護膜複合體2之碳質膜(A1)21變更為石墨膜(A1)22所得者。圖1(e)之護膜複合體6係將圖1(b)之護膜複合體3之碳質膜(A1)21變更為石墨膜(A1)22所得者。圖1(f)之護膜複合體7係將圖1(c)之護膜複合體4之碳質膜(A1)21變更為石墨膜(A1)22所得者。如該等圖示例所示,碳膜(A)20可為碳質膜(A1)21,亦可為石墨膜(A1)22。進而,如用語之欄中所說明,只要為實質上由碳原子所構成之膜,則可使用各種膜作為碳膜(A)20。The pellicle composite 5 of FIG. 1( d ) is obtained by changing the carbonaceous film ( A1 ) 21 of the pellicle composite 2 of FIG. 1 ( a ) into a graphite film ( A1 ) 22 . The pellicle complex 6 of FIG. 1( e ) is obtained by changing the carbonaceous film ( A1 ) 21 of the pellicle complex 3 of FIG. 1 ( b ) into a graphite film ( A1 ) 22 . The pellicle complex 7 of Fig. 1(f) is obtained by changing the carbonaceous film (A1) 21 of the pellicle complex 4 of Fig. 1(c) into a graphite film (A1) 22. As shown in these figures, the carbon film (A) 20 can be a carbon film (A1) 21 or a graphite film (A1) 22 . Furthermore, as described in the column of terms, as long as the film is substantially composed of carbon atoms, various films can be used as the carbon film (A) 20 .

3.製造方法 圖2係用以說明圖1(a)~(c)之護膜複合體2~4之製造方法之概略流程圖。於該製造例中,首先於具有與框部40相同材質之基板(C')45之單面積層碳化原料膜(A')50而製造第1積層體60。作為碳化原料膜(A')50,可使用公知之碳化原料,較佳為可使用上述芳香族聚醯亞胺膜。3. Manufacturing method Fig. 2 is a schematic flow chart for illustrating the manufacturing method of the pellicle complexes 2-4 of Fig. 1(a)-(c). In this production example, first, the first laminate 60 is produced on a single-layer carbonized raw material film (A') 50 having a substrate (C') 45 made of the same material as the frame portion 40 . As the carbonization raw material film (A') 50, a well-known carbonization raw material can be used, Preferably the above-mentioned aromatic polyimide film can be used.

於碳化溫度(於碳化原料膜(A')50為芳香族聚醯亞胺膜之情形時,例如為900~2000℃左右)下對所獲得之第1積層體60進行加熱(碳質化步驟:S11),藉此,可獲得碳化原料膜(A')50變成碳質膜(A1)21之第2積層體61。藉由一面遮蔽該第2積層體61之基板(C')之外緣部,一面進行蝕刻(蝕刻步驟:S13),形成框部(C)40與膜部(B)30,可製造護膜複合體2。於膜部(B)30,藉由蝕刻進行削除,並且基板(C')之一部分作為同質膜(B1)31而殘留。蝕刻方法之詳細情況係於下文中加以說明。The obtained first laminate 60 is heated at a carbonization temperature (when the carbonization raw material film (A') 50 is an aromatic polyimide film, for example, about 900 to 2000° C.) (carbonization step : S11), thereby, the second laminated body 61 in which the carbonized raw material film (A') 50 becomes the carbonaceous film (A1) 21 can be obtained. By masking the outer edge of the substrate (C') of the second laminate 61 and performing etching (etching step: S13) to form the frame portion (C) 40 and the film portion (B) 30, a protective film can be produced. Complex 2. The film portion (B) 30 is removed by etching, and a part of the substrate (C′) remains as the homogeneous film (B1) 31 . Details of the etching method are described below.

於第1積層體60之碳質化步驟中,根據碳質化條件(於碳化原料膜(A')50為芳香族聚醯亞胺之情形時,例如為1000℃以上之加熱),亦存在不僅於碳質膜(A1)21,且於與基板(C')45之界面側形成含框部元素、碳之膜(B2)35(碳質化步驟:S12)之情形。該碳質化步驟S12之產物(第3積層體62)亦可藉由在強於該製造條件(更高溫、更長時間等)之碳質化條件下,對上述第2積層體61進行處理而製造(碳質化步驟:S16)。藉由一面遮蔽所獲得之第3積層體62之基板(C')之外緣部,一面進行與上述步驟S13同樣之蝕刻(蝕刻步驟:S14),形成框部(C)40與膜部(B)30,可製造護膜複合體3。藉由進一步增強蝕刻條件,亦可去除膜部(B)30之同質膜(B1)31(蝕刻步驟:S15),從而可製造護膜複合體4。In the carbonization step of the first laminate 60, depending on the carbonization conditions (for example, heating at 1000°C or higher when the carbonization raw material film (A') 50 is aromatic polyimide), A case where the film (B2) 35 containing frame element and carbon is formed not only on the carbonaceous film (A1) 21 but also on the interface side with the substrate (C′) 45 (carbonization step: S12 ). The product of the carbonization step S12 (the third layered body 62) can also be processed by treating the above-mentioned second layered body 61 under carbonization conditions stronger than the manufacturing conditions (higher temperature, longer time, etc.). and manufactured (carbonization step: S16). The frame portion (C) 40 and the film portion ( B) 30, the pellicle complex 3 can be manufactured. By further enhancing the etching conditions, the homogeneous film (B1) 31 of the film portion (B) 30 can also be removed (etching step: S15), so that the pellicle complex 4 can be produced.

再者,根據碳質膜(A1)21之種類,亦可藉由蒸鍍法或濺鍍法,直接(即不經過碳質化步驟)形成上述第1積層體61。又,藉由對護膜複合體2進行與步驟S12或S16同樣強度之碳質化處理(碳質化步驟:S17),亦可製造護膜複合體3。Furthermore, depending on the type of the carbonaceous film (A1) 21, the above-mentioned first laminated body 61 can also be formed directly (that is, without a carbonization step) by vapor deposition or sputtering. In addition, the pellicle complex 3 can also be produced by subjecting the pellicle complex 2 to carbonization treatment of the same intensity as in step S12 or S16 (carbonization step: S17).

圖3係用以說明圖1(d)~(f)之護膜複合體5~7之製造方法之概略流程圖。於該製造例中,於石墨化溫度(例如超過2000℃且3300℃以下)下對圖2之製造例中所獲得之第3積層體62進行處理(石墨化步驟:S21),製造將第3積層體62之碳質膜(A1)21變更為石墨膜(A1)22之第4積層體63。該第4積層體63亦可藉由在石墨化溫度下對上述第1積層體60進行處理(石墨化步驟:S22),或藉由在石墨化溫度下對上述第2積層體61進行處理(石墨化步驟:S23)而製造。並且,藉由以與上述步驟S14同樣之蝕刻步驟對所獲得之第4積層體63進行處理(蝕刻步驟:S24),或藉由以與上述步驟S15同樣之蝕刻步驟對所獲得之第4積層體63進行處理(蝕刻步驟:S25),可製造護膜複合體6或護膜複合體7。Fig. 3 is a schematic flow chart for illustrating the manufacturing method of the pellicle complexes 5-7 of Fig. 1(d)-(f). In this production example, the third laminate 62 obtained in the production example of FIG. The carbonaceous film (A1) 21 of the laminate 62 is changed to the fourth laminate 63 of the graphite film (A1) 22 . The fourth laminate 63 can also be processed by treating the first laminate 60 at the graphitization temperature (graphitization step: S22), or by treating the second laminate 61 at the graphitization temperature ( Graphitization step: S23) and manufacture. And, by processing the obtained fourth laminated body 63 in the same etching step as the above-mentioned step S14 (etching step: S24), or by processing the obtained fourth laminated body 63 in the same etching step as the above-mentioned step S15 Body 63 is processed (etching step: S25), and pellicle complex 6 or pellicle complex 7 can be manufactured.

另一方面,藉由在基板(C')45之單面藉由蒸鍍法或濺鍍法形成石墨膜(A1)22,可形成不介存含框部元素、碳之膜(B2)35而於基板(C')45上直接積層有石墨膜(A1)22之第5積層體64。又,根據基板(C')45之構成元素,於在石墨化溫度下對上述第1積層體60或第2積層體61進行處理時(石墨化步驟:S26、S27),可不形成含框部元素、碳之膜(B2)35而形成石墨膜(A1)22,從而可製造上述第5積層體64。藉由對如此獲得之第5積層體64進行與上述步驟S13同樣之蝕刻(蝕刻步驟:S28),可製造護膜複合體5。On the other hand, by forming the graphite film (A1) 22 on one side of the substrate (C') 45 by vapor deposition or sputtering, a film (B2) 35 that does not interpose frame elements and carbon can be formed. On the other hand, the fifth laminate 64 in which the graphite film (A1) 22 is directly laminated on the substrate (C′) 45 . Also, depending on the constituent elements of the substrate (C') 45, when the first laminate 60 or the second laminate 61 is processed at the graphitization temperature (graphitization steps: S26, S27), the frame-containing portion may not be formed. Element, carbon film (B2) 35 is formed to form graphite film (A1) 22, and the above-mentioned fifth laminated body 64 can be produced. The pellicle composite 5 can be manufactured by performing the same etching as in the above-mentioned step S13 on the fifth laminate 64 thus obtained (etching step: S28 ).

4.蝕刻 蝕刻法可根據構成基板(C')45之材料適當選擇公知之蝕刻法。例如,於基板(C')45為鉬等之情形時,可使用25 wt%之硝酸水溶液作為蝕刻劑。於其他基板(C')中,亦可無論酸或鹼而使用適當之已知之蝕刻劑進行蝕刻。又,亦可使用RIE(反應性離子蝕刻)等乾式蝕刻製程。4. Etching As the etching method, a known etching method can be appropriately selected according to the material constituting the substrate (C′) 45 . For example, when the substrate (C') 45 is molybdenum, etc., a 25 wt% nitric acid aqueous solution can be used as an etchant. In other substrates (C'), etching can also be performed using an appropriate known etchant regardless of acid or alkali. In addition, a dry etching process such as RIE (Reactive Ion Etching) may also be used.

本案係主張基於2018年7月6日提出申請之日本專利申請案第2018-129343號之優先權之利益者。於2018年7月6日提出申請之日本專利申請案第2018-129343號之說明書之全部內容係為了參考而引用至本案中。 [實施例]This case is based on claiming the benefit of priority based on Japanese Patent Application No. 2018-129343 filed on July 6, 2018. The entire contents of the specification of Japanese Patent Application No. 2018-129343 filed on July 6, 2018 are incorporated herein by reference. [Example]

以下,列舉實施例更具體地說明本發明,但本發明當然不受下述實施例之限制,當然亦可於可符合上述、下述主旨之範圍內適當加以變更而實施,該等均包含於本發明之技術範圍內。 再者,實施例中之各種評價係以如下方式進行。Hereinafter, the present invention is described in more detail by enumerating the examples, but the present invention is certainly not limited by the following examples, and of course it can also be appropriately modified and implemented within the scope of meeting the above and the following gist, all of which are included in within the technical scope of the present invention. In addition, various evaluations in the Examples were performed as follows.

1.護膜(碳膜(A)、膜部(B))之厚度 護膜之厚度係使用階差計(BrukerVeeco公司製造:Dektak150)進行測定。將切成1 cm×1 cm之大小之膜貼附於平滑之玻璃基板上,測定膜之4邊與玻璃基板之階差,將其平均值設為護膜之厚度。1. Thickness of protective film (carbon film (A), film part (B)) The thickness of the pellicle was measured using a gradient meter (manufactured by Bruker Veeco: Dektak 150). Attach a film cut to a size of 1 cm×1 cm on a smooth glass substrate, measure the step difference between the four sides of the film and the glass substrate, and set the average value as the thickness of the protective film.

2.構成護膜之碳膜(A)20(於實施例中為碳質膜(A1)21或石墨膜(A1)22)、含框部元素、碳之膜(B2)35(於實施例中為碳化鉬膜)、同質膜(B1)31(於實施例中為鉬膜)之厚度係使用剖面TEM與元素分佈之映射而進行測定。2. The carbon film (A) 20 (in the embodiment is the carbonaceous film (A1) 21 or the graphite film (A1) 22) that constitutes the protective film, the film (B2) 35 (B2) 35 (B2) that contains the frame portion element, carbon (in the embodiment The thicknesses of the molybdenum carbide film in the center) and the homogeneous film (B1) 31 (the molybdenum film in the examples) were measured using cross-sectional TEM and mapping of element distribution.

3.護膜之EUV透過率(T) 護膜之EUV透過率(T)係規定為相對於波長13.5 nm之EUV之透過率,且根據(a)碳膜(A)20(於實施例中為碳質膜(A1)21或石墨膜(A1)22)之EUV透過率(Ta)、(b)含框部元素、碳之膜(B2)35(於實施例中為碳化鉬膜)之透過率(Tb)、(c)同質膜(B1)31(於實施例中為鉬膜)之透過率(Tc)之乘積而算出。3. EUV transmittance of protective film (T) The EUV transmittance (T) of the protective film is specified as the EUV transmittance relative to the wavelength of 13.5 nm, and according to (a) carbon film (A) 20 (in the embodiment, carbon film (A1) 21 or graphite film EUV transmittance (Ta) of (A1) 22), (b) transmittance (Tb) of film (B2) 35 (molybdenum carbide film in the embodiment) containing frame elements and carbon, (c) homogeneous film (B1) Calculated by multiplying the transmittance (Tc) of 31 (molybdenum film in the example).

(1) (a1)石墨膜(A1)22之EUV透過率(Ta) 碳膜(A)20中,(a1)石墨膜(A1)22之EUV透過率(Ta=TG [%])係將石墨膜(A1)22之膜厚DG (nm)之值代入至下述式(1)而求出。式中,0.998係指形成膜之1層石墨層(單層石墨烯)之透過率,0.3354係指該1層石墨層 (單層石墨烯)之厚度(nm)。 式:膜厚DG (nm)=Log0.998 (TG [%]/100)×0.3354 (1)(1) (a1) EUV transmittance (Ta) of graphite film (A1) 22 In carbon film (A) 20, (a1) EUV transmittance (Ta=T G [%]) of graphite film (A1) 22 is The value of the film thickness D G (nm) of the graphite film (A1) 22 was substituted into the following formula (1), and it calculated|required. In the formula, 0.998 refers to the transmittance of one graphite layer (single-layer graphene) forming the film, and 0.3354 refers to the thickness (nm) of the one-layer graphite layer (single-layer graphene). Formula: Thickness D G (nm)=Log 0.998 (T G [%]/100)×0.3354 (1)

(2) 碳質膜(A1)21之EUV透過率(Ta) EUV透過率係以實質上存在於EUV透過之光路之碳之數量而規定,碳之數量能夠以密度進行估算。因此,碳質膜(A1)21之EUV透過率(TC [%])考慮到石墨膜(A1)22之透過率TG [%]、石墨膜(A1)22之密度(2.24 g/cm3 )、碳質膜(A1)之密度(假設為2.0 g/cm3 ),而藉由下述式求出。式(2)之TG 採用如下TG 值,該TG 值係測定碳質膜(A1)21之厚度,將該碳質膜(A1)21假設為相同厚度之石墨膜(A1),並應用上述式(1)而求出。 Ta(%)=TC (%)=TG (%)×2.24/2.0 (2)(2) The EUV transmittance (Ta) of the carbonaceous film (A1) 21 The EUV transmittance is defined by the amount of carbon that actually exists in the light path through which EUV passes, and the amount of carbon can be estimated by density. Therefore, the EUV transmittance (T C [%]) of the carbonaceous film (A1) 21 takes into account the transmittance T G [%] of the graphite film (A1) 22, the density of the graphite film (A1) 22 (2.24 g/cm 3 ) The density of the carbonaceous film (A1) (assumed to be 2.0 g/cm 3 ) was obtained by the following formula. The T G of formula (2) adopts the following T G value, and this T G value is to measure the thickness of the carbonaceous film (A1) 21, and this carbonaceous film (A1) 21 is assumed to be the graphite film (A1) of the same thickness, and It can be obtained by applying the above formula (1). Ta(%)=T C (%)=T G (%)×2.24/2.0 (2)

(b) 碳化鉬膜之EUV透過率(Tb) 碳化鉬膜(B2)35之EUV透過率(Tb[%])係將碳化鉬膜(B2)35之膜厚Db(nm)之值代入至下述式(3)而求出。式中,0.993係指碳化鉬膜(B2)每1 nm之EUV透過率(%/nm)。 膜厚Db(nm)=Log0.993 (Tb[%]/100) (3)(b) EUV transmittance (Tb) of molybdenum carbide film (B2) 35 EUV transmittance (Tb [%]) is to substitute the value of film thickness Db (nm) of molybdenum carbide film (B2) 35 into It can be calculated|required by following formula (3). In the formula, 0.993 refers to the EUV transmittance per 1 nm of the molybdenum carbide film (B2) (%/nm). Film thickness Db(nm)=Log 0.993 (Tb[%]/100) (3)

(c) 鉬膜(B1)之EUV透過率(Tc) 鉬膜(B1)31之EUV透過率(Tc[%])係將鉬膜(B1)31之膜厚Dc(nm)之值代入至下述式(4)而求出。式中,0.994係指鉬膜(B1)每1 nm之EUV透過率(%/nm)。 膜厚Dc(nm)=Log0.994 (Tc[%]/100) (4)(c) EUV transmittance (Tc) of the molybdenum film (B1) The EUV transmittance (Tc[%]) of the molybdenum film (B1) 31 is substituted into the value of the film thickness Dc (nm) of the molybdenum film (B1) 31 It can be calculated|required by following formula (4). In the formula, 0.994 refers to the EUV transmittance per 1 nm of the molybdenum film (B1) (%/nm). Film thickness Dc(nm)=Log 0.994 (Tc[%]/100) (4)

4.表面粗糙度 構成護膜之碳膜(A)20(於實施例中為碳質膜(A1)21或石墨膜(A1)22)、含框部元素、碳之膜(B2)35(於實施例中為碳化鉬膜)、同質膜(B1)31(於實施例中為鉬膜)之表面粗糙度(Sa)係利用雷射顯微鏡進行測定,並基於ISO 25178算出。設為雷射顯微鏡之放大倍率:50倍、臨界值(λc):80 μm。表面粗糙度(Sa)之測定位置係測定包含中心部1處與端部4處之多處位置,並將其平均值設為表面粗糙度(Sa)。4. Surface roughness The carbon film (A) 20 (in the embodiment is carbonaceous film (A1) 21 or graphite film (A1) 22) that constitutes protective film, the film (B2) 35 (B2) 35 that contains frame portion element, carbon (in the embodiment is Molybdenum carbide film), the surface roughness (Sa) of the homogeneous film (B1) 31 (molybdenum film in the embodiment) was measured using a laser microscope, and calculated based on ISO 25178. Set the magnification of the laser microscope: 50 times, the critical value (λc): 80 μm. The measurement position of the surface roughness (Sa) is to measure a plurality of positions including 1 center part and 4 end parts, and set the average value as the surface roughness (Sa).

5. D/G頻帶強度比 利用雷射拉曼顯微鏡測定碳膜(A)20之拉曼光譜。測定位置係包含中心部1處與端部4處之多處位置,於各處求出1575~1600 cm-1 附近之由石墨構造引起之G頻帶之強度(I(G))、1350~1360 cm-1 附近之由非晶形碳構造引起之D頻帶之強度(I(D))、及其比(I(D)/I(G)),並將該比(I(D)/I(G))之平均值設為碳膜(A)之D/G頻帶強度比。5. D/G band intensity ratio The Raman spectrum of the carbon film (A) 20 was measured using a laser Raman microscope. The measurement position includes multiple positions at the center and 4 ends, and the intensity (I(G)) of the G band caused by the graphite structure around 1575 to 1600 cm -1 is obtained at each place, and the intensity (I(G)) and 1350 to 1360 The intensity (I(D)) of the D-band caused by the amorphous carbon structure around cm -1 , and its ratio (I(D)/I(G)), and the ratio (I(D)/I( The average value of G)) was set as the D/G band intensity ratio of the carbon film (A).

6.耐氫自由基性 耐氫自由基性係使用Samco公司製造之PC-300乾式清潔器,根據(A)護膜(碳膜(A)20、含框部元素、碳之膜(B2)35、同質膜(B1)31之合計)之膜厚減少量進行評價。 於護膜除碳膜(A)20以外,亦具有含框部元素、碳之膜(B2)35及同質膜(B1)31等非碳膜之情形時,以碳膜(A)20側朝下之方式配置於帶接地電極之試驗台。於護膜僅為碳膜(A)20之情形時(不具有上述非碳膜之情形時),將該碳膜(A)20配置於上述試驗台。將連接有隔直流電容器與RF(Radio Frequency,射頻)電源之供電電極設置於平台上部。於以下之條件下,自上方將護膜暴露於氫自由基下,測定碳化金屬膜之膜厚減少量,測定最上部之膜(於實施例之情形時為含框部元素、碳之膜(B2)35或同質膜(B1)31;於護膜僅由碳膜(A)構成之比較例之情形時,為碳膜(A))之膜厚減少量,而設為護膜之膜厚減少量。 [氫自由基暴露條件] 試驗時之電源輸出:100 W 製程氣體流量:100 sccm 氣體壓力:10 Pa 處理時間:30分鐘6. Hydrogen free radical resistance The resistance to hydrogen free radicals is based on the PC-300 dry cleaner manufactured by Samco, according to (A) protective film (carbon film (A) 20, frame elements, carbon film (B2) 35, homogeneous film (B1) The total of 31) was evaluated for film thickness reduction. In addition to the carbon film (A) 20, when the protective film also has non-carbon films such as the film (B2) 35 containing frame elements and carbon, and the homogeneous film (B1) 31, the carbon film (A) 20 side faces The following method is configured on a test bench with a ground electrode. When the protective film is only the carbon film (A) 20 (the case without the above-mentioned non-carbon film), the carbon film (A) 20 is arranged on the above-mentioned test stand. A power supply electrode connected with a DC blocking capacitor and an RF (Radio Frequency, radio frequency) power supply is arranged on the upper part of the platform. Under the following conditions, the protective film was exposed to hydrogen radicals from above, and the film thickness reduction of the metal carbide film was measured. B2) 35 or homogeneous film (B1) 31; in the case of the comparative example where the protective film is only composed of carbon film (A), it is the reduction in film thickness of the carbon film (A)), and is set as the film thickness of the protective film reduce the amount. [Hydrogen radical exposure conditions] Power output during test: 100 W Process Gas Flow: 100 sccm Gas pressure: 10Pa Processing time: 30 minutes

製造例1-1:具備聚醯亞胺膜(A')50之鉬基板(C')(即,第1積層體60)之製作(參照圖2) 合成以按莫耳比計2:1:1之比率混合均苯四甲酸二酐、4,4'-二胺基二苯醚、對苯二胺所得之聚醯胺酸之4.0質量%之二甲基甲醯胺溶液,使用旋轉塗佈機塗佈於5 cm見方之鉬基板(C')45(厚度100 μm)上。將該基板(C')於125℃、250℃、450℃下各加熱60秒鐘,而製作具備聚醯亞胺膜(A')50之鉬基板(C')(第1積層體60)。再者,基板(C')上之聚醯亞胺膜(A')之厚度為80 nm。Production Example 1-1: Fabrication of Molybdenum Substrate (C') (ie, First Laminated Body 60) Equipped with Polyimide Film (A') 50 (Refer to FIG. 2 ) Synthesis of 4.0% by mass of polyamic acid obtained by mixing pyromellitic dianhydride, 4,4'-diaminodiphenyl ether, and p-phenylenediamine at a molar ratio of 2:1:1 Methylformamide solution was coated on a 5 cm square molybdenum substrate (C') 45 (thickness 100 μm) using a spin coater. The substrate (C') was heated at 125°C, 250°C, and 450°C for 60 seconds each to produce a molybdenum substrate (C') (first laminate 60) provided with a polyimide film (A') 50 . Furthermore, the thickness of the polyimide film (A') on the substrate (C') is 80 nm.

製造例2-1:具備碳質膜(A1)21之鉬基板(C')(即,第2積層體61)之製作(參照圖2) 將製造例1-1之具備聚醯亞胺膜(A')50之鉬基板(C')(第1積層體60)於氮氣氣氛下,以10℃/min之速度升溫至900℃,保持15分鐘後使之自然冷卻(步驟S11),而製作具備碳質膜(A1)21之鉬基板(C')(第2積層體61)。再者,基板(C')上之碳質膜(A1)21之厚度為60 nm。Production Example 2-1: Fabrication of Molybdenum Substrate (C') (ie, Second Laminated Body 61) Equipped with Carbonaceous Film (A1) 21 (Refer to FIG. 2 ) The molybdenum substrate (C') (the first laminate 60) provided with the polyimide film (A') 50 of Production Example 1-1 was heated up to 900°C at a rate of 10°C/min under a nitrogen atmosphere, and kept After 15 minutes, it was left to cool naturally (step S11), and the molybdenum substrate (C') (2nd laminated body 61) provided with the carbonaceous film (A1) 21 was produced. Furthermore, the thickness of the carbonaceous film (A1) 21 on the substrate (C') is 60 nm.

製造例2-2:具備碳質膜(A1)21與碳化鉬膜(B2)35之鉬基板(C')(即,第3積層體62)之製作(參照圖2) 將製造例1-1之具備聚醯亞胺膜(A')50之鉬基板(C')(第1積層體60)於氮氣氣氛下,以10℃/min之速度升溫至1100℃,保持15分鐘後使之自然冷卻(步驟S12),而製作具備碳質膜(A1)21與碳化鉬膜(B2)35之鉬基板(C')(第3積層體62)。再者,基板(C')上之碳質膜(A1)21之厚度為47 nm,碳化鉬膜(B2)35之厚度為7 nm。Production Example 2-2: Fabrication of a molybdenum substrate (C') (that is, a third laminate 62) having a carbonaceous film (A1) 21 and a molybdenum carbide film (B2) 35 (see FIG. 2 ) The molybdenum substrate (C') (first laminate 60) with the polyimide film (A') 50 of Production Example 1-1 was heated up to 1100°C at a rate of 10°C/min under a nitrogen atmosphere, and kept Let it cool naturally after 15 minutes (step S12), and the molybdenum substrate (C') (3rd laminated body 62) provided with the carbonaceous film (A1) 21 and the molybdenum carbide film (B2) 35 was produced. Furthermore, the carbonaceous film (A1) 21 on the substrate (C') has a thickness of 47 nm, and the molybdenum carbide film (B2) 35 has a thickness of 7 nm.

製造例2-3:具備石墨膜(A1)22與碳化鉬膜(B2)35之鉬基板(C')(即,第4積層體63)之製作(參照圖3) 將製造例2-2之具備碳質膜(A1)21與碳化鉬膜(B2)35之鉬基板(C')(第3積層體62)於氬氣氣氛下,以5℃/min之速度升溫至2800℃,保持20分鐘後使之自然冷卻(步驟S21),而製作具備石墨膜(A1)22與碳化鉬膜(B2)35之鉬基板(C')(第4積層體63)。再者,基板(C')上之石墨膜(A1)22之厚度為13 nm,碳化鉬膜(B2)35之厚度為12 nm。Production Example 2-3: Fabrication of a molybdenum substrate (C') (that is, the fourth laminate 63) having a graphite film (A1) 22 and a molybdenum carbide film (B2) 35 (see FIG. 3 ) The molybdenum substrate (C') (third laminate 62) provided with the carbonaceous film (A1) 21 and the molybdenum carbide film (B2) 35 of Production Example 2-2 was placed under an argon atmosphere at a rate of 5°C/min. Raise the temperature to 2800° C., keep it for 20 minutes, and let it cool naturally (step S21 ), and make a molybdenum substrate (C′) (fourth laminate 63 ) with graphite film (A1) 22 and molybdenum carbide film (B2) 35 . Furthermore, the thickness of the graphite film (A1) 22 on the substrate (C′) is 13 nm, and the thickness of the molybdenum carbide film (B2) 35 is 12 nm.

製造例3-1:具備碳質膜(A1)21與鉬膜(B1)31之護膜複合體2之製作(參照圖2) 於利用寬度10 mm之耐水膠帶對製造例2-1之具備碳質膜(A1)21之鉬基板(C')(第2積層體61)之基板(C')側之4邊進行保護後,於液溫20℃之25 wt%硝酸中浸漬50分鐘,以殘留一部分作為框體(C)之方式對基板(C')進行濕式蝕刻(步驟S13),而製作3 cm見方之具備碳質膜(A1)21與鉬膜(B1)31之護膜複合體2。Manufacturing Example 3-1: Fabrication of a coating complex 2 with a carbonaceous film (A1) 21 and a molybdenum film (B1) 31 (see FIG. 2 ) After protecting the 4 sides of the substrate (C') side of the molybdenum substrate (C') (second laminate 61) with the carbonaceous film (A1) 21 in Production Example 2-1 with a water-resistant adhesive tape with a width of 10 mm , immersed in 25 wt% nitric acid at a liquid temperature of 20°C for 50 minutes, and wet-etched the substrate (C') with a part remaining as the frame (C) (step S13), and produced a 3 cm square carbon Sheath complex 2 of plasma membrane (A1)21 and molybdenum membrane (B1)31.

製造例3-2:具備碳質膜(A1)21與碳化鉬膜(B2)35之護膜複合體4之製作(參照圖2) 於製造例3-1中,使用製造例2-2之具備碳質膜(A1)21與碳化鉬膜(B2)35之鉬基板(C')(第3積層體62)代替製造例2-1之鉬基板(C')(第2積層體61),而製作具備碳質膜(A1)21與碳化鉬膜(B2)35之護膜複合體4。Manufacturing Example 3-2: Fabrication of a protective film composite 4 with a carbonaceous film (A1) 21 and a molybdenum carbide film (B2) 35 (see FIG. 2 ) In Manufacturing Example 3-1, the molybdenum substrate (C') (third laminate 62) provided with the carbonaceous film (A1) 21 and the molybdenum carbide film (B2) 35 of Manufacturing Example 2-2 was used instead of Manufacturing Example 2- 1 molybdenum substrate (C') (second laminated body 61), and the film composite body 4 having the carbonaceous film (A1) 21 and the molybdenum carbide film (B2) 35 is produced.

製造例3-3:具備碳質膜(A1)21、碳化鉬膜(B2)35及鉬膜(B1)31之護膜複合體3之製作 於利用寬度10 mm之耐水膠帶對製造例2-2之具備碳質膜(A1)21與碳化鉬膜(B2)35之鉬基板(C')(第3積層體62)之基板(C')側之4邊進行保護後,於液溫20℃之25 wt%硝酸中浸漬45分鐘,以殘留一部分作為框體(C)之方式對基板(C')進行濕式蝕刻,而製作3 cm見方之具備碳質膜(A1)21、碳化鉬膜(B2)35及鉬膜(B1)31之護膜複合體3。Manufacturing Example 3-3: Fabrication of a coating composite 3 with a carbonaceous film (A1) 21, a molybdenum carbide film (B2) 35 and a molybdenum film (B1) 31 The substrate (C') of the molybdenum substrate (C') (third laminated body 62) having the carbonaceous film (A1) 21 and the molybdenum carbide film (B2) 35 of Production Example 2-2 was made using a water-resistant adhesive tape with a width of 10 mm ) after protecting the 4 sides on the side, dip in 25 wt% nitric acid at a liquid temperature of 20°C for 45 minutes, and wet-etch the substrate (C') in such a way that a part remains as the frame (C), and make a 3 cm Square protective film complex 3 with carbonaceous film (A1) 21, molybdenum carbide film (B2) 35 and molybdenum film (B1) 31.

製造例3-4:具備石墨膜(A1)22與碳化鉬膜(B2)35之護膜複合體7之製作(參照圖3) 於利用寬度10 mm之耐水膠帶對製造例2-3之具備石墨膜(A1)22與碳化鉬膜(B2)35之鉬基板(C')(第4積層體63)之基板側之4邊進行保護後,於液溫20℃之25 wt%硝酸中浸漬50分鐘,以殘留一部分作為框體(C)之方式對基板(C')進行濕式蝕刻,而製作3 cm見方之具備石墨膜(A1)22與碳化鉬膜(B2)35之護膜複合體7。Manufacturing Example 3-4: Fabrication of a coating composite 7 with a graphite film (A1) 22 and a molybdenum carbide film (B2) 35 (refer to FIG. 3 ) On the 4 sides of the substrate side of the molybdenum substrate (C') (fourth laminate 63) with the graphite film (A1) 22 and the molybdenum carbide film (B2) 35 in Manufacturing Example 2-3 using a water-resistant adhesive tape with a width of 10 mm After protection, dip in 25 wt% nitric acid at a liquid temperature of 20°C for 50 minutes, and wet-etch the substrate (C') in such a way that a part remains as the frame (C), and make a 3 cm square graphite film (A1) 22 and molybdenum carbide film (B2) 35 coating complex 7.

製造例3-5:具備石墨膜(A1)22、碳化鉬膜(B2)35及鉬膜(B1)31之護膜複合體6之製作 將於25 wt%硝酸中之浸漬時間自50分鐘變更為45分鐘,除此以外,以與製造例3-4同樣之方式製作具備石墨膜(A1)22、碳化鉬膜(B2)35及鉬膜(B1)31之護膜複合體6。Manufacturing Example 3-5: Fabrication of a protective film composite 6 with graphite film (A1) 22, molybdenum carbide film (B2) 35 and molybdenum film (B1) 31 The immersion time in 25 wt% nitric acid was changed from 50 minutes to 45 minutes. In addition, a graphite film (A1) 22, a molybdenum carbide film (B2) 35 and a molybdenum Membrane complex 6 of membrane (B1) 31.

實施例1 對製造例3-1之具備碳質膜(A1)21與鉬膜(B1)31之護膜複合體2,評價各膜之厚度與表面粗糙度、碳質膜(A1)21之拉曼光譜波峰強度比、護膜複合體2之耐氫自由基性。護膜之EUV透過率係根據各膜之EUV透過率之理論值而算出。將結果示於表1。Example 1 For the protective film composite 2 with the carbonaceous film (A1) 21 and the molybdenum film (B1) 31 in Production Example 3-1, evaluate the thickness and surface roughness of each film, and the Raman spectrum of the carbonaceous film (A1) 21 Peak intensity ratio, hydrogen free radical resistance of coating complex 2. The EUV transmittance of the pellicle is calculated based on the theoretical value of the EUV transmittance of each film. The results are shown in Table 1.

實施例2~5 使用製造例3-2~3-5中所揭示之護膜複合體3、4、6、7,除此以外設為與實施例1同樣。將結果示於表1。Example 2~5 Except for using the pellicle complexes 3, 4, 6, and 7 disclosed in Production Examples 3-2 to 3-5, it was the same as Example 1. The results are shown in Table 1.

比較例1 不使用基板(C'),除此以外,以與製造例2-1同樣之方式製造碳質膜(A1)21。以與實施例1同樣之方式對所獲得之碳質膜(A1)21進行評價。將結果示於表1。Comparative example 1 A carbonaceous film (A1) 21 was produced in the same manner as in Production Example 2-1 except that the substrate (C') was not used. The obtained carbonaceous film (A1) 21 was evaluated in the same manner as in Example 1. The results are shown in Table 1.

比較例2 不使用基板(C'),除此以外,以與製造例2-3同樣之方式製造石墨膜(A1)22。以與實施例1同樣之方式對所獲得之石墨膜(A1)22進行評價。將結果示於表1。Comparative example 2 Graphite film (A1) 22 was produced in the same manner as in Production Example 2-3 except that the substrate (C') was not used. The obtained graphite film (A1) 22 was evaluated in the same manner as in Example 1. The results are shown in Table 1.

[表1] 表1

Figure 108123407-A0304-0001
[產業上之可利用性][Table 1] Table 1
Figure 108123407-A0304-0001
[Industrial availability]

本發明之護膜複合體對保護於EUV微影法等各種微影法中所使用之光罩較有用。The pellicle complex of the present invention is useful for protecting the photomask used in various lithography methods such as EUV lithography.

1‧‧‧護膜複合體 2‧‧‧護膜複合體 3‧‧‧護膜複合體 4‧‧‧護膜複合體 5‧‧‧護膜複合體 6‧‧‧護膜複合體 7‧‧‧護膜複合體 20‧‧‧碳膜(A) 21‧‧‧碳質膜(A1) 22‧‧‧石墨膜(A1) 25‧‧‧護膜 30‧‧‧膜部(B) 31‧‧‧同質膜(B1) 35‧‧‧含框部元素、碳之膜(B2) 40‧‧‧框部(C) 45‧‧‧基板(C') 50‧‧‧碳化原料膜(A') 60‧‧‧第1積層體 61‧‧‧第2積層體 62‧‧‧第3積層體 63‧‧‧第4積層體 70‧‧‧接著劑 80‧‧‧光罩 S11‧‧‧碳質化步驟 S12‧‧‧碳質化步驟 S13‧‧‧蝕刻步驟 S14‧‧‧蝕刻步驟 S15‧‧‧蝕刻步驟 S16‧‧‧碳質化步驟 S17‧‧‧碳質化步驟 S21‧‧‧石墨化步驟 S22‧‧‧石墨化步驟 S23‧‧‧石墨化步驟 S24‧‧‧蝕刻步驟 S25‧‧‧蝕刻步驟 S26‧‧‧石墨化步驟 S27‧‧‧石墨化步驟 S28‧‧‧蝕刻步驟1‧‧‧Membrane Complex 2‧‧‧Membrane Complex 3‧‧‧Membrane complex 4‧‧‧Membrane complex 5‧‧‧Membrane complex 6‧‧‧Membrane complex 7‧‧‧Membrane complex 20‧‧‧Carbon film (A) 21‧‧‧Carbon film (A1) 22‧‧‧Graphite film (A1) 25‧‧‧Protective film 30‧‧‧Membrane (B) 31‧‧‧Homogeneous Membrane (B1) 35‧‧‧Film containing frame elements and carbon (B2) 40‧‧‧Frame (C) 45‧‧‧Substrate (C') 50‧‧‧Carbonized raw film (A') 60‧‧‧1st laminate 61‧‧‧The second laminated body 62‧‧‧The third laminated body 63‧‧‧4th laminate 70‧‧‧adhesive 80‧‧‧Reticle S11‧‧‧Carbonization step S12‧‧‧Carbonization step S13‧‧‧etching step S14‧‧‧etching step S15‧‧‧etching step S16‧‧‧Carbonization step S17‧‧‧Carbonization step S21‧‧‧Graphitization step S22‧‧‧Graphitization step S23‧‧‧Graphitization step S24‧‧‧etching step S25‧‧‧etching step S26‧‧‧Graphitization step S27‧‧‧Graphitization step S28‧‧‧etching step

圖1(a)~(f)係表示本發明之護膜複合體之例之概略剖視圖。 圖2係表示本發明之護膜複合體之製造方法之例之概略流程圖。 圖3係表示本發明之護膜複合體之製造方法之另一例之概略流程圖。 圖4係表示具備先前之護膜複合體之光罩之概略剖視圖。1 (a) to (f) are schematic cross-sectional views showing examples of the pellicle complex of the present invention. Fig. 2 is a schematic flow chart showing an example of the manufacturing method of the pellicle complex of the present invention. Fig. 3 is a schematic flow chart showing another example of the manufacturing method of the pellicle complex of the present invention. Fig. 4 is a schematic cross-sectional view showing a photomask provided with a conventional pellicle complex.

1‧‧‧護膜複合體 1‧‧‧Membrane Complex

2‧‧‧護膜複合體 2‧‧‧Membrane Complex

3‧‧‧護膜複合體 3‧‧‧Membrane complex

4‧‧‧護膜複合體 4‧‧‧Membrane complex

5‧‧‧護膜複合體 5‧‧‧Membrane complex

6‧‧‧護膜複合體 6‧‧‧Membrane complex

7‧‧‧護膜複合體 7‧‧‧Membrane complex

20‧‧‧碳膜(A) 20‧‧‧Carbon film (A)

21‧‧‧碳質膜(A1) 21‧‧‧Carbon film (A1)

22‧‧‧石墨膜(A1) 22‧‧‧Graphite film (A1)

30‧‧‧膜部(B) 30‧‧‧Membrane (B)

31‧‧‧同質膜(B1) 31‧‧‧Homogeneous Membrane (B1)

35‧‧‧含框部元素、碳之膜(B2) 35‧‧‧Film containing frame elements and carbon (B2)

40‧‧‧框部(C) 40‧‧‧Frame (C)

Claims (10)

一種護膜複合體,其特徵在於具有:碳膜(A)、面接合於該碳膜之單側之膜部(B)、及沿著上述膜部(B)之外緣設置之框部(C),構成上述框部(C)之元素係選自由鋯、鈮、鉬、鈦、及鎢所組成之群中之1種以上,且係於上述膜部(B)中作為其構成元素之至少一部分而包含者。 A protective film composite, characterized in that it has: a carbon film (A), a film part (B) surface-bonded to one side of the carbon film, and a frame part ( C) The element constituting the above-mentioned frame part (C) is one or more kinds selected from the group consisting of zirconium, niobium, molybdenum, titanium, and tungsten, and is used as a constituent element in the above-mentioned film part (B) at least partially contained. 如請求項1之護膜複合體,其中上述膜部(B)滿足為與框部(C)相同材質之層、或為包含構成框部(C)之元素與碳兩者之層中之至少一者。 The protective film composite as claimed in claim 1, wherein the above-mentioned film part (B) satisfies being a layer of the same material as the frame part (C), or at least one of the layers containing both elements and carbon constituting the frame part (C) one. 如請求項1或2之護膜複合體,其中上述碳膜(A)與上述膜部(B)直接接合。 The coating composite according to claim 1 or 2, wherein the carbon film (A) is directly bonded to the film part (B). 如請求項1或2之護膜複合體,其中上述碳膜(A)為碳質膜或石墨膜(A1)。 The protective film composite according to claim 1 or 2, wherein the carbon film (A) is a carbon film or a graphite film (A1). 如請求項1或2之護膜複合體,其中上述碳膜(A)及/或上述膜部(B)之表面粗糙度為0.1nm以上且500nm以下。 The coating composite according to claim 1 or 2, wherein the surface roughness of the carbon film (A) and/or the film part (B) is not less than 0.1 nm and not more than 500 nm. 一種如請求項1至5中任一項之護膜複合體之製造方法,其中對在包含與上述框部(C)相同材料之基板(C')之單面積層有碳化原料膜(A')之積層體進行加熱而將上述碳化原料膜(A')製成碳膜(A)後,自基板(C')側蝕刻上 述積層體,殘留基板(C')之外緣使之厚於其以外之部分,藉此形成上述框部(C),另一方面,亦殘留外緣部以外之部分而形成上述膜部(B)。 A method of manufacturing a protective film composite as claimed in any one of claims 1 to 5, wherein a carbonized raw material film (A' is layered on a single layer of a substrate (C') made of the same material as the frame portion (C) above ) laminated body is heated to make the above-mentioned carbonized raw material film (A') into a carbon film (A), and then etched from the substrate (C') side In the laminated body, the outer edge of the substrate (C') is left thicker than the other parts to form the frame part (C), and on the other hand, the film part ( B). 一種如請求項1至5中任一項之護膜複合體之製造方法,其中對在包含與上述框部(C)相同材料之基板(C')之單面積層有碳化原料膜(A')之積層體進行加熱,使上述碳化原料膜(A')變成碳質膜或石墨膜(A1)、及包含構成框部(C)之元素及碳兩者之層(B2)之2層,而形成上述碳膜(A)與上述膜部(B),其後,殘留基板(C')之外緣作為上述框部(C),並且自基板(C')側蝕刻上述積層體。 A method of manufacturing a protective film composite as claimed in any one of claims 1 to 5, wherein a carbonized raw material film (A' is layered on a single layer of a substrate (C') made of the same material as the frame portion (C) above ) laminated body is heated, so that the above-mentioned carbonized raw material film (A') becomes a carbonaceous film or a graphite film (A1) and a layer (B2) containing both elements and carbon constituting the frame part (C) Two layers, The above-mentioned carbon film (A) and the above-mentioned film part (B) are formed, and then the outer edge of the substrate (C') is left as the above-mentioned frame part (C), and the above-mentioned laminate is etched from the substrate (C') side. 如請求項7之護膜複合體之製造方法,其中對作為框部(C)所殘留之部分以外之基板進行蝕刻之同時殘留,並將所殘留之部分與上述層(B2)一併設為上述膜部(B)。 The method of manufacturing a pellicle composite according to Claim 7, wherein the substrate other than the remaining portion of the frame portion (C) is etched while remaining, and the remaining portion and the above-mentioned layer (B2) are set as the above-mentioned Membrane part (B). 如請求項7之護膜複合體之製造方法,其中藉由上述蝕刻完全地去除作為框部(C)所殘留之部分以外之基板(C')。 The method of manufacturing a pellicle composite according to claim 7, wherein the substrate (C') other than the remaining portion of the frame portion (C) is completely removed by the etching. 如請求項6至9中任一項之護膜複合體之製造方法,其中上述碳化原料膜(A')為芳香族聚醯亞胺膜。 The method for producing a protective film composite according to any one of claims 6 to 9, wherein the above-mentioned carbonized raw material film (A') is an aromatic polyimide film.
TW108123407A 2018-07-06 2019-07-03 Membrane complex and method for producing the same TWI789538B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-129343 2018-07-06
JP2018129343 2018-07-06

Publications (2)

Publication Number Publication Date
TW202006460A TW202006460A (en) 2020-02-01
TWI789538B true TWI789538B (en) 2023-01-11

Family

ID=69059622

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108123407A TWI789538B (en) 2018-07-06 2019-07-03 Membrane complex and method for producing the same

Country Status (3)

Country Link
JP (1) JP7213248B2 (en)
TW (1) TWI789538B (en)
WO (1) WO2020008976A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022030499A1 (en) * 2020-08-06 2022-02-10 三井化学株式会社 Pellicle, original plate for light exposure, light exposure device, method for producing pellicle, and method for producing semiconductor device
KR102676228B1 (en) * 2021-04-09 2024-06-18 한국전자기술연구원 Pellicle for extreme ultraviolet lithography containing molybdenum carbide

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105229776A (en) * 2013-05-24 2016-01-06 三井化学株式会社 Protecting film assembly and containing its EUV exposure device
TW201719274A (en) * 2015-08-03 2017-06-01 三星電子股份有限公司 Pellicle and photomask assembly including the same
TW201734631A (en) * 2016-01-15 2017-10-01 台灣積體電路製造股份有限公司 Pellicle and method for manufacturing the same
TW201823848A (en) * 2016-12-15 2018-07-01 台灣積體電路製造股份有限公司 Pellicle structures and methods of fabricating thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015178250A1 (en) * 2014-05-19 2015-11-26 三井化学株式会社 Pellicle film, pellicle, exposure master, exposure device, and method for manufacturing semiconductor device
US20170090278A1 (en) * 2015-09-30 2017-03-30 G-Force Nanotechnology Ltd. Euv pellicle film and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105229776A (en) * 2013-05-24 2016-01-06 三井化学株式会社 Protecting film assembly and containing its EUV exposure device
US20160147141A1 (en) * 2013-05-24 2016-05-26 Mitsui Chemicals, Inc. Pellicle and euv exposure device comprising same
TW201719274A (en) * 2015-08-03 2017-06-01 三星電子股份有限公司 Pellicle and photomask assembly including the same
TW201734631A (en) * 2016-01-15 2017-10-01 台灣積體電路製造股份有限公司 Pellicle and method for manufacturing the same
TW201823848A (en) * 2016-12-15 2018-07-01 台灣積體電路製造股份有限公司 Pellicle structures and methods of fabricating thereof

Also Published As

Publication number Publication date
JP7213248B2 (en) 2023-01-26
WO2020008976A1 (en) 2020-01-09
TW202006460A (en) 2020-02-01
JPWO2020008976A1 (en) 2021-07-08

Similar Documents

Publication Publication Date Title
KR102034762B1 (en) Process for producing layered product, layered product, process for producing layered product with device using said layered product, and layered product with device
KR101699574B1 (en) Reflective-layer-equipped substrate for euv lithography, reflective mask blank for euv lithography, reflective mask for euv lithography, and process for producing reflective-layer-equipped substrate
TWI789538B (en) Membrane complex and method for producing the same
JP6550752B2 (en) Rigid composite laminate, method of manufacturing the same, laminate, and method of manufacturing device using the laminate
WO2012141248A1 (en) Laminate, method for producing same, and method for producing device structure using same
CN102640021B (en) Optical member for euv lithography, and process for production of reflective-layer-attached substrate for euv lithography
JPWO2016031746A1 (en) Silane coupling agent layer laminated polymer film
TWI640825B (en) Reflective mask blank for euv lithography, substrate with funtion film for the mask blank, and methods for their production
WO2013114685A1 (en) Laminate, method for producing same, and method for producing device structure using same
TW201721282A (en) EUV pellicle film and manufacturing method thereof
WO2012014904A1 (en) Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
WO2014119648A1 (en) Laminate, method for producing laminate, and method for manufacturing flexible electronic device
KR20130007533A (en) Optical member for use in euv lithography
KR20160034315A (en) Substrate with multilayered reflective film, reflective mask blank for euv lithography, reflective mask for euv lithography, process for producing same, and process for producing semiconductor device
TW201001057A (en) Photomask blank, photomask, and methods of manufacturing the same
KR20160054458A (en) Substrate with multilayer reflective film, reflective mask blank for euv lithography, reflective mask for euv lithography, method for producing reflective mask for euv lithography, and method for manufacturing semiconductor device
JP2015214122A (en) Polyimide film for forming laminate
JP2014237270A (en) Polymer film laminated substrate
KR20130034634A (en) Reflective mask blank, reflective mask, and method for manufacturing reflective mask
KR102427272B1 (en) Composite substrate, method for forming nanocarbon film, and nanocarbon film
JP2015109366A (en) Reflective mask blank for euv lithography or substrate with reflective layer for euv lithography, and manufacturing method therefor
JP6353262B2 (en) Method for producing multilayer graphene
JP7213249B2 (en) Pellicle complex and manufacturing method thereof
JP2013226784A (en) Laminate, method for manufacturing the same, and method for manufacturing device structure body using the same
WO2020008978A1 (en) Reinforced pellicle film and method for manufacturing same