TWI789415B - 電子束信號影像映射(esim)之方法,靜態電子束邏輯狀態成像(selsi)之方法,動態電子束邏輯狀態成像(delsi)之方法及光電故障映射(oefm)之方法 - Google Patents

電子束信號影像映射(esim)之方法,靜態電子束邏輯狀態成像(selsi)之方法,動態電子束邏輯狀態成像(delsi)之方法及光電故障映射(oefm)之方法 Download PDF

Info

Publication number
TWI789415B
TWI789415B TW107128331A TW107128331A TWI789415B TW I789415 B TWI789415 B TW I789415B TW 107128331 A TW107128331 A TW 107128331A TW 107128331 A TW107128331 A TW 107128331A TW I789415 B TWI789415 B TW I789415B
Authority
TW
Taiwan
Prior art keywords
integrated circuit
circuit structure
electron beam
signal
electron
Prior art date
Application number
TW107128331A
Other languages
English (en)
Other versions
TW201923373A (zh
Inventor
志勇 馬
嚮宏 佟
柳赫柱
文献 莊
王雲飛
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201923373A publication Critical patent/TW201923373A/zh
Application granted granted Critical
Publication of TWI789415B publication Critical patent/TWI789415B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/305Contactless testing using electron beams
    • G01R31/307Contactless testing using electron beams of integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

描述用於晶片除錯及故障隔離之電子束探測的設備及方法。於一範例中,一種電子束信號影像映射(ESIM)之方法包括:掃描電子束於一含有積體電路結構之晶片上的區域上方;切變該積體電路結構之閘極電極於一頻率;放大二次電子信號並將該放大的二次電子信號傳送至被調諧至該頻率的鎖定放大器或頻譜分析器;將該鎖定放大器或頻譜分析器之輸出饋送入掃描控制單元,其係掃描於該區域上方;及以該電子束照射該積體電路結構之一部分來調變該二次電子信號。

Description

電子束信號影像映射(ESIM)之方法,靜態電子束邏輯狀態成像(SELSI)之方法,動態電子束邏輯狀態成像(DELSI)之方法及光電故障映射(OEFM)之方法
本發明之實施例係屬於缺陷分析之領域,以及(特別地)用於晶片除錯及故障隔離之電子束探測的設備及方法。
於過去數+年,積體電路中之特徵的擴縮(scaling)已是不斷成長的半導體工業背後之驅動力。定標至越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。
電子束(E-beam)探測器被廣泛地使用於半導體工業超 過15年以前。光學探測器取代了電子束探測器。然而,光學工具之解析度比由目前世代的掃描電子顯微鏡(SEM)所提供的電子束更差了至少一個數量級。
產品除錯、產量增進及可靠度增進均取決於隔離故障電路及裝置之能力和速度。目前的技術係由於針對7nm及5nm節點之裝置擴縮而並未提供足夠的能力。
因此,需要增進設備之面積以及用於晶片除錯和故障隔離之電子束探測的方法。
100:電子束探測器
102:電子束柱
104:光束
106:待測裝置(DUT)
108:處理室
110:平台
112:信號產生器
114:檢測器
116:感應模組
118:鎖定放大器或頻譜分析器
120:操作放大器
122:掃描模組
124:掃描電子顯微鏡(SEM)影像信號
126:外部x-y掃描控制信號
128:電腦控制器
130:掃描電子顯微鏡(SEM)影像或電子束信號影像映射(ESIM)影像
300:電子束探測器
302:電子束柱
304:光束
306:待測裝置(DUT)
308:處理室
310:平台
312:信號產生器
314:檢測器
316:感應模組
318:鎖定放大器或頻譜分析器
320:操作放大器
322:掃描模組
324:掃描電子顯微鏡(SEM)影像信號
326:外部x-y掃描控制信號
328:電腦控制器
330:掃描電子顯微鏡(SEM)影像或電子束信號影像映射 (ESIM)影像
402:圖表
404:電路
452:圖表
454:電路
500:電子束探測器
502:電子束柱
504:光束
506:待測裝置(DUT)
507A:雷射源
507B:雷射光束
508:處理室
510:平台
512:信號產生器
514:檢測器
516:感應模組
518:鎖定放大器或頻譜分析器
520:操作放大器
522:掃描模組
524:掃描電子顯微鏡(SEM)影像信號
526:外部x-y掃描控制信號
528:電腦控制器
530:掃描電子顯微鏡(SEM)影像或電子束信號影像映射(ESIM)影像
602:判定概圖
604:位置判定概圖
606:位置
608:圖表
610:圖表
700:電子束柱
702:電子源
704:電子之束
706:限制孔徑
708:照明光學裝置
710:輸出束
712:狹縫
714:薄透鏡
716:成型孔徑
718:消除器孔徑陣列(BAA)
720:部分
721:束部分
722:最後孔徑
724:平台回饋偏轉器
726:電子束
728:點
730:晶圓
732:平台掃描
734:箭號
800:開始結構
802:金屬線
802’:線
804:層間電介質(ILD)線
900:半導體結構或裝置
902:基底
904:突出鰭片部分
904A、904B:源極和汲極區
905:子鰭片區
906:隔離區
908:閘極線
914:閘極接點
916:上方閘極接點通孔
950:閘極電極
952:閘極電介質層
954:電介質蓋層
960:上方金屬互連
970:層間電介質堆疊或層
1000:計算裝置
1002:電路板
1004:處理器
1006:通訊晶片
1100:電腦系統
1102:處理器
1104:主記憶體
1106:靜態記憶體
1108:網路介面裝置
1110:視頻顯示單元
1112:文數輸入裝置
1114:游標控制裝置
1116:信號產生裝置
1118:附屬記憶體
1120:網路
1122:軟體
1126:處理邏輯
1130:匯流排
1132:機器可存取儲存媒體
1200:插入器
1202:第一基底
1204:第二基底
1206:球柵陣列(BGA)
1208:金屬互連
1210:通孔
1212:穿越矽通孔(TSV)
1214:嵌入式裝置
1300:計算裝置
1302:積體電路晶粒
1304:CPU
1306:晶粒上記憶體
1308:通訊晶片
1310:揮發性記憶體
1312:非揮發性記憶體
1314:圖形處理單元
1316:數位信號處理器
1320:晶片組
1322:天線
1324:顯示或觸控式螢幕顯示
1326:觸控式螢幕控制器
1328:全球定位系統(GPS)裝置
1329:電池
1330:羅盤
1332:動作共處理器或感應器
1334:揚聲器
1336:相機
1338:使用者輸入裝置
1340:大量儲存裝置
1342:密碼處理器
圖1為具有電子束信號影像映射(ESIM)能力之電子束探測器的概圖,依據本發明之實施例。
圖2包括(a)範例掃描電子顯微鏡(SEM)影像,及(b)來自諸如圖1電子束探測器的電子束探測器之範例電子束信號影像映射(ESIM)影像,依據本發明之實施例。
圖3為具有電子束邏輯狀態成像(ELSI)能力之電子束探測器的概圖,依據本發明之實施例。
圖4包括圖表及相應的電路概圖,其代表來自諸如圖3電子束探測器的電子束探測器上所履行的分析之可能結果,依據本發明之實施例。
圖5為具有光電故障映射(OEFM)能力之電子束探測器的概圖,依據本發明之實施例。
圖6包括(a)判定概圖及(b)相應的位置判定概圖,其係判定自圖表,並代表來自分析的可能結果,其為諸如圖5 電子束探測器的電子束探測器上所履行的分析,依據本發明之實施例。
圖7為一種電子束微影設備之電子束柱(column)的橫斷面概略圖示。
圖8闡明前層金屬化結構之平面視圖及相應的橫斷面視圖,依據本發明之實施例。
圖9A闡明一具有鰭片之非平面半導體裝置的橫斷面視圖,依據本發明之實施例。
圖9B闡明沿著圖9A之半導體裝置的a-a’軸所取的平面視圖,依據本發明之實施例。
圖10闡明一計算裝置,依據本發明之一實施方式。
圖11闡明一範例電腦系統之方塊圖,依據本發明之實施例。
圖12為實施本發明之一或更多實施例的插入器。
圖13為依據本發明之實施方式所建造之計算裝置。
【發明內容】及【實施方式】
描述用於晶片除錯及故障隔離之電子束探測的設備及方法。於下列描述中,提出多項特定細節,諸如特定工具及集成狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實行而無需這些特定細節。於其他例子中,眾所周知的特徵未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解圖形中所示之各個實施例為說明性表示且不一定依比例描 繪。於某些情況下,各個操作將被描述為數個離散的操作,依序地,以一種最有助於瞭解本發明之方式,然而,描述之順序不應被視為暗示這些操作必定為順序相依的。特別地,這些操作無須以所提呈之順序來執行。
文中所述之一或更多實施例係有關用於晶片除錯及故障隔離之電子束探測。依據本發明之實施例,文中所述之設備及方法係提供用以解決積體電路裝置之更小特徵的優異能力並提供用以感應且調變相關積體電路裝置之更直接的方式。實施例可應用於離子束或任何帶電粒子束、應用於真空環境中之外太空裝置除錯及故障隔離、及/或應用於封裝和3D結構裝置除錯及故障隔離、或其可被操作於真空中之任何其他電子裝置。
為了提供背景,針對fin-FET裝置,矽是由個別窄鰭片所組成以取代最先進的矽擴散區域。矽鰭片之使用使得目前技術欲解決是有挑戰性的。用以將缺陷或故障隔離至個別鰭片位準的能力可在製程開發之速度上、以及在產量增進和可靠度增進上提供極大的優點。此等能力及速度可轉換為較快的上市時間、較佳的利潤率及較高的產品品質。
故障隔離(FI)及失效分析(FA)是(1)產品設計驗證和除錯、(2)製程開發、(3)產量增進、(4)可靠度測試及(5)產品認證和產品可靠度鑑定(PRQ)的關鍵部分。用以識別及隔離故障電路和裝置之能力經常定義了產品發行的成功及失敗。
目前FI及FA係藉由使用光學工具來達成,諸如雷射輔助裝置交替(LADA)、熱感應電壓變化(TIVA)、或雷射電壓探針(LVP)/雷射計時模組(LTM),用以找出故障裝置或電路。光學方式之解析度可能被IR範圍中之光學系統解析度所限制,其中矽是透明的,因為信號需經過該矽以到達該些裝置、或者來自該裝置以到達該成像系統。此等光學系統之最先進解析度為約240奈米,使用3.0 N.A.固態浸沒式透鏡。電子束方式提供成像時之較佳解析度,但電子束調變量通常大於電子束大小,由於充電效應及電子散射。針對所有上述技術之積體電路結構的感應係間接地透過反射性改變或加熱效應或電荷效應,來自所使用的電子束。
為了提供進一步背景,傳統電子束探測器能夠:使用電壓對比以成像積體電路結構狀態、測量金屬軌線上之電壓並獲得信號線上之波形、以及提供足夠的空間解析度。然而,傳統電子束探測器已主要地被用於前側探測且解析度通常最佳為100奈米。傳統電子束探測器被光學技術所取代,因為其變為廣泛使用的倒裝晶片封裝技術並未提供對於金屬線之存取,其為先前世代的電子束探測器所仰賴以供分析。
依據本發明之一或更多實施例,電子束探測被應用自裝置或互連之背側(例如,自矽側)或前側,在其暴露矽鰭片或者擴散或金屬互連的特殊範例備製以後。於一實施例中,文中所述之電子束探測提供了其傳統世代的電子束探測器所無法達成的優點。實施例可有關於以下方式或技術 之一或更多者:(1)電子束信號影像映射(ESIM)、(2)電子束邏輯狀態成像(ELSI)、(3)光電故障映射(OEFM)、或(4)電子束裝置擾動(EDP),用於關鍵時序分析。
於第一形態中,電子束信號影像映射(ESIM)被描述。於一實施例中,使用ESIM方式,電子束被掃描於一含有相關積體電路結構或裝置之區域上方。相關裝置之閘極電極被切變於特定頻率。二次電子信號被放大並接著傳送至鎖定放大器或頻譜分析器,其被調諧至特定頻率。鎖定放大器或頻譜分析器之輸出被饋送入掃描控制單元,其係掃描於該區域上方。當該電子束照射電路元件之部分(諸如裝置下方之金屬線或矽)時,則二次電子發射係藉由電信號而被調變。此效應(其係由於主動電壓對比)係產生調變信號於二次電子檢測器,其被接著發送至鎖定放大器。於一實施例中,其中有來自鎖定放大器或頻譜分析器之信號的位置係指示其攜載振盪信號之裝置的位置。切變的裝置係顯示針對背景之對比,容許其在驅動頻率切變之裝置的映射。於一實施例中,藉由與電腦輔助設計(CAD)佈局進行比較,所有有缺陷的裝置可被發現,假如該些裝置被操作於既定頻率但並未顯示經調變之二次電子信號的話。
應理解:傳統電子束探測器係提供靜態電壓對比,藉由將裝置之積體電路結構置於某一狀態並履行電壓對比成像。傳統電子束探測器亦提供頻閃波形獲取以收集特定節點上之波形。然而,依據本發明之實施例,ESIM被用以檢測積體電路結構或裝置之動態回應並用以提供其中觀察 到該信號之積體電路結構或裝置的映圖。應理解:雖然光學工具可能使用雷射光束以提供信號影像映射(SMI)功能,但解析度將是較低較差一個數量級,如上所述。
圖1為具有電子束信號影像映射(ESIM)能力之電子束探測器100的概圖,依據本發明之實施例。參考圖1,電子束探測器100包括電子束柱102(諸如掃描電子顯微鏡(SEM)柱),用以遞送光束104至待測裝置(DUT)106。電子束柱102(或電子束柱102之至少一部分)可被安裝於處理室108中,而待測裝置(DUT)106可由平台110所支撐,如圖所示。
於電子束探測器100中,信號產生器112被耦合至DUT 106。檢測器114(其位於光束104之路徑中或者在電子束柱102的旁邊)被耦合至感應模組116。感應模組116被耦合至鎖定放大器或頻譜分析器118,其被耦合至操作放大器120。掃描模組122被耦合至操作放大器120並可接收掃描電子顯微鏡(SEM)影像信號124或者傳送外部x-y掃描控制信號126至電子束柱102之控制板。電腦控制器128可產生掃描電子顯微鏡(SEM)影像或電子束信號影像映射(ESIM)影像130。
圖2包括(a)範例掃描電子顯微鏡(SEM)影像及(b)範例信號影像映射(SMI)影像,來自諸如圖1之電子束探測器100的電子束探測器,依據本發明之實施例。顯示信號調變之裝置係由兩影像中之橢圓形所指示。
於第二形態中,電子束邏輯狀態成像(ELSI)被描述。 於一實施例中,使用ELSI方式,電子束邏輯狀態成像技術容許相關積體電路結構或裝置之邏輯狀態的檢測及顯示。於一實施例中,兩種方式之一被用以達成積體電路結構或裝置之邏輯狀態的檢測。於第一ELSI方式中,靜態電子束邏輯狀態成像(SELSI)被使用。於第二ELSI方式中,動態電子束邏輯狀態成像(DELSI)被使用。應理解:使用雷射光束之光學工具可組態成提供邏輯狀態成像於相關裝置上,但解析度為較低一個數量級。傳統電子束探測器不提供動態邏輯狀態成像。
於一實施例中,使用SELSI技術於ELSI方式中,相關積體電路結構或裝置係藉由停止測試器形態而被置於特定狀態。積體電路結構或裝置之二次電子影像被收集。積體電路結構或裝置顯示不同的對比於高或低電壓狀態,容許個別裝置邏輯狀態之識別。於一實施例中,SELSI方式可應用於P型及N型積體電路結構或裝置兩者。於一實施例中,針對以不同邏輯狀態來切變的裝置之導電結構(例如,前側上之互連)而收集的影像係展現以下:較低的電壓係顯示較亮的對比而較高的電壓係顯示較暗的對比,由於從不同電壓之結構所產生的二次電子之差異量。
於另一實施例中,使用DELSI技術於ELSI方式中,電子束被掃描於一含有相關裝置的區域上方。取代閘極調變,相關積體電路結構或裝置之Vcc電源供應被調變於特定頻率。二次電子信號被放大,接著傳送至鎖定放大器或頻譜分析器,其被調諧至特定頻率。鎖定放大器或頻譜分 析器之輸出被饋送入掃描控制單元,其係掃描於該區域上方。於一實施例中,來自鎖定放大器或頻譜分析器之信號將比所掃描的該區域或該些區域之剩餘者更亮。因為Vcc被調變,所以僅有其為開(例如,連接至Vcc)之積體電路結構或裝置顯示該調變於該二次電子檢測器中。該結果容許其被開啟之裝置的映射致能邏輯狀態的映射。於一實施例中,一細微效應是:在反相器鏈中,Vcc調變可造成該些反相器之輸出亦調變而導致對於下游反相器之閘極的調變。於一實施例中,藉由與CAD佈局及測試形態比較,有缺陷的積體電路結構或裝置可被找出並識別為錯誤的邏輯狀態。
圖3為具有電子束邏輯狀態成像(ELSI)能力之電子束探測器300的概圖,依據本發明之實施例。參考圖3,電子束探測器300包括電子束柱302(諸如掃描電子顯微鏡(SEM)柱),用以遞送光束304至待測裝置(DUT)306。電子束柱302(或電子束柱302之至少一部分)可被安裝於處理室308中,而待測裝置(DUT)306可由平台310所支撐,如圖所示。
於電子束探測器300中,信號產生器312被耦合至DUT306。檢測器314(其位於光束304之路徑中或者在電子束柱302的旁邊)被耦合至感應模組316。感應模組316被耦合至鎖定放大器或頻譜分析器318,其被耦合至操作放大器320。掃描模組322被耦合至操作放大器320並可接收掃描電子顯微鏡(SEM)影像信號324或者傳送外部x-y掃描控制 信號326至電子束柱302之控制板。電腦控制器328可產生掃描電子顯微鏡(SEM)影像或電子束信號影像映射(ESIM)影像330。
圖4包括圖表及相應的電路概圖,其代表來自諸如圖3電子束探測器300的電子束探測器上所履行的分析之可能結果,依據本發明之實施例。參考圖4,通過/失敗分析之圖表402係根據電路404之裝置的已判定狀態以指示FAIL。反之,通過/失敗分析之圖表452係根據電路454之裝置的已判定狀態以指示PASS。
於第三形態中,光電故障映射(OEFM)被描述。於一實施例中,電子束被用於ESIM(電子束信號影像映射)或ELSI(電子束邏輯狀態成像),當測試晶片於通過及失敗狀態之邊界上(例如,於特定電壓或頻率)時。於一實施例中,雷射光束被用以照射一區域,其包括相關積體電路結構或裝置,用以調變該些積體電路結構或裝置。於特定實施例中,雷射光束係處於大面積照射模式。於另一實施例中,雷射光束被掃描從點到點跨越相關區域,當該電子束被掃描跨越整個區域、或停留在特定裝置上、或者連同雷射光束而被掃描時。
於任一情況下,於一實施例中,雷射調變係足夠地更改有缺陷的裝置以將該測試晶片從通過改至失敗,或反之亦然。於一此類實施例中,此更改係容許其負責電壓或頻率之特定範圍上的邊際失敗之故障裝置或對準的檢測及定位。使用雷射連同電子束之裝置更改可被履行以檢測該狀 態及該失敗,當使用該雷射以調變該些裝置時。應理解:該雷射無須如光學工具中一般為高度聚焦的,且該解析度據瞭解是主要由電子束而由雷射光束所判定。
依據本發明之實施例,針對OEFM,電子束被用於ESIM或ELSI,而測試形態被連續地循環且電壓和頻率(或溫度)被調整以致其測試器形態係於通過與失敗邊界上。雷射光束被用以照射其含有相關裝置之區域來調變該些裝置。該調變可足夠地擾動有缺陷的裝置以將晶片從通過改至失敗、或從失敗改至通過。於範例實施例中,於掃描鏈測試上,當DUT是在通過狀態時,則該掃描鏈中之所有電路元件係顯示ESIM信號。然而,當雷射擾動該有缺陷的裝置至失敗時,該些下游電路元件並未顯示ESIM信號。於一實施例中,藉由比較具有與沒有雷射照射之ESIM映圖,則有缺陷的裝置之位置可被判定。於一實施例中,OEFM提供兩個獨立旋鈕以供故障隔離:雷射調變及電子束檢測。
圖5為具有光電故障映射(OEFM)能力之電子束探測器500的概圖,依據本發明之實施例。參考圖5,電子束探測器500包括電子束柱(諸如掃描電子顯微鏡(SEM)柱),用以遞送光束504至待測裝置(DUT)506。電子束柱(或電子束柱之至少一部分)可被安裝於處理室508中,而待測裝置(DUT)506可由平台510所支撐,如圖所示。雷射源507A被包括,連同電子束探測器500中之電子束柱。雷射源507A被組態成輸出一指向DUT 506之雷射光束507B,如圖所 示。
於電子束探測器500中,信號產生器512被耦合至DUT 506。檢測器514(其位於光束504之路徑中)被耦合至感應模組516。感應模組516被耦合至鎖定放大器或頻譜分析器518,其被耦合至操作放大器520。掃描模組522被耦合至操作放大器520並可接收掃描電子顯微鏡(SEM)影像信號524或者傳送外部x-y掃描控制信號526至電子束柱502之控制板。電腦控制器528可產生掃描電子顯微鏡(SEM)影像或電子束信號影像映射(ESIM)影像530。
圖6包括(a)判定概圖602及(b)相應的位置判定概圖604,其係判定自圖表608和610以代表來自諸如圖5電子束探測器500的電子束探測器上所履行的分析的可能結果,依據本發明之實施例。參考圖6,範例通過至失敗判定概圖602係針對位置判定概圖604中之位置606而被判定。該判定可根據所得回應之分析來做出,諸如指示FAIL之通過/失敗分析的圖表608、或指示PASS之通過/失敗分析的圖表610。
於第四形態中,電子束裝置擾動(EDP)被描述。於一實施例中,晶片係於通過及失敗之邊界(例如,於特定溫度、電壓或頻率)上接受測試,而電子束被用於裝置擾動。電子束被掃描於相關區域之上,當晶片運作特定形態於通過/失敗邊界狀況上時。當電子束撞擊裝置並將該晶片從通過改至失敗(或反之亦然)時,邊際裝置之位置被記錄。
於一實施例中,EPD係類似於(OEFM),除了其積體電路結構或裝置擾動係由電子束本身所履行。該電子束被掃描於相關區域上方且ESIM(電子束信號影像映射)或ELSI(電子束邏輯狀態成像)信號被像素接像素地收集,因此容許有缺陷的裝置之映射。然而,測試形態被連續地循環且電壓及頻率被調整以致其測試器形態和DUT係位於通過及失敗邊界上,類似於OEFM。於一實施例中,測試形態循環係與電子束掃描同步化,當該測試器持續地監督DUT之通過至失敗或失敗至通過變遷時。於特定實施例中,電子束係於足夠低的能量以免永久地更改該DUT。電子束被用以稍微地擾動其位於速度路徑上之關鍵裝置的時序。於特定實施例中,該擾動係於100至200皮秒(picosecond)之等級。於一實施例中,當電子束撞擊「時序關鍵」裝置時,測試器循環形態係針對通過或失敗或者從失敗至通過而變遷。當「變遷」發生時,測試器係送出電壓信號,其被送入電子束掃描/成像系統之第二頻道。
於一實施例中,使用EPD方式,SEM影像(例如,ESIM及/或SELSI及/或DELSI)、及電子擾動撞擊影像被像素接像素地產生並同步化。於一此類實施例中,此方式係致能關鍵時序裝置之確實位置的精確定位。於一實施例中,約5奈米或更小的解析度被達成。
依據本發明之實施例,參考如上所述之所有四個形態,電子束被用以提供較高的解析度,相較於最先進光學工具。例如,光學工具可提供約240奈米解析度,及可能 小如120奈米解析度。然而,光之波長使得更進一步的增進困難重重(假如不是不可能的話)。反之,於一實施例中,文中所述之電子束系統係提供1-10奈米之範圍中的解析度,其容許在個別裝置鰭片位準上之檢測。能檢測該裝置內之個別故障鰭片是有利的。應理解:文中所述之技術可針對先進半導體開發、除錯及製造為極有力的。文中所述之實施例可被實施於廣泛範圍的半導體產品。
於另一形態中,文中所述之一或更多實施例係有關微影方式及工具,其係涉及或適於互補式電子束微影(CEBL),包括當實施此類方式及工具時之半導體處理考量。特定實施例係有關於針對電子束直接寫入(EBDW)微影之基本吸收及/或導電層的實施方式。
互補式微影利用兩種微影技術之能力(互相合作)來降低以20nm以內半節距圖案化邏輯裝置中之關鍵層的成本,於大量製造(HVM)時。用以實施互補式微影之最成本效率高的方式是結合光學微影與電子束微影(EBL)。將積體電路(IC)設計轉移至晶圓之程序係詳述如下:光學微影,用來以預定義節距印刷單向線(嚴格單向或主要單向);節距分割技術,用來增加線密度;及EBL,用來「切割」線。EBL亦用來圖案化其他關鍵層,特別是接點及通孔。光學微影可被單獨用來圖案化其他層。當用來補充光學微影時,EBL被稱為CEBL,或互補式EBL。CEBL係針對切割線及孔洞。藉由不嘗試圖案化所有層,CEBL扮演互補但關鍵的角色以滿足工業上之圖案化需求,在先進的 (較小的)科技節點(例如,10nm或更小,諸如7nm或5nm科技節點)上。CEBL亦延伸當前光學微影技術、工具及設施之使用。
於一實施例中,如文中所述之互補式微影涉及藉由習知或最先進微影,諸如193nm浸入微影(193i),以首先製造具柵格的佈局。節距分割可被實施以增加具柵格佈局中之線的密度以n之因數。利用193i微影加上以n之因數的節距分割之具柵格佈局形成可被指定為193i+P/n節距分割。節距分割的具柵格佈局之圖案化可接著使用電子束直接寫入(EBDW)「切割」而被圖案化,如以下更詳細地描述。於一此類實施例中,193nm浸入定標可利用成本效益高的節距分割而被延伸於許多世代。互補式EBL被用以打斷光柵連續性並將通孔圖案化。
更明確地,文中所述之實施例係有關於積體電路之製造期間圖案化特徵。於一實施例中,CEBL被用來圖案化開口以供形成通孔。通孔為用以將通孔上方之金屬線電連接至通孔下方之金屬線的金屬結構。於另一實施例中,CEBL被用以形成沿著金屬線之非導電間隔或中斷。傳統上,此類中斷已被稱為「切割」,因為該程序涉及移除或切掉金屬線之部分。然而,於金屬鑲嵌方式中,中斷可被稱為「插塞」(於本技術中亦已知為阻擋遮罩),其為沿著金屬線軌跡之區,其在製造規劃之任何階段實際上不是金屬,反而是其中無法形成金屬之保留區。然而,於任何情況下,切割或插塞等術語可被交換地使用。通孔開口及金 屬線切割或插塞形成常被稱為積體電路之後段製程(BEOL)處理。於另一實施例中,CEBL被用於前段製程(FEOL)處理。例如,有效區尺寸(諸如鰭片尺寸)之定標及/或相關的閘極結構可使用如文中所述之CEBL技術來執行。
如上所述,電子束(e-beam)微影可被實施來補充標準微影技術,以獲得積體電路製造之特徵的所欲擴縮。電子束微影工具可被用以履行電子束微影。於一範例實施例中,圖4為一種電子束微影設備之電子束柱(column)的橫斷面概略圖示。
參考圖7,電子束柱700包括用以提供電子之束704的電子源702。電子之束704通過限制孔徑706,而接著,通過高高寬比照明光學裝置708。輸出束710接著通過狹縫712並可由薄透鏡714(例如,其可為磁性的)所控制。最後,電子之束704通過成型孔徑716(其可為一維(1-D)成型孔徑)並接著通過消除器孔徑陣列(BAA)718。BAA 718包括複數物理孔徑於其中,諸如矽之薄片中所形成的開口。有可能其於既定時刻BAA 718之僅一部分被暴露至電子束。替代地,或結合地,僅有通過BAA 718之電子束704的一部分720被容許通過最後孔徑722(例如,束部分721被顯示為阻擋)以及(可能地)平台回饋偏轉器724。
再次參考圖7,所得的電子束726最終撞擊為晶圓730(諸如用於IC製造之矽晶圓)之表面上的一點728。明確地,所得的電子束可撞擊於晶圓上之光阻層上,但實施例 不限於此。平台掃描732相對於電子束726而移動晶圓730,沿著圖7中所示之箭號734的方向。應理解電子束工具完整地可包括圖7中所示之類型的數個電子束柱700。同時,如以下之某些實施例中所述,電子束工具可具有相關的基礎電腦,且各柱可進一步具有對應的柱電腦。
應理解:於某些實施例中,如上所述之電子束柱700亦可包括除了配合圖7所述之那些以外的其他特徵。例如,於一實施例中,樣本平台可被旋轉90度以容納交替的金屬化層,其可被相互正交地印刷(例如,旋轉於X與Y掃描方向之間)。於另一實施例中,電子束工具能夠在將晶圓載至該平台上之前旋轉晶圓90度。再者,應理解:與圖7相關所述之電子束柱僅為一範例電子束寫入設備,其可被實施以履行與文中所揭露之實施例相關而描述的方式。於又其他實施例中,電子束柱具有電子束探測能力,諸如以上與電子束探測設備100、300或500相關所述者。
更一般地,參考本發明之實施例的所有上述形態,應理解:具有含線切割(或插塞)之線以及具有相關通孔的金屬化層可被製造於基底之上,且於一實施例中,可被製造於先前金屬化層之上。此等金屬化層亦可被使用為信號線,用以協助下方裝置之電子束探測。當作範例,圖8闡明前層金屬化結構之平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考圖8,開始結構800包括金屬線802及層間電介質(ILD)線804的圖案。開始結構800可被圖案化為光柵狀圖案,以金屬線間隔於恆定節距並具有恆定寬 度,如圖8中所描繪者。雖未顯示,線802可具有中斷(亦即,切割或插塞)於沿著該些線之各個位置上。圖案(例如)可藉由節距減半或節距減為四分之一方式來製造,如上所述。某些線可關聯與下方通孔,諸如橫斷面視圖中之一範例所示的線802’。
於一實施例中,圖8之先前金屬化結構上的金屬化層之製造係以形成層間電介質(ILD)材料於結構800之上開始。硬遮罩材料層可接著被形成於ILD層上。硬遮罩材料層可被圖案化以形成正交於800之線802的單向線之光柵。於一實施例中,單向硬遮罩線之光柵係使用傳統微影(例如,光抗蝕劑及其他相關層)來製造,並可具有由節距減半、節距減為四分之一等方式所界定的線密度,如上所述。硬遮罩線之光柵留下下方ILD層之光柵區接受曝光。ILD層之這些曝光區被最終地圖案化以供金屬線形成、通孔形成、及插塞形成。例如,於一實施例中,通孔位置係使用EBL而被圖案化於曝光ILD之區中,如上所述。圖案化可涉及抗蝕劑層之形成及藉由EBL的抗蝕劑層之圖案化,以提供其可被蝕刻入ILD區之通孔開口位置。重疊於硬遮罩之上之線可被用以將通孔侷限於僅在暴露的ILD之區,其中的重疊是由可有效作為蝕刻停止之硬遮罩線所提供。插塞(或切割)位置亦可被圖案化於ILD之暴露區中,其因重疊於上之硬遮罩線所侷限,於一分離的EBL處理操作中。切割或插塞之製造有效地保留ILD之區,其將最終地中斷於其中所製造的金屬線。金屬線可接著使用金屬鑲 嵌方式而被製造,其中ILD之暴露部分(介於硬遮罩線之間且未被插塞保留層所保護的那些部分,諸如於「切割」期間所圖案化的抗蝕劑層)被部分地凹陷。凹陷可進一步延伸通孔位置以從下方的金屬化結構打開金屬線。部分凹陷的ILD區被接著填充以金屬(一種亦可涉及填充通孔位置之程序),例如,藉由電鍍及CMP處理,以提供介於上方硬遮罩線之間的金屬線。硬遮罩線可最終地被移除以完成金屬化結構。應理解:線切割、通孔形成、及最終線形成之上述順序僅被提供為範例。應理解:多種處理方案可使用EBL切割及通孔而被提供。
於一實施例中,如遍及本說明書所使用者,層間電介質(ILD)材料係由(或包括)電介質或絕緣材料之層所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2))、矽之摻雜的氧化物、矽之氟化氧化物、矽之碳摻雜的氧化物、本技術中所已知的各種低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。
於一實施例中,如亦遍及本說明書所使用者,互連材料係由一或更多金屬或其他導電結構所組成。一種常見的範例為使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。如文中所使用者,術語「金屬」係包括數個金屬之合金、堆疊、及其他組合。例如,金屬互連線可包括障壁層、不同金屬或合金之堆疊等等。在本 技術中互連線有時亦被稱為軌線、佈線、線、金屬、或僅為互連。
於一實施例中,如亦遍及本說明書所使用者,硬遮罩材料係由不同於層間電介質材料的電介質材料所組成。於某些實施例中,硬遮罩層包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料。於另一實施例中,硬遮罩材料包括金屬類。例如硬遮罩或其他上方材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如摻雜物或表面氧化)可被包括於這些層之一或更多者中。替代地,本技術中所已知的其他硬遮罩層可根據特定實施方式而被使用。硬遮罩層可藉由ALD、CVD、PVD、或藉由其他沈積方法而被形成。
應理解其與圖8關聯而描述的層及材料通常被形成於下方半導體基底或結構(諸如積體電路之下方裝置層)上面或上方。於一實施例中,下方半導體基底代表用以製造積體電路之一般工件物體。半導體基底常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基底包括(但不限定於)單晶矽、多晶矽及絕緣體上之矽(SOI)、以及由其他半導體材料(諸如砷化鎵)所形成之類似基底。半導體基底(根據製造之階段)常包括電晶體、積體電路,等等。基底亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基底中常發現的其他材料。再者,圖8中所描繪之結構可被製造於下方較低階互連層上。
於另一實施例中,電子束探測被使用於製造半導體裝置之製造期間,諸如積體電路之PMOS或NMOS裝置。當作已完成裝置之範例,圖9A及9B各別地闡明具有複數鰭片之非平面半導體裝置的之橫斷面視圖及平面視圖(沿著橫斷面視圖之a-a’軸所取),依據本發明之實施例。
參考圖9A,半導體結構或裝置900包括從基底902所形成(且於隔離區906內)之非平面主動區(例如,包括突出鰭片部分904及子鰭片區905之鰭片結構)。閘極線908被配置於非平面主動區之突出鰭片部分904上方以及於隔離區906之一部分上方。如圖所示,閘極線908包括閘極電極950及閘極電介質層952。於一實施例中,閘極線908亦可包括電介質蓋層954。閘極接點914、及上方閘極接點通孔916亦從此透視圖看出,連同上方金屬互連960,其均被配置於層間電介質堆疊或層970中。亦從圖9A之透視圖看出,閘極接點914(於一實施例中)被配置於隔離區906之上,但不是於非平面主動區之上。
參考圖9B,閘極線908被顯示為配置於突出鰭片部分904之上。突出鰭片部分904之源極和汲極區904A和904B可從此透視圖看出。於一實施例中,源極和汲極區904A和904B為突出鰭片部分904之原始材料的摻雜部分。於另一實施例中,突出鰭片部分904之材料被移除並取代以另一半導體材料,例如藉由外延沈積。於任一情況下,源極和汲極區904A和904B可延伸低於閘極電介質層952之高度,亦即,進入子鰭片區905。
於一實施例中,半導體結構或裝置900為非平面裝置,諸如(但不限定於)fin-FET或三閘極裝置。於此一實施例中,相應的半導體通道區係由三維主體所組成或者被形成為三維主體。於一此類實施例中,閘極線908之閘極電極堆疊係圍繞三維主體之至少頂部表面及一對側壁。
文中所揭露之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此等積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排及其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。
圖10闡明一計算裝置1000,依據本發明之一實施方式。計算裝置1000含有電路板1002。電路板1002可包括數個組件,包括(但不限定於)處理器1004及至少一通訊晶片1006。處理器1004被實體地及電氣地耦合至電路板1002。於某些實施方式中,至少一通訊晶片1006亦被實體地及電氣地耦合至電路板1002。於進一步實施方式中,通訊晶片1006為處理器1004之部分。
根據其應用,計算裝置1000可包括其他組件,其可被 或可不被實體地及電氣地耦合至電路板1002。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。
通訊晶片1006致能無線通訊,以供資料之轉移至及自計算裝置1000。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可經由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片1006可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置1000可包括複數通訊晶片1006。例如,第一通訊晶片1006可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片1006可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置1000之處理器1004包括封裝於處理器1004內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多使用電子束探測設備或方法所測試的結構,依據本發明之實施例的實施方式。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
通訊晶片1006亦包括封裝於通訊晶片1006內之積體電路晶粒。依據本發明之實施例的另一實施方式,通訊晶片之積體電路晶粒包括一或更多使用電子束探測設備或方法所測試的結構,依據本發明之實施例的實施方式。
於進一步實施方式中,計算裝置1000內所包括之另一組件可含有積體電路晶粒,其包括一或更多使用電子束探測設備或方法所測試的結構,依據本發明之實施例的實施方式。
於各種實施方式中,計算裝置1000可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置1000可為處理資料之任何其他電子裝置。
本發明之實施例可被提供為電腦程式產品(或軟體),其可包括其上儲存有指令之機器可讀取媒體,其可被用 以編程電腦系統(或其他電子裝置)來履行依據本發明之實施例的程序。於一實施例中,電腦系統被耦合與電子束工具,諸如相關於圖1(電子束探測器100)、3(電子束探測器300)、5(電子束探測器500)或7(電子束柱700)所述者。機器可讀取媒體包括任何用以儲存或傳輸可由機器(例如,電腦)讀取之形式的資訊之機制。例如,機器可讀取(例如,電腦可讀取)媒體包括機器(例如,電腦)可讀取儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置,等等)、機器(例如,電腦)可讀取傳輸媒體(電、光、聲或其他形式的傳播信號(例如,紅外線信號、數位信號等等)),等等。
圖11闡明以電腦系統1100之範例形式的機器之圖形表示,於該系統內可執行一組指令以致使機器執行文中所述之任何一或更多方法(諸如端點檢測)。於替代實施例中,機器可被連接(例如,連網)至區域網路(LAN)、內部網路、外部網路、或網際網路中之其他機器。機器可操作於用戶伺服器網路環境下之伺服器或用戶機器之範圍中、或者當作點對點(或分散式)網路環境下之同級機器。機器可為個人電腦(PC)、輸入板PC、機上盒(STB)、個人數位助理(PDA)、行動電話、網路器具、伺服器、網路路由器、開關或橋、或者能夠執行其指明由該機器所採取之行動的一組指令(序列或其他)的任何機器。再者,雖僅顯示單一機器,但術語「機器」亦應被視為包括其獨立地或聯合地 履行一組(或多組)用來執行文中所述之任何一或更多方法的指令之機器(例如,電腦)的任何集合。
範例電腦系統1100包括處理器1102、主記憶體1104(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等等)、靜態記憶體1106(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等等)、以及附屬記憶體1118(例如,資料儲存裝置),其係經由匯流排1130而彼此通連。
處理器1102代表一或更多一般用途處理裝置,諸如微處理器、中央處理單元,等等。更特別地,處理器1102可為複雜指令組計算(CISC)微處理器、減少指令組計算(RISC)微處理器、極長指令字元(VLIW)微處理器、實施其他指令組的處理器、或實施指令組之組合的處理器。處理器1102亦可為一或更多特殊用途處理裝置,諸如特定應用積體電路(ASIC)、場可編程閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器,等等。處理器1102組態成履行處理邏輯1126,用以執行文中所述之操作。
電腦系統1100可進一步包括網路介面裝置1108。電腦系統1100亦可包括視頻顯示單元1110(例如,液晶顯示(LCD)、發光二極體顯示(LED)、或陰極射線管(CRT))、文數輸入裝置1112(例如,鍵盤)、游標控制裝置1114(例如,滑鼠)、及信號產生裝置1116(例如,揚聲器)。
附屬記憶體1118可包括機器可存取儲存媒體(或更明 確地,電腦可讀取儲存媒體)1132,於其上儲存有一或更多實施文中所述之任何一或更多方法或功能的指令組(軟體1122)。軟體1122亦可駐存(完全地或至少部分地)於主記憶體1104內及/或於處理器1102內,在藉由電腦系統1100之其執行期間,主記憶體1104及處理器1102亦構成機器可讀取儲存媒體。軟體1122可進一步透過網路1120而被傳輸或接收,經由網路介面裝置1108。
雖然機器可存取儲存媒體1132被顯示於範例實施例中為單一媒體,術語「機器可讀取儲存媒體」應被視為包括單一媒體或多重媒體(例如,集中式或分散式資料庫、及/或相關快取及伺服器),其係儲存一或更多指令集。術語「機器可讀取儲存媒體」亦應被視為包括能夠儲存或編碼供由機器所執行的指令集之任何媒體,且該媒體致使該機器履行本發明之任何一或更多方法。術語「機器可讀取儲存媒體」應因此被視為包括(但不限定於)固態記憶體、及光學和磁性媒體。
本發明之實施例的實施方式可被形成或執行於基底(諸如半導體基底)上。於一實施方式中,半導體基底可為使用大塊矽所形成的結晶基底或矽絕緣體次結構。於其他實施方式中,半導體基底可使用替代材料而被形成,該些材料可或可不與矽結合,其包括(但不限定於)鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵、或III-V族或IV族材料之其他組合。雖然於此描述了可用來形成基底之材料的一些範例,但任何可作用為基礎以 便可於其上建立半導體裝置之材料均落入本發明之精神及範圍內。
複數電晶體,諸如金氧半導體場效電晶體(MOSFET或僅稱為MOS電晶體),可被製造於基底上。於本發明之各個實施方式中,MOS電晶體可為平面電晶體、非平面電晶體、或兩者之組合。非平面電晶體包括FinFET電晶體(諸如雙閘極電晶體或三閘極電晶體)、及圍繞或包圍閘極電晶體(諸如奈米帶及奈米線電晶體)。雖然文中所述之實施方式可僅闡明平面電晶體及FinFET,但應注意:本發明亦可使用非平面電晶體來執行。
各MOS電晶體包括由至少兩層(閘極電介質層及閘極電極層)所形成的閘極堆疊。閘極電介質層可包括一層或層之堆疊。一或更多層可包括氧化矽、二氧化矽(SiO2)及/或高k電介質材料。高k電介質材料可包括元件,諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮、及鋅。可用於閘極電介質層之高k材料的範例包括(但不限定於)氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、及鈮酸鉛鋅。於某些實施例中,退火製程可被執行在閘極電介質層上以增進其品質,當使用高k材料時。
閘極電極層被形成於閘極電介質層上,並可由至少一P型工作函數金屬或N型工作函數金屬所組成,根據電晶體將是PMOS或NMOS電晶體。於某些實施方式中,閘極 電極層可包括二或更多金屬層之堆疊,其中一或更多金屬層為工作函數金屬層且至少一金屬層為填充金屬層。
針對PMOS電晶體,其可用於閘極電極之金屬包括(但不限定於)釕、鈀、鉑、鈷、鎳、及導電金屬氧化物,例如,氧化釕。P型金屬層將致能一種具有介於約4.9eV與約5.2eV間之工作函數的PMOS閘極電極之形成。針對NMOS電晶體,可用於閘極電極之金屬包括(但不限定於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將致能一種具有介於約3.9eV與約4.2eV間之工作函數的NMOS閘極電極之形成。
於某些實施方式中,閘極電極可包括「U」狀結構,其包括實質上平行於基底之表面的底部部分及實質上垂直於基底之頂部表面的兩側壁部分。於另一實施方式中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於基底之頂部表面而不包括實質上垂直於基底之頂部表面的側壁部分。於本發明之進一步實施方式中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或更多U狀金屬層,其係形成於一或更多平面、非U狀層之頂部上。
於本發明之某些實施方式中,一對側壁間隔物可被形成於其包圍閘極堆疊之閘極堆疊的相對側上。側壁間隔物可被形成自一種材料,諸如氮化矽、氧化矽、碳化矽、摻雜碳之氮化矽、及氧氮化矽。用以形成側壁間隔物之程序 為本技術中眾所周知的,且通常包括沈積及蝕刻製程步驟。於替代實施方式中,複數間隔物對可被使用,例如,兩對、三對、或四對側壁間隔物可被形成於閘極堆疊之相對側上。
如本技術中眾所周知者,源極和汲極區被形成於鄰近各MOS電晶體之閘極堆疊的基底內。源極和汲極區通常係使用植入/擴散程序或者蝕刻/沈積程序來形成。於前者之程序中,諸如硼、鋁、銻、磷或砷等摻雜物可被離子植入基底以形成源極和汲極區。一種啟動摻雜物並致使其進一步擴散入基底之退火程序通常係接續於離子植入程序之後。於後者之程序中,基底可首先被蝕刻以形成凹陷於源極和汲極區之位置上。外延沈積程序可接著被執行而利用一種用來製造源極和汲極區之材料以填充該些凹陷。於某些實施方式中,源極和汲極區可使用諸如矽鍺或碳化矽等矽合金來製造。於某些實施方式中,外延地沈積的矽合金可於原處被摻雜以諸如硼、砷、或磷等摻雜物。於進一步實施例中,源極和汲極區可使用一或更多替代的半導體材料(諸如鍺)或III-V族材料或合金而被形成。且於進一步實施例中,一或更多層金屬及/或金屬合金可被用以形成源極和汲極區。
一或更多層間電介質(ILD)被沈積於MOS電晶體之上。ILD層可使用已知其在積體電路結構中之可應用性的電介質材料而被形成,諸如低k電介質材料。可被使用之電介質材料的範例包括(但不限定於)二氧化矽(SiO2)、碳 摻雜的氧化物(CDO)、氮化矽、有機聚合物(諸如全氟環丁烷或聚四氟乙烯)、氟矽酸鹽玻璃(FSG)、及有機矽酸鹽(諸如半矽氧烷、矽氧烷、或有機矽酸鹽玻璃)。ILD層可包括孔洞或空氣間隙以進一步減少其電介質常數。
圖12闡明其包括本發明之一或更多實施例的插入器1200。插入器1200為中間基底,用以橋接第一基底1202至第二基底1204。第一基底1202可為(例如)積體電路晶粒。第二基底1204可為(例如)記憶體模組、電腦主機板、或其他積體電路晶粒。通常,插入器1200之目的係為了將連接延伸至較寬的節距或者將連接重新路由至不同連接。例如,插入器1200可將積體電路晶粒耦合至球柵陣列(BGA)1206,其可後續地被耦合至第二基底1204。於某些實施例中,第一及第二基底1202/1204被安裝至插入器1200之相反側。於其他實施例中,第一及第二基底1202/1204被安裝至插入器1200之相同側。以及於進一步實施例中,三或更多基底係經由插入器1200而被互連。
插入器1200可由以下所形成:環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或聚合物材料(諸如聚醯亞胺)。於進一步實施方式中,插入器可被形成以替代的堅硬或彈性材料,其可包括用於半導體基底之上述的相同材料,諸如矽、鍺、及其他III-V族或IV族材料。
插入器可包括金屬互連1208及通孔1210,包括(但不限定於)穿越矽通孔(TSV)1212。插入器1200可進一步包括嵌入式裝置1214,包括被動和主動裝置兩者。此等裝置包 括(但不限定於)電容、解耦電容、電阻、電感、熔絲、二極體、變壓器、感應器、及靜電放電(ESD)裝置。諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感應器、及MEMS裝置等更複雜的裝置亦可被形成於插入器1200上。
依據本發明之實施例,文中所揭露之設備或製程可被用於插入器1200之製造。
圖13闡明一計算裝置1300,依據本發明之一實施方式。計算裝置1300可包括複數組件。於一實施例中,這些組件被安裝至一或更多主機板。於一替代實施例中,這些組件被製造於主機板之外的單一晶片上系統(SoC)晶粒上。計算裝置1300中之組件包括(但不限定於)積體電路晶粒1302及至少一通訊晶片1308。於某些實施方式中,通訊晶片1308被製造為積體電路晶粒1302之部分。積體電路晶粒1302可包括CPU 1304以及晶粒上記憶體1306(常被使用為快取記憶體),其可由諸如嵌入式DRAM(eDRAM)或自旋力矩轉移記憶體(STTM或STTM-RAM)等技術所提供。
計算裝置1300可包括其他組件,其可被或可不被實體地及電氣地耦合至主機板或者被製造於SoC晶粒內。這些其他組件包括(但不限定於)揮發性記憶體1310(例如,DRAM)、非揮發性記憶體1312(例如,ROM或快閃記憶體)、圖形處理單元1314(GPU)、數位信號處理器1316、密碼處理器1342(一種執行硬體內之密碼演算法的特殊化處理器)、晶片組1320、天線1322、顯示或觸控式螢幕顯示 1324、觸控式螢幕控制器1326、電池1329或其他電源、功率放大器(未顯示)、全球定位系統(GPS)裝置1328、羅盤1330、動作共處理器或感應器1332(其可包括加速計、迴轉儀、及羅盤)、揚聲器1334、相機1336、使用者輸入裝置1338(諸如鍵盤、滑鼠、尖筆、及觸控板)、以及大量儲存裝置1340(諸如硬碟機、光碟(CD)、數位多功能光碟(DVD),等等)。
通訊晶片1308致能無線通訊,以供資料之轉移至及自計算裝置1300。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可經由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片1308可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置1300可包括複數通訊晶片1308。例如,第一通訊晶片1308可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片1308可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置1300之處理器1304包括一或更多使用電子束 探測設備或方法所測試的結構,依據本發明之實施例的實施方式。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
通訊晶片1308亦可包括一或更多使用電子束探測設備或方法所測試的結構,依據本發明之實施例的實施方式。
於進一步實施例中,計算裝置1300內所包括之另一組件可含有使用電子束探測設備或方法所測試的一或更多結構,依據本發明之實施例的實施方式。
於各種實施方式中,計算裝置1300可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置1300可為處理資料之任何其他電子裝置。
因此,已揭露了用於晶片除錯及故障隔離之電子束探測的設備及方法。
前述本發明實施例例示的施作方式說明(包括摘要中所述者)不是想要詳盡或者限制本發明於所揭露的精確形式。雖然本發明之特定實施方式(及範例)被描述於文中以供說明性目的,但於本發明之範圍內的各個同等修改是可能的,如那些熟悉相關技藝人士所將理解者。
可根據上述詳細描述以對本發明做出這些修改。以下申請專利範圍中所使用之術語不應被解讀為限制本發明於說明書及申請專利範圍中所揭露的特定實施方式。反之,本發明之範圍應完全由後附申請專利範圍所判定,該申請專利範圍應依據已建立的申請專利範圍解讀原理來解釋。
範例實施例1:一種電子束信號影像映射(ESIM)之方法包括:掃描電子束於一含有積體電路結構之晶片上的區域上方;切變該積體電路結構之閘極電極於一頻率;放大二次電子信號並將該放大的二次電子信號傳送至被調諧至該頻率的鎖定放大器或頻譜分析器;將該鎖定放大器或頻譜分析器之輸出饋送入掃描控制單元,其係掃描於該區域上方;以該電子束照射該積體電路結構之一部分來調變該二次電子信號。
範例實施例2:範例實施例1之方法,其中調變該二次電子信號包括主動電壓對比以產生調變信號於二次電子檢測器上,該調變信號被發送至該鎖定放大器或頻譜分析器。
範例實施例3:範例實施例2之方法,其中來自該鎖定放大器或頻譜分析器之信號的位置係指示其攜載振盪信號之該積體電路結構的位置。
範例實施例4:範例實施例3之方法,其中該積體電路結構之映射係與電腦輔助設計(CAD)佈局進行比較以判定該積體電路結構之有缺陷的裝置。
範例實施例5:範例實施例1、2、3或4之方法,其中 以該電子束照射該積體電路結構之一部分包括照射該積體電路結構之金屬線。
範例實施例6:範例實施例1、2、3或4之方法,其中以該電子束照射該積體電路結構之一部分包括照射該積體電路結構之矽鰭片。
範例實施例7:範例實施例1、2、3、4、5或6之方法,其中掃描該電子束於該區域上方及以該電子束照射該積體電路結構之該部分來調變該二次電子信號包括履行晶片除錯或故障隔離於該區域中。
範例實施例8:一種靜態電子束邏輯狀態成像(SELSI)之方法包括:掃描電子束於一含有積體電路結構之晶片上的區域上方;藉由停止測試器形態以將該積體電路結構置於特定狀態;收集該積體電路結構之二次電子影像;該積體電路結構係展現不同的對比於高或低電壓狀態,於切變該積體電路結構時。該方法亦包括根據該切變以識別該積體電路結構之個別裝置邏輯狀態。
範例實施例9:範例實施例8之方法,進一步包括收集針對以不同邏輯狀態所切變的該已切變積體電路結構之導電結構的影像來顯露較亮的對比或較暗的對比。
範例實施例10:範例實施例8或9之方法,其中收集該積體電路結構之該二次電子影像包括收集該積體電路結構之金屬線的該二次電子影像。
範例實施例11:範例實施例8或9之方法,其中收集該積體電路結構之該二次電子影像包括收集該積體電路結構 之矽鰭片的該二次電子影像。
範例實施例12:範例實施例8、9、10或11之方法,其中掃描該電子束於該區域上方及識別該積體電路結構之該個別裝置邏輯狀態包括履行晶片除錯或故障隔離於該區域中。
範例實施例13:一種動態電子束邏輯狀態成像(DELSI)之方法包括:掃描電子束於一含有積體電路結構之晶片上的區域上方;調變該積體電路結構之Vcc電源供應於一頻率;放大二次電子信號並將該放大的信號傳送至被調諧至該頻率的鎖定放大器或頻譜分析器;將該鎖定放大器或頻譜分析器之輸出饋送入掃描控制單元,其係掃描於該區域上方;根據該區域之位置的亮度以映射該積體電路結構之邏輯狀態。
範例實施例14:範例實施例13之方法,其中放大該二次電子信號包括放大該積體電路結構之金屬線的該二次電子信號。
範例實施例15:範例實施例13之方法,其中放大該二次電子信號包括放大該積體電路結構之矽鰭片的該二次電子信號。
範例實施例16:範例實施例13、14或15之方法,其中掃描該電子束於該區域上方及映射該積體電路結構之該邏輯狀態包括履行晶片除錯或故障隔離於該區域中。
範例實施例17:一種光電故障映射(OEFM)之方法包括:掃描電子束於一含有積體電路結構之晶片上的區域上 方、以雷射光束照射該積體電路結構來調變該積體電路結構、測試該積體電路結構於該積體電路結構的通過和失敗狀態之邊界上、及根據該通過和失敗狀態之改變以識別有缺陷的積體電路結構。
範例實施例18:範例實施例17之方法,其中以該雷射光束照射該積體電路結構包括照射該積體電路結構之金屬線。
範例實施例19:範例實施例17之方法,其中以該雷射光束照射該積體電路結構包括照射該積體電路結構之矽鰭片。
範例實施例20:範例實施例17、18或19之方法,其中掃描該電子束於該區域上方及測試該積體電路結構包括履行晶片除錯或故障隔離於該區域中。
100:電子束探測器
102:電子束柱
104:光束
106:待測裝置(DUT)
108:處理室
110:平台
112:信號產生器
114:檢測器
116:感應模組
118:鎖定放大器或頻譜分析器
120:操作放大器
122:掃描模組
124:掃描電子顯微鏡(SEM)影像信號
126:外部x-y掃描控制信號
128:電腦控制器
130:掃描電子顯微鏡(SEM)影像或電子束信號影像映射(ESIM)影像

Claims (20)

  1. 一種電子束信號影像映射(ESIM)之方法,該方法包含:   掃描電子束於一含有積體電路結構之晶片上的區域上方;   切變該積體電路結構之閘極電極於一頻率;   放大二次電子信號並將該放大的二次電子信號傳送至被調諧至該頻率的鎖定放大器或頻譜分析器;   將該鎖定放大器或頻譜分析器之輸出饋送入掃描控制單元,其係掃描於該區域上方;及   以該電子束照射該積體電路結構之一部分來調變該二次電子信號。
  2. 如申請專利範圍第1項之方法,其中調變該二次電子信號包含主動電壓對比以產生調變信號於二次電子檢測器上,該調變信號被發送至該鎖定放大器或頻譜分析器。
  3. 如申請專利範圍第2項之方法,其中來自該鎖定放大器或頻譜分析器之信號的位置係指示其攜載振盪信號之該積體電路結構的位置。
  4. 如申請專利範圍第3項之方法,其中該積體電路結構之映射係與電腦輔助設計(CAD)佈局進行比較以判定該積體電路結構之有缺陷的裝置。
  5. 如申請專利範圍第1項之方法,其中以該電子束照射該積體電路結構之一部分包含照射該積體電路結構之金屬線。
  6. 如申請專利範圍第1項之方法,其中以該電子束照射該積體電路結構之一部分包含照射該積體電路結構之矽鰭片。
  7. 如申請專利範圍第1項之方法,其中掃描該電子束於該區域上方及以該電子束照射該積體電路結構之該部分來調變該二次電子信號包含履行晶片除錯或故障隔離於該區域中。
  8. 一種靜態電子束邏輯狀態成像(SELSI)之方法,該方法包含:   掃描電子束於一含有積體電路結構之晶片上的區域上方;   藉由停止測試器形態以將該積體電路結構置於特定狀態;   收集該積體電路結構之二次電子影像,該積體電路結構於切變該積體電路結構時展現於高或低電壓狀態不同對比;及   根據該切變以識別該積體電路結構之個別裝置邏輯狀態。
  9. 如申請專利範圍第8項之方法,進一步包含:   收集針對以不同邏輯狀態所切變的該已切變積體電路結構之導電結構的影像來顯露較亮的對比或較暗的對比。
  10. 如申請專利範圍第8項之方法,其中收集該積體電路結構之該二次電子影像包含收集該積體電路結構之金屬線的該二次電子影像。
  11. 如申請專利範圍第8項之方法,其中收集該積體電路結構之該二次電子影像包含收集該積體電路結構之矽鰭片的該二次電子影像。
  12. 如申請專利範圍第8項之方法,其中掃描該電子束於該區域上方及識別該積體電路結構之該個別裝置邏輯狀態包含履行晶片除錯或故障隔離於該區域中。
  13. 一種動態電子束邏輯狀態成像(DELSI)之方法,該方法包含:   掃描電子束於一含有積體電路結構之晶片上的區域上方;   調變該積體電路結構之Vcc電源供應於一頻率;   放大二次電子信號並將該放大的信號傳送至被調諧至該頻率的鎖定放大器或頻譜分析器;   將該鎖定放大器或頻譜分析器之輸出饋送入掃描控制單元,其係掃描於該區域上方;及   根據該區域之位置的亮度以映射該積體電路結構之邏輯狀態。
  14. 如申請專利範圍第13項之方法,其中放大該二次電子信號包含放大該積體電路結構之金屬線的該二次電子信號。
  15. 如申請專利範圍第13項之方法,其中放大該二次電子信號包含放大該積體電路結構之矽鰭片的該二次電子信號。
  16. 如申請專利範圍第13項之方法,其中掃描該電子束於該區域上方及映射該積體電路結構之該邏輯狀態包含履行晶片除錯或故障隔離於該區域中。
  17. 一種光電故障映射(OEFM)之方法,該方法包含:   掃描電子束於一含有積體電路結構之晶片上的區域上方;   以雷射光束照射該積體電路結構來調變該積體電路結構;   測試該積體電路結構於該積體電路結構的通過和失敗狀態之邊界上;及   根據該通過和失敗狀態的改變以識別有缺陷的積體電路結構。
  18. 如申請專利範圍第17項之方法,其中以該雷射光束照射該積體電路結構包括照射該積體電路結構之金屬線。
  19. 如申請專利範圍第17項之方法,其中以該雷射光束照射該積體電路結構包括照射該積體電路結構之矽鰭片。
  20. 如申請專利範圍第17項之方法,其中掃描該電子束於該區域上方及測試該積體電路結構包含履行晶片除錯或故障隔離於該區域中。
TW107128331A 2017-09-27 2018-08-14 電子束信號影像映射(esim)之方法,靜態電子束邏輯狀態成像(selsi)之方法,動態電子束邏輯狀態成像(delsi)之方法及光電故障映射(oefm)之方法 TWI789415B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??PCT/US17/53704 2017-09-27
WOPCT/US17/53704 2017-09-27
PCT/US2017/053704 WO2019066802A1 (en) 2017-09-27 2017-09-27 ELECTRON BEAM SURFING FOR CHIP DEBUGGING AND DEFAULT ISOLATION

Publications (2)

Publication Number Publication Date
TW201923373A TW201923373A (zh) 2019-06-16
TWI789415B true TWI789415B (zh) 2023-01-11

Family

ID=65902231

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128331A TWI789415B (zh) 2017-09-27 2018-08-14 電子束信號影像映射(esim)之方法,靜態電子束邏輯狀態成像(selsi)之方法,動態電子束邏輯狀態成像(delsi)之方法及光電故障映射(oefm)之方法

Country Status (2)

Country Link
TW (1) TWI789415B (zh)
WO (1) WO2019066802A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI834015B (zh) * 2019-12-19 2024-03-01 荷蘭商Asml荷蘭公司 帶電粒子多射束系統及相關的非暫時性電腦可讀媒體
WO2023213500A1 (en) * 2022-05-05 2023-11-09 Asml Netherlands B.V. Radiation tolerant detector architecture for charged particle detection

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681189A (zh) * 2012-09-12 2014-03-26 Fei公司 执行带电粒子显微镜中的样本的断层成像的方法
US20140149811A1 (en) * 2012-04-12 2014-05-29 Larry Ross At-speed integrated circuit testing using through silicon in-circuit logic analysis
US20140331098A1 (en) * 2013-03-15 2014-11-06 United States Of America As Represented By The Secretary Of The Navy Sensor enhancement through algorithmic acquisition using synchronization with a scan generator
TW201503205A (zh) * 2005-12-02 2015-01-16 Carl Zeiss Microscopy Llc 系統、離子顯微鏡、氣體場離子顯微鏡

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923237B2 (en) * 2006-12-28 2011-04-12 Intel Corporation Method and apparatus for combined electrochemical synthesis and detection of analytes
WO2013065094A1 (ja) * 2011-10-30 2013-05-10 株式会社日本マイクロニクス 半導体プローブによる量子電池の試験装置及び試験方法
TW201704766A (zh) * 2015-03-19 2017-02-01 帝喜科技股份有限公司 加熱粒子束以識別缺陷

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201503205A (zh) * 2005-12-02 2015-01-16 Carl Zeiss Microscopy Llc 系統、離子顯微鏡、氣體場離子顯微鏡
US20140149811A1 (en) * 2012-04-12 2014-05-29 Larry Ross At-speed integrated circuit testing using through silicon in-circuit logic analysis
CN103681189A (zh) * 2012-09-12 2014-03-26 Fei公司 执行带电粒子显微镜中的样本的断层成像的方法
US20140331098A1 (en) * 2013-03-15 2014-11-06 United States Of America As Represented By The Secretary Of The Navy Sensor enhancement through algorithmic acquisition using synchronization with a scan generator

Also Published As

Publication number Publication date
WO2019066802A1 (en) 2019-04-04
TW201923373A (zh) 2019-06-16

Similar Documents

Publication Publication Date Title
TWI663631B (zh) 利用電子束通用切割器的橫切掃描近似校正
TWI597761B (zh) 用於電子束工具之行和遮蔽器孔徑陣列及形成用於半導體結構的圖形之方法
TWI567575B (zh) 使用互補式電子束微影術的單向金屬層佈局
JP6462720B2 (ja) 電子ビームの3ビームアパーチャアレイ
KR102373197B1 (ko) E 빔 비범용 커터
TWI789415B (zh) 電子束信號影像映射(esim)之方法,靜態電子束邏輯狀態成像(selsi)之方法,動態電子束邏輯狀態成像(delsi)之方法及光電故障映射(oefm)之方法
KR102389365B1 (ko) E 빔 범용 커터
KR102385705B1 (ko) 전자빔 직접 기입(ebdw) 리소그래피를 위한 하부 흡수 층 또는 전도 층
KR102387713B1 (ko) 즉각적인 e 빔 정렬
KR102562134B1 (ko) 전자 빔 노광 시스템을 위한 미세 정렬 시스템
Henderson Failure analysis techniques for a 3D world
KR102389005B1 (ko) E 빔 스루풋을 위한 데이터 압축
US20180226303A1 (en) Method of manufacturing semiconductor device
US11199508B1 (en) Failure analysis method with improved detection accuracy for advanced technology node
US20240103072A1 (en) Testing a semiconductor device using x-rays
US20240036108A1 (en) Repackaging IC Chip For Fault Identification
US20240038587A1 (en) Forming Openings Through Carrier Substrate of IC Package Assembly for Fault Identification
Wu et al. Accelerating FinFET MOL process development using design for inspection methodology
US20230369207A1 (en) Inline circuit edit
Narang et al. Die-Level Roadmap: Post-Isolation Domain
Vallett FA future requirements
TW202405467A (zh) 檢測裝置和方法