TWI787276B - 基板處理系統 - Google Patents

基板處理系統 Download PDF

Info

Publication number
TWI787276B
TWI787276B TW107119094A TW107119094A TWI787276B TW I787276 B TWI787276 B TW I787276B TW 107119094 A TW107119094 A TW 107119094A TW 107119094 A TW107119094 A TW 107119094A TW I787276 B TWI787276 B TW I787276B
Authority
TW
Taiwan
Prior art keywords
frequency
processing system
duty cycle
substrate processing
variable capacitor
Prior art date
Application number
TW107119094A
Other languages
English (en)
Other versions
TW201909233A (zh
Inventor
龍茂林
艾立克斯 派特森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201909233A publication Critical patent/TW201909233A/zh
Application granted granted Critical
Publication of TWI787276B publication Critical patent/TWI787276B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Polarising Elements (AREA)
  • Threshing Machine Elements (AREA)
  • Types And Forms Of Lifts (AREA)

Abstract

一種基板處理系統包含一處理腔室,其包含一基板支座以支撐一基板。 一線圈係設置於該處理腔室周圍。一第一RF源提供在第一幅值及第一頻率下的第一RF功率。一第一脈衝電路將一工作週期施加至該第一RF源。一調諧電路接收該第一脈衝電路的輸出、包含一第一可變電容器、且具有與該線圈通信的輸出以在該處理腔室中產生電漿。一控制器包含一資料擷取模組,用以產生回授。一回授控制模組基於該回授及一增益值而控制該第一頻率及該第一可變電容器之其中至少一者。該控制器基於該第一頻率及該工作週期之其中至少一者而選擇該增益值。

Description

基板處理系統
本發明大體上係關於基板處理系統,具體而言,係關於使用變壓器耦合電容調諧(TCCT)切換之用於變壓器耦合電漿(TCP)脈衝之系統和方法。
此處所提供之先前技術描述係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統通常用以蝕刻基板(例如半導體晶圓)上的薄膜。蝕刻通常包含濕化學蝕刻或乾蝕刻。可利用由感應耦合式電漿(ICP)所產生之電漿以執行乾蝕刻。可藉由設置於與介電窗鄰接的處理腔室之外的線圈以產生感應耦合式電漿。將在處理腔室內流動的處理氣體點燃以產生電漿。
一種基板處理系統包含一處理腔室,其包含一基板支座以支撐一基板。一線圈係設置於該處理腔室周圍。一第一RF源提供在第一幅值及第一頻率下的第一RF功率。一第一脈衝電路將一工作週期施加至該第一RF源。一調諧電路接收該第一脈衝電路的輸出、包含一第一可變電容器、且具有與該線圈通信的輸出以在該處理腔室中產生電漿。一控制器包含一資料擷取模組,用以產 生回授。一回授控制模組基於該回授及一增益值而控制該第一頻率及該第一可變電容器之其中至少一者。該控制器基於該第一頻率及該工作週期之其中至少一者而選擇該增益值。
在其他特徵中,一VI探針感測該電漿的電流及電壓。一相位/大小偵測器,用以偵測該電漿的相位及大小。該資料擷取模組基於該VI探針及該相位/大小偵測器之輸出而產生該回授。
在其他特徵中,該控制器包含一增益查找表,該增益查找表係以該工作週期及該第一頻率之其中至少一者編索引、且輸出該增益值。該控制器包含一遮蔽週期查找表,該遮蔽週期查找表係以該第一頻率及該工作週期之其中至少一者編索引、且將一遮蔽週期輸出至該資料擷取模組。該控制器包含一資料擷取查找表,該資料擷取查找表係以該第一頻率及該工作週期編索引、且將一資料擷取週期輸出至該資料擷取模組。
在其他特徵中,該控制器基於該第一頻率及該工作週期之其中至少一者而調整用於取平均的樣本數,該取平均係由該資料擷取模組在該回授上執行。該控制器更包含一前饋控制器,用以決定可變電容與該第一頻率之粗調諧。該前饋控制器包含一習得查找表,該習得查找表係以腔室壓力、氣體、氣體流率、脈衝頻率、RF功率及RF偏壓功率之其中至少三者編索引。
在其他特徵中,該前饋控制器包含一類神經網路,該類神經網路具有包含腔室壓力、氣體、氣體流率、脈衝頻率、RF功率及RF偏壓功率之其中至少三者的輸入。該第一RF源提供在第二幅值及第二頻率下的第二RF功率。該第一脈衝電路輸出在該第一頻率及該第一幅值下的該工作週期之第一部分、及在該第二頻率及該第二幅值下的該工作週期之第二部分。
在其他特徵中,該回授控制模組進一步控制該第二頻率。
在其他特徵中,一第二RF源輸出在第三幅值及第三頻率下的第三RF功率、及在第四幅值及第四頻率下的第四RF功率。一第二脈衝電路將該工作週期施加至該第二RF源、且具有與該基板支座通信的輸出。
在其他特徵中,該回授控制模組進一步控制該第三頻率及該第四頻率。該第二脈衝電路輸出在該第三頻率及該第三幅值下的該工作週期之第一部分、及在該第四頻率及該第四幅值下的該工作週期之第二部分。
在其他特徵中,線圈包含第一及第二線圈,且該調諧電路包含一匹配電路,其包含該第一可變電容器及一第二可變電容器。一功率分配器分配該第一與第二線圈之間的功率、且包含第三可變電容器及第四可變電容器。
在其他特徵中,該回授控制模組基於該回授及該增益值之其中至少一者而控制該第一頻率及該第一可變電容器、該第二可變電容器、該第三可變電容器與該第四可變電容器的電容值。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
10:基板處理系統
11:線圈驅動電路
12:RF源
13:調諧電路
14:脈衝電路
15:反轉電路
16:線圈
20:充氣部
210:回授控制器
220:適應控制器
222:可變增益
224:期望設定點模組
228:增益查找表
232:資料擷取模組
238:遮蔽週期查找表
24:介電窗
242:資料擷取週期查找表
248:每迭代之樣本數之查找表
28:處理腔室
280:方法
284:操作
288:操作
290:操作
294:操作
298:操作
32:基板支座
320:前饋控制器
324:類神經網路
34:基板
40:電漿
400:方法
410:操作
414:操作
420:方法
50:RF源
51:脈衝電路
52:偏壓匹配電路
54:控制器
56:氣體輸送系統
57:氣體源
58:氣體計量系統
59:歧管
60:氣體輸送系統
61:閥
62:氣體
64:加熱器/冷卻器
65:排放系統
66:閥
67:泵浦
71:VI探針
73:相位/大小偵測器
80:內線圈
80-1:第一導體
80-2:第二導體
82:外線圈
82-1:第一導體
82-2:第二導體
90:TCCT電路
92:匹配電路
94:功率分配器
C1:電容器
C2:電容器
C3:電容器
C4:電容器
C5:電容器
C6:電容器
C7:電容器
L1:線圈
L2:線圈
L3:電感器
L5:電感器
RF1:第一RF源
RF2:第二RF源
RF3:第三RF源
RF4:第四RF源
SW1:第一開關
SW2:第二開關
SW3:第三開關
SW4:第四開關
本揭示內容從實施方式及隨附圖式可更完全了解,其中:依據本發明,圖1為感應耦合式電漿(ICP)基板處理系統之範例的功能方塊圖;依據本發明,圖2為內與外線圈之範例的平面圖;依據本發明,圖3為變壓器耦合電容調諧(TCCT)電路之範例的電路簡圖; 依據本發明,圖4A-4D為顯示在一些操作模式期間RF輸入信號、TCP與RF偏壓信號之範例的圖式;圖5A顯示依據本發明的TCP RF功率與偏壓RF功率之脈衝電路之範例;圖5B-5E為以時間之函數顯示在不同模式期間圖5A中之開關的開關控制信號之範例的圖式;圖6為以時間之函數顯示在脈衝包絡期間遮蔽及資料擷取週期之範例的圖式;依據本發明,圖7為適應回授控制器之範例的功能方塊圖;依據本發明,圖8為顯示使用回授控制器以控制可變電容器及/或TCP RF功率及/或偏壓RF功率之脈衝頻率的方法之範例的流程圖;依據本發明,圖9為前饋控制器之範例的功能方塊圖;依據本發明,圖10為顯示使用前饋控制器以控制可變電容器及/或TCP RF功率及/或偏壓RF功率之脈衝頻率的方法之範例的流程圖;依據本發明,圖11為顯示包含前饋控制器及適應回授控制器之控制器的功能方塊圖;以及依據本發明,圖12為顯示圖11之控制器之操作的流程圖;在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
依據本揭示內容的基板處理系統及方法包含變壓器耦合電容調諧(TCCT)電路,其帶有可變電容器以用於阻抗匹配及/或功率分配。依據本揭示內容的基板處理系統及方法在混合模式脈衝(MMP)操作模式中操作,在該等操 作模式期間,在一工作週期及一或更多脈衝頻率下脈衝TCP RF功率及/或偏壓RF功率。MMP操作模式的工作週期可在1%至99%之間變化。
在一些範例中,利用可調整的遮蔽週期及資料擷取週期以進行電壓及電流量測,該遮蔽週期及資料擷取週期係基於脈衝頻率及/或工作週期之其中至少一者而選擇。在一些範例中,控制器使用具有學習能力(例如習得查找表或經訓練之類神經網路)的前饋控制,俾直接調諧至穩態位置,而不經歷通常回授控制器所需之迭代。在一些範例中,控制器使用用於粗調諧的前饋控制、以及其後的適應回授控制。在其他範例中,使用特定於脈衝條件(如由工作週期及脈衝頻率所界定)的可變資料平均。
現參照圖1,顯示依據本揭示內容的基板處理系統10的範例。基板處理系統10包含線圈驅動電路11。在一些範例中,線圈驅動電路11包含脈衝電路14、RF源12及調諧電路13。在操作期間,脈衝電路14控制RF信號的TCP包絡、並使TCP包絡的工作週期在1%至99%之間變化。吾人可理解,可將脈衝電路14及RF源12結合或分開。
可將調諧電路13直接連接至一或更多感應線圈16。或者,可藉由可選的反轉電路15將調諧電路13連接至線圈16之一或更多者。調諧電路13將RF源12的輸出調諧至期望頻率及/或期望相位、匹配線圈16的阻抗、並分配線圈16之間的功率。反轉電路15係用以選擇性地切換通過線圈16之一或更多者的電流的極性。
反轉電路15之範例係在以下共同受讓的美國專利申請案中顯示及說明:2015年03月30日提交的Sato等人的案名為「Systems And Methods For Reversing RF Current Polarity At One Output Of A Multiple Output RF Matching Network」的美國專利申請案第14/673,174號,在此藉由參照全文引入。
在一些範例中,可將充氣部20設置於線圈16與介電窗24之間,俾藉由熱及/或冷空氣流動以控制介電窗的溫度。介電窗24係沿著處理腔室28的一側設置。處理腔室28更包含基板支座(或底座)32。基板支座32可包含靜電夾頭(ESC)、或機械式夾頭、或其他類型的夾頭。將處理氣體供應至處理腔室28,並在處理腔室28內產生電漿40。電漿40蝕刻基板34的暴露表面。RF源50、脈衝電路51及偏壓匹配電路52可用於在操作期間使基板支座32偏壓,俾控制離子能量。
氣體輸送系統56可用以將處理氣體混合物供應至處理腔室28。氣體輸送系統56可包含處理及惰性氣體源57、氣體計量系統58(例如閥及質量流量控制器)、及歧管59。氣體輸送系統60可用以經由閥61而將氣體62輸送至充氣部20。該氣體可包含用以冷卻線圈16及介電窗24的冷卻氣體(空氣)。加熱器/冷卻器64可用以將基板支座32加熱/冷卻至預定溫度。排放系統65包含閥66及泵浦67,以藉由清除或排空而將反應物自處理腔室28移除。
在處理腔室中設置電壓(V)及電流(I)探針(或VI探針)71以偵測電漿的電壓及電流。此外,在處理腔室中設置相位/大小偵測器73以偵測電漿的相位及大小。
控制器54可用以控制蝕刻處理。控制器54監視系統參數、並控制氣體混合物之輸送、激發、維持、及消退電漿、反應物之移除、冷卻氣體之供應等。此外,如以下詳細說明,控制器54可控制線圈驅動電路11、RF源50、及偏壓匹配電路52等之各種態樣。
現參照圖2,顯示線圈16之範例。雖然在圖2中顯示特定線圈構造,然而可使用其他線圈構造。線圈16可包含內線圈80及外線圈82。內線圈80包含具有一輸入端In1與一輸出端Out1的第一導體80-1、以及具有一輸入端In2與一輸出端Out2的第二導體80-2。將第一導體80-1及第二導體80-2捲繞於彼此相鄰的大致圓形或環狀之路徑中。外線圈82包含具有一輸入端In3與一輸出端Out3的 第一導體82-1、以及具有一輸入端In4與一輸出端Out4的第二導體82-2。將第一導體82-1及第二導體82-2捲繞於彼此相鄰的大致圓形或環狀之路徑中。
現參照圖3,TCCT電路90(例如調諧電路13)之範例包含驅動線圈16的匹配電路92及功率分配器94。匹配電路92自RF源12接收RF輸入信號RFIN。匹配電路92包含複數電容器C1、C2、與C3、以及電感器L5。在電容器C1的第一端子處接收RF輸入信號RFIN。電容器C1的第二端子係連接至電容器C2與C3的第一端子。電容器C3的第二端子係連接至電感器L5的第一端子。
在一些範例中,電容器C1與C3為可變電容器,其具有受調整以匹配線圈(及電漿)之阻抗的電容數值。在一些範例中,電容器C1與C3為切換式電容器電路,其包含二或更多電容器及至少一開關。切換式電容器之範例係在以下共同受讓的美國專利中顯示及說明:案名為「Transformer Coupled Capacitive Tuning Circuit With Fast Impedance Switching for Plasma Etch Chambers」且於2016年12月06日核准的美國專利第9,515,633號,在此藉由參照全文引入。在一些範例中,電容器C1與C3包含馬達控制真空電容器。匹配電路92將RF源12之阻抗與線圈16之阻抗作匹配。如以下將進一步說明在操作期間改變可變電容器C1與C3的數值以匹配線圈16之阻抗。匹配電路92之輸出係連接至功率分配器94。
功率分配器94係耦接至內與外線圈80、82(亦即,線圈16),如圖所示。功率分配器94將從匹配電路92接收的RF功率供應至內與外線圈80、82。功率分配器94包含複數電容器C4、C5、C6、C7、及(扁線的)電感器Lstray與L3。電感器L5的第二端子係連接至電容器C4與C6的第一端子。電容器C4的第二端子係經由扁線(具有雜散電感Lstray)而連接至線圈L2的第一端。線圈L2的第二端係連接至電容器C7。電容器C6的第二端子係連接至電容器C5的第一端子。電容器C5的第二端子係連接至線圈L1的第一端。線圈L1的第二端係連接至電感器L3
在一些範例中,電容器C4與C5為可變電容器。在一些範例中,可變電容器C4與C5為切換式電容器電路,其包含二或更多電容器及至少一開關。在一些範例中,電容器C4與C5包含馬達控制真空電容器。電容器C4與C5的數值可與可變電容器C1與C3的數值一同變化(或獨立於可變電容器C1與C3的數值而變化)。
在一些範例中,混合模式脈衝(MMP)可用以驅動線圈16及基板支座32。MMP之範例係在以下共同受讓的美國專利申請案中顯示及說明:2015年09月23日提交的Long等人的案名為「Systems And Methods For Reverse Pulsing」的美國專利申請案第14/863,331號,在此藉由參照全文引入。
現參照圖4A-4D,顯示MMP的一些範例。簡單來說,在混合模式脈衝中,來自RF源12與50的信號係與界定工作週期的時脈(顯示為Clk)同步。來自RF源12(顯示為TCP)的信號係以如下方式脈衝:與來自RF源50(顯示為RF偏壓)的信號在時間上交替或偏移的方式。兩信號的信號位準或幅值可能不同。並且,時脈的工作週期可在1%至99%之間變化。
在一些範例中,圖1所示之控制器54可產生時脈、並使兩信號與該時脈同步,以使來自RF源12的信號係與來自RF源50的信號在時間上偏移而脈衝,如圖4A-4D所示。如圖所示,控制器54亦可控制兩信號的振幅
例如,圖4A中,來自RF源50的信號在時脈的第一部分期間可具有位準0、而在時脈的第二部分期間可具有位準A1。來自RF源12的信號在時脈的第一部分期間可具有位準A2、而在時脈的第二部分期間可具有位準0。在一些範例中,由第一部分及第二部分所界定的週期對應至一時脈週期。或者,圖4B中,來自RF源50的信號在時脈的第一部分期間可具有位準0、而在時脈的第二部分期間可具有位準A1。來自RF源12的信號在時脈的第一部分期間可具有位準A2、而在時脈的第二部分期間可具有位準A3。
在其他範例中,圖4C中,來自RF源50的信號在時脈的第一部分期間可具有位準A4、而在時脈的第二部分期間可具有位準A1。來自RF源12的信號在時脈的第一部分期間可具有位準A2、而在時脈的第二部分期間可具有位準0。或者,圖4D中,來自RF源50的信號在時脈的第一部分期間可具有位準A4、而在時脈的第二部分期間可具有位準A1。來自RF源12的信號在時脈的第一部分期間可具有位準A2、而在時脈的第二部分期間可具有位準A3。
在一些範例中,如Long等人之共同受讓的美國專利申請案第14/863,331號所進一步顯示及說明,RF源12與50之各者可包含複數RF信號產生器。在RF源12與50之各者中,複數RF信號可具有不同頻率及不同信號位準。可使複數RF信號與時脈信號同步。可將複數RF信號組合以產生組合信號,其各別地利用混合模式脈衝以驅動線圈16及基板支座32。
現參照圖5A,顯示TCP RF功率及偏壓RF功率的脈衝電路14、51。RF源12包含一或更多RF源。在此範例中,RF源12包含第一RF源RF1及第二RF源RF2。脈衝電路14包含連接至第一RF源RF1的第一開關SW1、以及連接至第二RF源RF2的第二開關SW2。控制開關SW1及SW2以選擇性地供應第一或第二RF源RF1與RF2、或兩者皆不供應。
RF源50包含一或更多RF源。在此範例中,RF源50包含第三RF源RF3及第四RF源RF4。脈衝電路51包含連接至第三RF源RF3的第三開關SW3、以及連接至第四RF源RF4的第四開關SW4。控制開關SW3及SW4以選擇性地供應第三或第四RF源RF3與RF4、或兩者皆不供應。由開關SW1及/或SW2所界定的工作週期可為相同於或不同於由開關SW3及/或SW4所界定的工作週期。
現參照圖5B-5E,用於圖5A中之開關的開關控制信號之範例係顯示為時間的函數。圖5B中,將第一模式期間之切換顯示為對應於圖4A。使開關SW1在1%至99%之間(此範例中約50%)的所選工作週期導通及不導通,而使第二 開關SW2維持不導通(0%工作週期)。使第三開關SW3在所選工作週期(具有與第一開關SW1之時序相反的時序)導通及不導通,而使第四開關SW4維持不導通。
圖5C中,將第二模式期間之切換顯示為對應於圖4B。使開關SW1在1%至99%之間(此範例中約50%)的所選工作週期導通及不導通,而使第二開關SW2在所選工作週期(與開關SW1相反)不導通及導通。使第三開關SW3在所選工作週期(具有與第一開關SW1之時序相反、而相同於第二開關SW2的時序)導通及不導通,而使第四開關SW4不導通。
圖5D中,將第三模式期間之切換顯示為對應於圖4C。使開關SW1在1%至99%之間(此範例中約50%)的所選工作週期導通及不導通,而使第二開關不導通。使第三開關SW3在所選工作週期(具有與第一開關SW1之時序相反的時序)導通及不導通,而使第四開關SW4不導通及導通(與開關SW3相反)。
圖5E中,將第四模式期間之切換顯示為對應於圖4D。使開關SW1在1%至99%之間(此範例中約50%)的所選工作週期導通及不導通,而使第二開關SW2在所選工作週期(與開關SW1相反)不導通及導通。使第三開關SW3在所選工作週期(具有與第一開關SW1之時序相反的時序)導通及不導通,而使第四開關SW4不導通及導通(與開關SW3相反)。
現參照圖6,藉由VI探針在操作期間採樣電漿電壓(V)及電流(I)。習知的TCP脈衝方法中,在發生於遮蔽週期之後的資料擷取週期期間,當開關SW1至SW4之一者為啟動時執行RF電壓及電流(VI)量測。遮蔽週期及資料擷取週期可對應於固定的時間間隔或某百分比的導通時間(ON time)(TON)。此外,用於阻抗調諧及TCCT比率調整的一組通用的控制增益被應用於完整脈衝窗。將該等量測在固定數目的原始資料點上取平均值。此方法通常適用於某些頻率與工作週期為約50%時。
然而,當脈衝頻率在若干赫茲至若干kHz的範圍內且工作週期在1%(或300uS的最小導通時間)至99%的範圍內時,遮蔽週期及資料擷取週期之單一設定(無論係固定或導通時間之百分比)無法適用於脈衝頻率與工作週期中的完整操作窗。
例如,對於1kHz及50%的脈衝條件,10%或50微秒之遮蔽時間及50%或250微秒之資料擷取時間可能使效果良好。然而,對於10Hz及50%工作週期之脈衝條件,使用50uS及250uS之相同設定無法使效果非常良好。此因在10Hz下之脈衝比在1kHz下之脈衝花費更多時間在各週期內建立穩定電漿。若在10Hz脈衝下各別使用10%及50%之相同百分比,每一週期在10Hz脈衝下的資料擷取時間比在1kHz下長許多。
另一方面,在10Hz及50%之脈衝條件下,50%或50mS之遮蔽時間及10%或1mS之資料擷取時間可能使效果良好。在1kHz及10%下的相同設定不允許有足夠時間進行電壓及電流量測(亦即,僅10uS)。在極端情況下,在較高頻率下之脈衝較類似於由包含RF頻率(作為中心頻率)及旁帶(sidebands)之多重頻率所驅動的連續波(CW)電漿。在低頻端、且具有低工作週期之脈衝可變為:各週期具有激發暫態的打開及關掉電漿之序列。因此,遮蔽時間及資料擷取時間之設定必須係可基於完整脈衝操作窗之特定脈衝頻率及工作週期而調整的。
在資料擷取週期內藉由VI探針71及相位-大小偵測器73所進行的量測係作為回授,以用於阻抗調諧及TCCT比率調整之閉迴路控制。例如,當控制器具有1kHz之更新率時,單一的步升或步降TCCT比率調整可能花費數百個控制週期以完成具有良好動態響應的暫態(具有最小振盪的快速暫態)。然而當在10Hz下以10%工作週期脈衝時,導通時間為10mS。因此,控制迴路在每一脈衝週期中最多僅更新10次(當遮蔽時間為導通時間之0%,而資料擷取時間為導通時間之100%)。對於既定的控制系統及增益設定,到達TCCT比率之設定點可能花 費高達40至50個脈衝週期。在一些範例中,完成TCCT調整可能花費4至5秒,其比期望值長。為了達成較快的調整(例如1秒或更短),本文所述之系統及方法具有學習能力。在訓練之後,本文所述之系統及方法更快速地調整(尤其對於低脈衝頻率及低工作週期之條件)。
在一些範例中,VI探針71及相位-大小偵測器73可能花費一微秒(μs)或更多以執行每次量測。可將原始量測取平均值以在被用於回授控制迴路之前用數位方式濾除雜訊。依據資料擷取時間,可能或可能不存在足夠數目的原始資料點以在每一控制更新週期內取平均。因此,本文所述之系統及方法基於期望設定點(如由工作週期及/或脈衝頻率所界定)而調整受平均資料點之數目。
當導通時間為最短時,資料擷取週期被最大化(藉由使高脈衝頻率條件之遮蔽時間最小化)。需要將一些操作條件阻隔。例如,脈衝頻率在較低端(例如,10Hz)之短導通時間(例如,300uS)可能不具有足夠的平均RF功率以激發及/或維持穩定的電漿。
現參照圖7,在一些範例中,控制器54包含回授控制器210。回授控制器210包含適應控制器220,其接收回授信號並使用可變增益222以調整在調諧電路中的可變電容器及/或脈衝頻率。在一些範例中,適應控制器包含比例積分微分(PID)控制器,但可使用其他類型的控制器。
期望設定點模組224儲存由使用者所設定的期望設定點。期望設定點可包含用於TCP RF功率及RF偏壓功率的工作週期及一或更多脈衝頻率(f)。DC可於1%至99%之間變化。
期望設定點模組224將期望設定點輸出至增益查找表228、遮蔽週期查找表238、資料擷取(DA)週期查找表242及/或每迭代之樣本數之查找表248。增益查找表228基於頻率及/或工作週期設定點而查找增益值、並將增益值輸出至適應控制器220。遮蔽週期查找表238基於頻率及/或工作週期設定點而查找遮蔽 週期、並將遮蔽週期輸出至資料擷取模組232。資料擷取週期查找表242基於頻率及/或工作週期設定點而查找資料擷取週期、並將資料擷取週期輸出至資料擷取模組232。每迭代之樣本數之查找表248基於頻率及/或工作週期設定點而查找欲用於取平均的樣本數、並將樣本數輸出至資料擷取模組232。
資料擷取模組232接收VI探針71之輸出及相位/大小偵測器73之輸出,並基於遮蔽週期、資料擷取週期、及欲用於取平均的樣本數而將回授資料提供至適應控制器220。
現參照圖8,顯示用於控制可變電容器及/或TCP RF功率及/或偏壓RF功率之RF頻率的方法280。在284,將工作週期、脈衝模式、及脈衝頻率設定至初始值。期望設定點係用以選擇增益、遮蔽週期、資料擷取週期、及/或用於取平均的樣本數。
在288,基於工作週期、脈衝模式、及/或脈衝頻率之一或更多者而設定適應控制器之增益。在290,基於工作週期、脈衝模式、及/或脈衝頻率之一或更多者而設定適應控制器之遮蔽週期。在294,基於工作週期、脈衝模式、及/或脈衝頻率之一或更多者而設定適應控制器之資料擷取週期。在298,基於使用遮蔽週期、資料擷取週期、及/或用於取平均的樣本數以擷取的回授資料,而利用適應性增益來迭代地控制調諧電路中的可變電容器之電容及/或脈衝頻率。
現參照圖9,在一些範例中,控制器54包含前饋控制器320。前饋控制器320包含一或更多經訓練之查找表及/或一或更多類神經網路(以324受識別)。經訓練之查找表及/或一或更多類神經網路324係利用已知輸入/輸出資料組加以訓練。前饋控制器320接收相關於工作週期、脈衝頻率、及/或腔室條件(例如腔室壓力、氣體混合物、氣體流率、RF偏壓功率及/或TCP RF功率等)的輸入資料。進一步之輸入可包含VI探針71及相位大小偵測器73之感測輸出。經訓練 之查找表及/或一或更多類神經網路324控制調諧電路13之可變電容值、RF源12與50之脈衝頻率、及/或脈衝電路14與51之變化。
現參照圖10,顯示利用前饋控制器以控制可變電容器及/或TCP RF功率及/或偏壓RF功率之RF頻率的方法400。在410,使用輸入-輸出資料之數值以建立類神經網路及/或經訓練之查找表。在414,基於一或更多參數(例如TCP RF功率、脈衝頻率、脈衝工作週期、腔室壓力、氣體混合物、氣體流率、偏壓RF功率等)而設定電容值及/或頻率。所設定之電容值及/或脈衝頻率可用於電漿處理期間。或者,來自前饋控制器的電容值及/或脈衝頻率可作為隨後透過前饋控制器調諧的粗值。
現參照圖11-12,回授及前饋控制兩者皆可被控制器54所使用。在圖11中,控制器54包含適應回授控制器210及前饋控制器320。在圖12中,方法420包含使用前饋控制器320以執行粗調諧。在執行粗調諧之後,回授控制器210執行微調諧。
以上敘述在本質上僅為說明性的,而非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛指示可以各種形式實行。因此,雖本揭露內容包含特定例子,但由於當研究圖式、說明書、及以下申請專利範圍時,其他變化將更顯清楚,故本揭露內容之真實範疇不應如此受限。吾人應理解,在不改變本揭露內容之原理的情況下,可以不同次序(或同時)執行方法中之一或更多步驟。再者,雖實施例之各者係於以上描述為具有某些特徵,但關於本揭露內容之任何實施例所述之任一或更多該等特徵可在任何其他實施例中實行,及/或與任何其他實施例之特徵組合(即使並未詳細敘述該組合)。換句話說,所述之實施例並非互相排斥,且一或更多實施例彼此之間的置換維持於本揭露內容之範疇內。
元件(例如,在模組、電路元件、半導體層等)之間的空間及功能 上之關係係使用各種用語所敘述,該等用語包含「連接」、「接合」、「耦合」、「鄰近」、「在…旁邊」、「在…之上」、「上面」、「下面」、以及「設置」。除非明確敘述為「直接」之情形下,否則當於上述揭露內容中描述第一與第二元件之間的關係時,該關係可係在第一與第二元件之間不存在其它中介元件之直接關係,但亦可係在第一與第二元件之間存在一或更多中介元件(空間上或功能上)的間接關係。如本文所使用的,詞組「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯OR之邏輯(A OR B OR C),且不應解釋為意指「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施例中,控制器為系統的一部分,該系統可為上述例子的一部分。此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理用平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板之處理之前、期間、以及之後,控制其運作。電子設備可被稱為「控制器」,其可控制(複數)系統的各種元件或子部件。取決於處理需求及/或系統類型,可將控制器程式設計成控制本文所揭露之任何處理,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統連接或接合之工具及其他轉移工具、及/或負載鎖)。
廣泛來說,可將控制器定義為具有接收指令、發佈指令、控制運作、啟動清洗操作、啟動終點量測等之許多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為 實行特定處理(在半導體晶圓上,或是對半導體晶圓)定義操作參數。在一些實施例中,操作參數可係由製程工程師所定義之配方的一部分,俾在一或更多以下者(包含:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或基板的晶粒)的製造期間實現一或更多處理步驟。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、或以網路連接至系統、或以其組合之方式連接至系統。例如,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部或部分中。電腦可使系統能夠遠端存取,以監控製造運作的當前進度、檢查過去製造運作的歷史、由複數之製造運作而檢查趨勢或效能指標,以改變當前處理的參數、設定當前處理之後的處理步驟、或開始新的製程。在一些例子中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使用者介面,其可達成參數及/或設定的接取、或對參數及/或設定進行程式化,接著將該參數及/或該設定由遠端電腦傳達至系統。在一些例子中,控制器以資料的形式接收指令,該指令為將於一或更多操作期間執行之每個處理步驟指定參數。吾人應理解,參數可特定地針對將執行之製程的類型及將控制器設定以接合或控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式連接彼此且朝向共同目的(例如,本文所敘述的製程及控制)而運作的一或更多分離的控制器。用於此目的之分散式控制器的範例將係在腔室上、與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者結合以控制腔室上的製程。
範例系統可包含但不限於以下各者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積 (CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可在半導體晶圓的製造及/或加工中相關聯、或使用的任何其他半導體處理系統。
如以上所提及,取決於將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之一或更多的以下各者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰近之工具、相鄰之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
10:基板處理系統
11:線圈驅動電路
12:RF源
13:調諧電路
14:脈衝電路
15:反轉電路
16:線圈
20:充氣部
24:介電窗
28:處理腔室
32:基板支座
34:基板
40:電漿
50:RF源
51:脈衝電路
52:偏壓匹配電路
54:控制器
56:氣體輸送系統
57:氣體源
58:氣體計量系統
59:歧管
60:氣體輸送系統
61:閥
62:氣體
64:加熱器/冷卻器
65:排放系統
66:閥
67:泵浦
71:VI探針
73:相位/大小偵測器

Claims (16)

  1. 一種基板處理系統,其包含:一處理腔室,其包含一基板支座以支撐一基板;一線圈,其係設置於該處理腔室周圍;一第一RF源,用於提供在第一幅值及第一頻率下的第一RF功率;一第一脈衝電路,用以將一工作週期施加至該第一RF源;一調諧電路,用以接收該第一脈衝電路的輸出,該調諧電路包含第一可變電容器並且具有與該線圈通信的輸出以在該處理腔室中產生電漿;以及一控制器,其包含:一資料擷取模組,用以產生回授;以及一回授控制模組,用以基於該回授及一增益值而控制該第一頻率及該第一可變電容器之其中至少一者,其中該控制器基於該第一頻率及該工作週期之其中至少一者而選擇該增益值。
  2. 如申請專利範圍第1項之基板處理系統,更包含:一VI探針,用以感測該電漿的電流及電壓;以及一相位/大小偵測器,用以偵測該電漿的相位及大小,其中該資料擷取模組基於該VI探針及該相位/大小偵測器之輸出而產生該回授。
  3. 如申請專利範圍第1項之基板處理系統,其中該控制器包含一增益查找表,且自該增益查找表選擇該增益值,該增益查找表係以該工作週期及該第一頻率之其中至少一者編索引。
  4. 如申請專利範圍第1項之基板處理系統,其中該控制器包含一遮蔽週期查找表,且自該遮蔽週期查找表選擇一遮蔽週期以輸出至該資料擷取模組,該遮蔽週期查找表係以該第一頻率及該工作週期之其中至少一者編索引。
  5. 如申請專利範圍第1項之基板處理系統,其中該控制器包含一資料擷取查找表,且自該資料擷取查找表選擇一資料擷取週期以輸出至該資料擷取模組,該資料擷取查找表係以該第一頻率及該工作週期編索引。
  6. 如申請專利範圍第1項之基板處理系統,其中該控制器基於該第一頻率及該工作週期而調整用於取平均的樣本數,該取平均係由該資料擷取模組在該回授上執行。
  7. 如申請專利範圍第1項之基板處理系統,其中該控制器包含一前饋控制器,用以決定該第一可變電容器的可變電容與該第一頻率之粗調諧。
  8. 如申請專利範圍第7項之基板處理系統,其中該前饋控制器包含一習得查找表,該習得查找表係以腔室壓力、氣體混合物、氣體流率、脈衝頻率、RF功率及RF偏壓功率之其中至少三者編索引。
  9. 如申請專利範圍第7項之基板處理系統,其中該前饋控制器包含一類神經網路,該類神經網路具有包含腔室壓力、氣體混合物、氣體流率、脈衝頻率、RF功率及RF偏壓功率之其中至少三者的輸入。
  10. 如申請專利範圍第1項之基板處理系統,其中:該第一RF源提供在第二幅值及第二頻率下的第二RF功率,以及該第一脈衝電路輸出在該第一頻率及該第一幅值下的該工作週期之第一部分及在該第二頻率及該第二幅值下的該工作週期之第二部分。
  11. 如申請專利範圍第10項之基板處理系統,其中該回授控制模組進一步控制該第二頻率。
  12. 如申請專利範圍第10項之基板處理系統,更包含:一第二RF源,用以輸出在第三幅值及第三頻率下的第三RF功率及在第四幅值及第四頻率下的第四RF功率;以及 一第二脈衝電路,用以將該工作週期施加至該第二RF源並且具有與該基板支座通信的輸出。
  13. 如申請專利範圍第12項之基板處理系統,其中該回授控制模組進一步控制該第三頻率及該第四頻率。
  14. 如申請專利範圍第12項之基板處理系統,其中該第二脈衝電路輸出在該第三頻率及該第三幅值下的該工作週期之第一部分及在該第四頻率及該第四幅值下的該工作週期之第二部分。
  15. 如申請專利範圍第1項之基板處理系統,其中該線圈包含第一及第二線圈,且該調諧電路包含:一匹配電路,其包含連接至一第二可變電容器之該第一可變電容器;以及一功率分配器,用以分配該第一與第二線圈之間的功率,且包含第三可變電容器及第四可變電容器,該第三可變電容器及該第四可變電容器之各者係耦接至該第二可變電容器。
  16. 如申請專利範圍第15項之基板處理系統,其中該回授控制模組基於該回授及該增益值之其中至少一者而控制該第一頻率及該第一可變電容器、該第二可變電容器、該第三可變電容器與該第四可變電容器的電容值。
TW107119094A 2017-06-08 2018-06-04 基板處理系統 TWI787276B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/617,366 2017-06-08
US15/617,366 US10734195B2 (en) 2017-06-08 2017-06-08 Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching

Publications (2)

Publication Number Publication Date
TW201909233A TW201909233A (zh) 2019-03-01
TWI787276B true TWI787276B (zh) 2022-12-21

Family

ID=64563707

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107119094A TWI787276B (zh) 2017-06-08 2018-06-04 基板處理系統

Country Status (6)

Country Link
US (1) US10734195B2 (zh)
JP (1) JP2020523739A (zh)
KR (1) KR102621966B1 (zh)
CN (1) CN110709961B (zh)
TW (1) TWI787276B (zh)
WO (1) WO2018226468A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6976279B2 (ja) * 2019-03-25 2021-12-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法
TW202215485A (zh) * 2020-06-12 2022-04-16 美商蘭姆研究公司 用於離子損傷減輕及蝕刻均勻度改善之脈動遠程電漿
CN112016676B (zh) * 2020-08-18 2021-07-02 武汉大学 一种神经网络模型预测的半导体薄膜工艺参数优化系统
US11923175B2 (en) * 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11621587B1 (en) 2022-07-18 2023-04-04 Caps Medical Ltd. Configurable plasma generating system
WO2024018465A1 (en) * 2022-07-18 2024-01-25 Caps Medical Ltd. Configurable plasma generating system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW534928B (en) * 2000-03-31 2003-06-01 Lam Res Corp Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
TW200307989A (en) * 2002-04-19 2003-12-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
CN1299226C (zh) * 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
TW201316399A (zh) * 2011-06-15 2013-04-16 Lam Res Corp 電漿腔室之充電柵
US20130135058A1 (en) * 2011-04-28 2013-05-30 Maolin Long Tcct match circuit for plasma etch chambers
TW201521078A (zh) * 2013-09-19 2015-06-01 Lam Res Corp 用以在基板蝕刻過程控制基板直流偏壓、離子能量及角分布之方法及設備

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3310608B2 (ja) * 1998-01-22 2002-08-05 アプライド マテリアルズ インコーポレイテッド スパッタリング装置
KR20060029621A (ko) * 2003-06-19 2006-04-06 플라즈마 컨트롤 시스템 엘엘씨 듀티 싸이클이 조절가능한 플라즈마 생성 장치 및 방법과고주파 구동 회로
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
WO2007140455A2 (en) * 2006-05-31 2007-12-06 Tegal Corporation System and method for semiconductor processing
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
JP2010238881A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5632626B2 (ja) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
JP6424024B2 (ja) 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
KR101677748B1 (ko) 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
US10332725B2 (en) 2015-03-30 2019-06-25 Lam Research Corporation Systems and methods for reversing RF current polarity at one output of a multiple output RF matching network
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9515633B1 (en) 2016-01-11 2016-12-06 Lam Research Corporation Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1299226C (zh) * 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
TW534928B (en) * 2000-03-31 2003-06-01 Lam Res Corp Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
TW200307989A (en) * 2002-04-19 2003-12-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US20130135058A1 (en) * 2011-04-28 2013-05-30 Maolin Long Tcct match circuit for plasma etch chambers
TW201316399A (zh) * 2011-06-15 2013-04-16 Lam Res Corp 電漿腔室之充電柵
TW201521078A (zh) * 2013-09-19 2015-06-01 Lam Res Corp 用以在基板蝕刻過程控制基板直流偏壓、離子能量及角分布之方法及設備

Also Published As

Publication number Publication date
KR102621966B1 (ko) 2024-01-05
CN110709961A (zh) 2020-01-17
CN110709961B (zh) 2023-02-03
JP2020523739A (ja) 2020-08-06
TW201909233A (zh) 2019-03-01
WO2018226468A1 (en) 2018-12-13
US10734195B2 (en) 2020-08-04
US20180358205A1 (en) 2018-12-13
KR20200006180A (ko) 2020-01-17

Similar Documents

Publication Publication Date Title
TWI787276B (zh) 基板處理系統
CN106960776B (zh) 用于蚀刻室的快速阻抗切换的变压器耦合电容性调谐电路
JP7321938B2 (ja) 周波数調整を用いたデュアルレベルパルス化のためのrf整合回路網内の補助回路
KR102382697B1 (ko) Rf 전력에 기초하여 기판 지지부 피드-포워드 온도 제어를 위한 시스템 및 방법
KR102377951B1 (ko) 정전 척들의 전극들의 파라미터들을 설정하고 조정하기 위한 임피던스들을 갖는 튜닝 회로들을 포함하는 rf 튜닝 시스템들
US10847345B2 (en) Direct drive RF circuit for substrate processing systems
TWI736622B (zh) 用以控制連續波與脈衝電漿之間的變遷之方法及設備
KR102089813B1 (ko) 패러데이 차폐부의 온도 제어
WO2021024823A1 (ja) プラズマ処理装置
TWI840327B (zh) 射頻匹配電路控制系統及阻抗匹配的方法
TW202318921A (zh) 用於多頻率、多位準、多狀態脈波之包含rf匹配電路的基板處理系統