TWI781988B - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
TWI781988B
TWI781988B TW107108735A TW107108735A TWI781988B TW I781988 B TWI781988 B TW I781988B TW 107108735 A TW107108735 A TW 107108735A TW 107108735 A TW107108735 A TW 107108735A TW I781988 B TWI781988 B TW I781988B
Authority
TW
Taiwan
Prior art keywords
focus ring
substrate processing
central
patent application
substrate
Prior art date
Application number
TW107108735A
Other languages
Chinese (zh)
Other versions
TW201903814A (en
Inventor
高橋秀一
宮舘孝明
伴瀨貴德
高良穰二
守屋瑠美子
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201903814A publication Critical patent/TW201903814A/en
Application granted granted Critical
Publication of TWI781988B publication Critical patent/TWI781988B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

A substrate processing apparatus includes a process chamber, a stage that is disposed in the process chamber and on which a substrate is placeable, a moving mechanism, and a focus ring. The focus ring is disposed on the stage and includes an inner focus ring disposed close to the substrate placed on the stage, a middle focus ring that is disposed outside of the inner focus ring and is movable in a vertical direction by the moving mechanism, and an outer focus ring that is disposed outside of the middle focus ring.

Description

基板處理裝置Substrate processing equipment

本發明係有關於基板處理裝置。The present invention relates to a substrate processing apparatus.

於電漿蝕刻裝置,沿著半導體晶圓(於下文中,稱為「晶圓」。)之外周,設有聚焦環(例如,參照專利文獻1)。聚焦環所具備之功能,係控制晶圓之外周附近的電漿,以提升晶圓面內之蝕刻速率的均勻性。In a plasma etching apparatus, a focus ring is provided along the outer periphery of a semiconductor wafer (hereinafter referred to as "wafer") (for example, refer to Patent Document 1). The function of the focus ring is to control the plasma near the outer periphery of the wafer to improve the uniformity of the etching rate in the wafer surface.

晶圓之邊緣部的蝕刻速率,隨著聚焦環的高度而變化。因此,若由於聚焦環之損耗而使其高度有所變動,會使得晶圓之邊緣部的蝕刻速率高於晶圓之中心部或中間部等等,變得難以控制晶圓之邊緣部的蝕刻特性。有鑑於此,於專利文獻1,就設置上下驅動聚焦環的驅動部,以控制聚焦環之頂面的位置,提高晶圓之邊緣部的控制性。 [習知技術文獻] [專利文獻]The etch rate at the edge of the wafer varies with the height of the focus ring. Therefore, if the height of the focus ring is changed due to the loss of the focus ring, the etching rate at the edge of the wafer will be higher than that at the center or middle of the wafer, etc., and it becomes difficult to control the etching at the edge of the wafer. characteristic. In view of this, in Patent Document 1, a driving unit for driving the focus ring up and down is provided to control the position of the top surface of the focus ring and improve the controllability of the edge of the wafer. [Prior art documents] [Patent documents]

[專利文獻1]日本特開2008-244274號公報[Patent Document 1] Japanese Patent Laid-Open No. 2008-244274

[發明所欲解決的問題][Problem to be solved by the invention]

然而,於專利文獻1,係使分成兩部分的聚焦環中之內側的聚焦環維持固定,僅使外側的聚焦環上下移動。於該機構,若使外側之聚焦環上下移動,會導致晶圓全體之蝕刻速率偏移。因此,晶圓全體的蝕刻特性會變化,而難以僅控制晶圓之邊緣部的蝕刻特性。However, in Patent Document 1, the inner focus ring is kept fixed among the focus rings divided into two parts, and only the outer focus ring is moved up and down. In this mechanism, if the outer focus ring is moved up and down, the etching rate of the entire wafer will be shifted. Therefore, the etching properties of the entire wafer vary, and it is difficult to control the etching properties of only the edge portion of the wafer.

針對上述課題,於一個層面,本發明之目的,係針對基板之面內全體維持處理特性,同時針對基板之邊緣部控制處理特性。 [解決問題之技術手段]In view of the above-mentioned problems, at one level, the object of the present invention is to maintain the processing characteristics for the entire in-plane of the substrate, and at the same time control the processing characteristics for the edge portion of the substrate. [Technical means to solve the problem]

為了解決上述課題,本發明之一態樣提供一種基板處理裝置,具有聚焦環;該聚焦環包含:內側聚焦環,設於基板附近,該基板係載置於處理室內之工件台;中央聚焦環,設於該內側聚焦環之外側,並藉由移動機構而可上下移動;以及外側聚焦環,設於該中央聚焦環之外側。 [發明之效果]In order to solve the above problems, an aspect of the present invention provides a substrate processing apparatus, which has a focus ring; the focus ring includes: an inner focus ring disposed near a substrate that is placed on a work table in a processing chamber; a central focus ring , which is arranged outside the inner focus ring, and can move up and down by a moving mechanism; and the outer focus ring, which is arranged outside the central focus ring. [Effect of Invention]

藉由本發明之一個層面,可以一方面針對基板之面內全體維持處理特性,同時針對基板之邊緣部控制處理特性。According to one aspect of the present invention, it is possible to maintain the processing characteristics for the entire in-plane of the substrate while controlling the processing characteristics for the edge portion of the substrate.

以下針對用以實施本發明的形態,參照圖式以進行說明。又,於本說明書及圖式,對於實質上相同的結構,會標註相同符號,以省略重複說明。Embodiments for implementing the present invention will be described below with reference to the drawings. In addition, in this specification and drawings, the same code|symbol is attached|subjected to the structure which is substantially the same, and repeated description is abbreviate|omitted.

[基板處理裝置] 首先,針對本發明一實施形態之基板處理裝置5的結構之一例,參照圖1以進行說明。圖1繪示本實施形態之基板處理裝置5之結構之一例。於本實施形態,將以電容耦合型之平行平板電漿處理裝置作為基板處理裝置5為例,進行說明。[Substrate Processing Apparatus] First, an example of the structure of a substrate processing apparatus 5 according to an embodiment of the present invention will be described with reference to FIG. 1 . FIG. 1 shows an example of the structure of the substrate processing apparatus 5 of this embodiment. In this embodiment, description will be given by taking a capacitively coupled parallel plate plasma processing apparatus as the substrate processing apparatus 5 as an example.

基板處理裝置5,具有處理室10,其例如係鋁或不鏽鋼等金屬製的圓筒型真空容器。處理室10係處理容器之一例,其內部係進行電漿處理的處理室。處理室10有接地。The substrate processing apparatus 5 has a processing chamber 10 which is, for example, a cylindrical vacuum container made of metal such as aluminum or stainless steel. The processing chamber 10 is an example of a processing container, and the inside thereof is a processing chamber for performing plasma processing. The processing chamber 10 is grounded.

於處理室10內的下部中央,配置圓板狀的工件台12,其係兼用作下部電極的基板固持台,載置作為被處理體之例如晶圓W。工件台12,係例如由鋁所構成,並以從處理室10之底部朝向垂直上方延伸的導電性筒狀支持部16、以及鄰接其內部而設置的殼體100所支撐。In the center of the lower part of the processing chamber 10, a disc-shaped work table 12 is arranged, which is also used as a substrate holding table for the lower electrode, and a wafer W as an object to be processed, for example, is placed thereon. The work table 12 is made of aluminum, for example, and is supported by a conductive cylindrical support portion 16 extending vertically upward from the bottom of the processing chamber 10, and a case 100 provided adjacent to the inside thereof.

於導電性筒狀支持部16與處理室10之內壁之間,形成環狀的排氣路徑18。於排氣路徑18的上部或入口,安裝有環狀的折流板20;於底部設有排氣口22。為了使處理室10內的氣流,能夠相對於工件台12上晶圓W呈軸對稱地均勻,較佳係使排氣口22構成為在圓周方向上等間隔地設置複數個。An annular exhaust path 18 is formed between the conductive cylindrical support portion 16 and the inner wall of the processing chamber 10 . An annular baffle 20 is installed at the upper part or the inlet of the exhaust path 18 ; an exhaust port 22 is provided at the bottom. In order to make the gas flow in the processing chamber 10 uniform with respect to the axis of the wafer W on the work table 12, it is preferable to configure a plurality of exhaust ports 22 at equal intervals in the circumferential direction.

各排氣口22,經由排氣管24而連接至排氣裝置26。排氣裝置26,具有渦輪分子泵等等的真空泵,可以將處理室10內的電漿產生空間S,減壓至所要的真空度。於處理室10的側壁外,安裝有使晶圓W的搬入出口27開閉的閘閥28。Each exhaust port 22 is connected to an exhaust device 26 via an exhaust pipe 24 . The exhaust device 26 has a vacuum pump such as a turbomolecular pump, and can reduce the pressure of the plasma generation space S in the processing chamber 10 to a desired vacuum degree. On the outside of the side wall of the processing chamber 10, a gate valve 28 for opening and closing the loading and unloading port 27 of the wafer W is attached.

工件台12,經由匹配器32及供電棒34,而與第2高頻電源30電性連接。第2高頻電源30,能以可變功率輸出第1頻率(例如13.56MHz)的高頻LF,其係適於用以控制將離子引入晶圓W的能量。匹配器32容納有可變電抗的匹配電路,該匹配電路係用以使第2高頻電源30側的阻抗、與負載(電漿等)側的阻抗之間得以匹配。The workpiece table 12 is electrically connected to the second high-frequency power supply 30 via a matching unit 32 and a power supply rod 34 . The second high-frequency power supply 30 can output a high-frequency LF of a first frequency (for example, 13.56 MHz) with variable power, and is suitable for controlling the energy for introducing ions into the wafer W. The matching unit 32 accommodates a variable reactance matching circuit for matching the impedance on the second high-frequency power supply 30 side with the impedance on the load (plasma, etc.) side.

於工件台12之頂面,設有用以藉由靜電吸附力來固持晶圓W的靜電吸盤36。靜電吸盤36,係於一對絶緣膜36b之間,挾入導電膜所構成之電極36a,該電極36a經由開關42及包線43,而與直流電源40電性連接。晶圓W,係藉由自直流電源40所供應的直流電流,而透過靜電力被吸附固持於靜電吸盤36上。On the top surface of the workpiece table 12, an electrostatic chuck 36 for holding the wafer W by electrostatic attraction is provided. The electrostatic chuck 36 is sandwiched between a pair of insulating films 36b and an electrode 36a formed by a conductive film. The electrode 36a is electrically connected to the DC power supply 40 through the switch 42 and the covered wire 43 . The wafer W is attracted and held on the electrostatic chuck 36 through electrostatic force by the DC current supplied from the DC power supply 40 .

於工件台12內部,設有例如在圓周方向上延伸的環狀之冷媒流路44。從冷卻單元經由配管46、48,對冷媒流路44循環供應既定溫度之冷媒,例如冷卻水cw;藉由冷媒的溫度,得以控制靜電吸盤36上之晶圓W的溫度。再者,來自散熱氣體供應部的散熱氣體,例如氦氣,會經由氣體供應管50而供應至靜電吸盤36的頂面與晶圓W的背面之間。再者,為了搬入及搬出晶圓W,而設置在垂直方向上貫穿工件台12、且可上下移動的推頂銷,及其昇降機構等。Inside the work table 12, for example, an annular refrigerant flow path 44 extending in the circumferential direction is provided. From the cooling unit through the pipes 46 and 48 , a refrigerant of a predetermined temperature, such as cooling water cw, is circulated to the refrigerant channel 44 ; the temperature of the wafer W on the electrostatic chuck 36 can be controlled by the temperature of the refrigerant. Moreover, the heat dissipation gas from the heat dissipation gas supply part, such as helium, is supplied between the top surface of the electrostatic chuck 36 and the back surface of the wafer W through the gas supply pipe 50 . In addition, in order to carry in and carry out the wafer W, vertically penetrating the workpiece table 12 and vertically movable ejector pins, its elevating mechanism, and the like are provided.

設於處理室10之頂棚開口的噴氣頭51,隔著包覆於其外緣部的密封環54,而安裝成塞住處理室10之頂棚部開口。噴氣頭51,係以矽形成。噴氣頭51,亦具有與工件台12(下部電極)相向之相向電極(上部電極)的功能。The air jet head 51 provided at the ceiling opening of the processing chamber 10 is installed so as to close the ceiling opening of the processing chamber 10 through a seal ring 54 covering the outer edge thereof. The jet head 51 is formed of silicon. The air jet head 51 also functions as a counter electrode (upper electrode) facing the workpiece table 12 (lower electrode).

於噴氣頭51,形成有導入氣體的氣體導入口56。於噴氣頭51之內部,設有從氣體導入口56分支之擴散室58。氣體供應源66輸出過來的氣體,經由氣體導入口56而供應至擴散室58,擴散、並且從複數的氣體供應孔52導入電漿產生空間S。In the gas shower head 51, a gas introduction port 56 for introducing gas is formed. Inside the gas shower head 51, a diffusion chamber 58 branched from the gas introduction port 56 is provided. The gas output from the gas supply source 66 is supplied to the diffusion chamber 58 through the gas introduction port 56 , diffused, and introduced into the plasma generation space S through the plurality of gas supply holes 52 .

噴氣頭51,經由匹配器59及供電線60而與第1高頻電源57電性連接。第1高頻電源57,能以可變功率輸出產生電漿用的高頻HF,其係適於以高頻放電來產生電漿的頻率,並且係高於第1頻率的第2頻率(例如40MHz)。匹配器59容納可變電抗的匹配電路,該匹配電路係用以使第1高頻電源57側的阻抗、與負載(電漿等)側的阻抗之間得以匹配。The jet head 51 is electrically connected to the first high-frequency power source 57 via a matching unit 59 and a power supply line 60 . The first high-frequency power supply 57 can produce high-frequency HF for plasma with variable power output, which is suitable for generating plasma with high-frequency discharge, and is a second frequency higher than the first frequency (such as 40MHz). The matching unit 59 accommodates a variable reactance matching circuit for matching the impedance on the side of the first high-frequency power supply 57 with the impedance on the side of the load (plasma, etc.).

控制部74,例如包含微電腦,控制基板處理裝置5內之各部門的動作及裝置全體的動作。就基板處理裝置5內之各部門而言,可舉出:排氣裝置26、第1高頻電源57、第2高頻電源30、匹配器32、匹配器59、靜電吸盤用的開關42、氣體供應源66、冷卻單元、散熱氣體供應部等。The control unit 74 includes, for example, a microcomputer, and controls the operation of each department in the substrate processing apparatus 5 and the operation of the entire apparatus. As for each department in the substrate processing apparatus 5, the exhaust device 26, the first high-frequency power supply 57, the second high-frequency power supply 30, the matching unit 32, the matching unit 59, the switch 42 for the electrostatic chuck, A gas supply source 66, a cooling unit, a heat radiation gas supply unit, and the like.

於基板處理裝置5,要進行蝕刻等等的各種處理時,首先會使閘閥28成為開啟狀態,並將晶圓W搬入處理室10內,載置於靜電吸盤36上。然後,在關閉閘閥28後,從氣體供應源66將既定之氣體以既定之流量及流量比,導入處理室10內,再以排氣裝置26將處理室10內的壓力減壓至既定的設定値。更進一步地,使第1高頻電源57導通(on),並以既定之功率輸出產生電漿用的高頻HF,再經由匹配器59、供電線60而供應至噴氣頭51。When performing various processes such as etching in the substrate processing apparatus 5 , the gate valve 28 is first opened, and the wafer W is carried into the processing chamber 10 and placed on the electrostatic chuck 36 . Then, after closing the gate valve 28, a predetermined gas is introduced into the processing chamber 10 from the gas supply source 66 at a predetermined flow rate and flow ratio, and then the pressure in the processing chamber 10 is decompressed to a predetermined setting by the exhaust device 26. value. Furthermore, the first high-frequency power supply 57 is turned on (on), and the high-frequency HF for generating plasma is output at a predetermined power, and then supplied to the jet head 51 through the matching unit 59 and the power supply line 60 .

另一方面,在施加用以控制離子引入用的高頻LF之情況下,會使第2高頻電源30導通,並以既定之功率輸出高頻LF,再經由匹配器32及供電棒34而施加於工件台12。再者,從散熱氣體供應部對靜電吸盤36與晶圓W之間的接觸面供應散熱氣體,同時使開關42導通,而將來自直流電源40的直流電壓,施加於靜電吸盤36的電極36a,再藉由靜電吸附力而將散熱氣體鎖入上述接觸面。On the other hand, when the high-frequency LF used for controlling ion introduction is applied, the second high-frequency power supply 30 is turned on, and the high-frequency LF is output with a predetermined power, and then passes through the matching device 32 and the power supply bar 34 to Applied to the workpiece table 12. Furthermore, the heat dissipation gas is supplied from the heat dissipation gas supply part to the contact surface between the electrostatic chuck 36 and the wafer W, and at the same time the switch 42 is turned on, and the DC voltage from the DC power supply 40 is applied to the electrode 36a of the electrostatic chuck 36, Then, the heat dissipation gas is locked into the above-mentioned contact surface by electrostatic adsorption force.

[分成三部分的聚焦環] 於工件台12之外周側,設有環狀地包圍晶圓W之外緣的聚焦環FR。聚焦環FR的功能,係控制晶圓W之外周側的電漿,以提升晶圓W之面內蝕刻速率等處理的均勻性。[Focus Ring Divided into Three Parts] A focus ring FR that surrounds the outer edge of the wafer W in a ring shape is provided on the outer peripheral side of the work table 12 . The function of the focus ring FR is to control the plasma on the outer peripheral side of the wafer W, so as to improve the processing uniformity such as the in-plane etching rate of the wafer W.

晶圓W之邊緣部的蝕刻速率,會隨著聚焦環FR的高度而變化。因此,一旦由於聚焦環FR之損耗而使其高度有所變動,則晶圓W之邊緣部的蝕刻速率就會變動,而難以控制邊緣部。The etching rate at the edge of the wafer W varies with the height of the focus ring FR. Therefore, if the height of the focus ring FR varies due to loss, the etching rate at the edge of the wafer W will vary, making it difficult to control the edge.

有鑑於此,本實施形態之聚焦環FR分成三部分,具有內側聚焦環38i、中央聚焦環38m、外側聚焦環38o,並可以藉由移動機構200而使中央聚焦環38m上下移動。移動機構200,具有推頂銷102。推頂銷102,係藉由壓電致動器101產生的動力,經由構件104a及軸承部105而上下移動。藉此,連結部103會上下移動,與連結部103連結之中央聚焦環38m也隨著上下移動。又,於本實施形態,晶圓W之邊緣部,係意指從晶圓W之中心起算,沿著半徑方向上140mm~150mm的環狀部分。In view of this, the focus ring FR of this embodiment is divided into three parts, including an inner focus ring 38i, a central focus ring 38m, and an outer focus ring 38o, and the central focus ring 38m can be moved up and down by the moving mechanism 200. The moving mechanism 200 has an ejector pin 102 . The ejector pin 102 moves up and down through the member 104 a and the bearing part 105 by the power generated by the piezoelectric actuator 101 . Thereby, the connection part 103 moves up and down, and the central focus ring 38m connected with the connection part 103 also moves up and down along with it. In addition, in this embodiment, the edge portion of the wafer W refers to an annular portion of 140 mm to 150 mm along the radial direction from the center of the wafer W.

(聚焦環的結構) 接著,針對聚焦環FR及其周邊的結構,參照圖2及圖3以進行詳細敍述。再者,針對中央聚焦環38m的上下移動,參照圖4以進行說明。(Structure of Focus Ring) Next, the structure of the focus ring FR and its periphery will be described in detail with reference to FIGS. 2 and 3 . Furthermore, the vertical movement of the center focus ring 38m will be described with reference to FIG. 4 .

圖2係擴大繪示聚焦環FR及其周邊之縱剖面之一例的圖式。於圖2繪示有本實施形態之聚焦環FR、移動機構200及壓電致動器101。FIG. 2 is an enlarged diagram illustrating an example of a longitudinal section of the focus ring FR and its periphery. In FIG. 2, the focus ring FR, the moving mechanism 200, and the piezoelectric actuator 101 of this embodiment are shown.

圖3(a)係分成三部分的聚焦環FR之各部位立體圖,圖3(b)係分成三部分的聚焦環FR之各部位俯視圖,圖3(c)係圖3(b)的A-A剖面圖,圖3(d)係圖3(b)的B-B剖面圖。Figure 3(a) is a perspective view of each part of the focus ring FR divided into three parts, Figure 3(b) is a top view of each part of the focus ring FR divided into three parts, Figure 3(c) is the A-A section of Figure 3(b) Fig. 3 (d) is the B-B sectional view of Fig. 3 (b).

如圖2及圖3所示,內側聚焦環38i,係設置成在晶圓W之最外周附近,由下包圍晶圓W的構件,且係聚焦環FR之最內側的構件。中央聚焦環38m,係設置成在內側聚焦環38i之外側,包圍內側聚焦環38i的構件。外側聚焦環38o,係設置在中央聚焦環38m之外側的構件,且係聚焦環FR之最外側的構件。內側聚焦環38i及外側聚焦環38o,係固定於靜電吸盤36之頂面。中央聚焦環38m,可以藉由移動機構200而上下移動。As shown in FIG. 2 and FIG. 3 , the inner focus ring 38i is disposed near the outermost periphery of the wafer W to surround the wafer W from below, and is the innermost member of the focus ring FR. The central focus ring 38m is a member provided outside the inner focus ring 38i to surround the inner focus ring 38i. The outer focus ring 38o is a member provided outside the central focus ring 38m, and is the outermost member of the focus ring FR. The inner focus ring 38i and the outer focus ring 38o are fixed on the top surface of the electrostatic chuck 36 . The central focus ring 38m can be moved up and down by the moving mechanism 200 .

中央聚焦環38m,如圖3(a)及(b)所示,具有包圍晶圓W之周緣部的環狀部38m1、以及3個爪部38m2。爪部38m2,等間隔地配置於環狀部38m1之外周側,係從環狀部38m1之外周側突出的矩形構件。如圖2所示,環狀部38m1的縱剖面係L字形。若將中央聚焦環38m往上舉起,則環狀部38m1之L字形的階差部,就會從接觸縱剖面呈L字形的內側聚焦環38i之階差部的狀態,變成分離的狀態。The central focus ring 38m has an annular portion 38m1 surrounding the peripheral portion of the wafer W and three claw portions 38m2, as shown in FIGS. 3(a) and (b). The claws 38m2 are arranged at equal intervals on the outer peripheral side of the annular portion 38m1, and are rectangular members protruding from the outer peripheral side of the annular portion 38m1. As shown in FIG. 2, the longitudinal section of the annular portion 38m1 is L-shaped. When the central focus ring 38m is lifted up, the L-shaped step portion of the annular portion 38m1 changes from the state of contacting the step portion of the inner focus ring 38i having an L-shaped longitudinal section to a separated state.

(移動機構及驅動部) 中央聚焦環38m的爪部38m2,連接著環狀的連結部103。連結部103,在設於導電性筒狀支持部16的空間16a內部,上下移動。(Movement Mechanism and Drive Unit) The ring-shaped coupling part 103 is connected to the claw part 38m2 of the center focus ring 38m. The connection part 103 moves up and down inside the space 16 a provided in the conductive cylindrical support part 16 .

移動機構200,係用以使中央聚焦環38m上下移動的機構。移動機構200,包含推頂銷102與軸承部105。移動機構200,安裝在配置於工件台12周圍的殼體100,並藉由安裝在殼體100的壓電致動器101之動力而上下移動。推頂銷102,亦可由藍寶石形成。The moving mechanism 200 is a mechanism for moving the central focus ring 38m up and down. The moving mechanism 200 includes the ejector pin 102 and the bearing part 105 . The moving mechanism 200 is installed on the casing 100 arranged around the workpiece table 12 , and moves up and down by the power of the piezoelectric actuator 101 installed on the casing 100 . The push pin 102 can also be formed of sapphire.

殼體100,係由氧化鋁等等的絶緣物所形成。殼體100,係在導電性筒狀支持部16之內部,而使側部及底部與導電性筒狀支持部16鄰接設置。於殼體100之內部下側,形成凹部100a。於殼體100內部,設有移動機構200。推頂銷102,貫穿殼體100及工件台12,並在導電性筒狀支持部16之空間16a,與連結部103的底面接觸。軸承部105,嵌合於設在殼體100內部的構件104a。推頂銷102的針孔,設有用以分割真空空間與大氣空間的O環111。The casing 100 is formed of an insulating material such as alumina. The casing 100 is placed inside the conductive cylindrical support part 16 , and the side part and the bottom are adjacent to the conductive cylindrical support part 16 . A concave portion 100 a is formed on the inner lower side of the housing 100 . Inside the casing 100, a moving mechanism 200 is provided. The ejector pin 102 penetrates through the housing 100 and the workpiece table 12 , and contacts the bottom surface of the connecting portion 103 in the space 16 a of the conductive cylindrical support portion 16 . The bearing portion 105 is fitted to a member 104 a provided inside the casing 100 . The pinhole of the ejector pin 102 is provided with an O-ring 111 for dividing the vacuum space and the atmospheric space.

於軸承部105前端的凹部105a,由上嵌入了推頂銷102的下端。藉由壓電致動器101所進行之定位,而透過構件104a使軸承部105上下移動,推頂銷102就會上下移動,並將連結部103的底面往上推或往下壓。藉此,經由連結部103而使中央聚焦環38m上下移動。The lower end of the ejector pin 102 is fitted into the recessed portion 105a at the front end of the bearing portion 105 from above. By the positioning performed by the piezoelectric actuator 101, the bearing part 105 moves up and down through the member 104a, and the ejector pin 102 moves up and down, and pushes up or presses down the bottom surface of the connecting part 103. Thereby, the central focus ring 38 m moves up and down via the coupling portion 103 .

壓電致動器101的上端,係以螺絲104c而鎖固至構件104a;壓電致動器101的下端,係以螺絲104d而鎖固至構件104b。藉此,壓電致動器101係於構件104a、104b之間,固定在殼體100上。The upper end of the piezoelectric actuator 101 is fixed to the member 104a with a screw 104c; the lower end of the piezoelectric actuator 101 is fixed to the member 104b with a screw 104d. Accordingly, the piezoelectric actuator 101 is fixed on the casing 100 by being tied between the components 104 a and 104 b.

壓電致動器101,係應用壓電效果的定位元件,能以0.006mm(6μm)的解析度來進行定位。推頂銷102會因應壓電致動器101在上下方向上的變位量,而上下移動。藉此,中央聚焦環38m,會以0.006mm為最小單位,而僅移動既定高度的份量。The piezoelectric actuator 101 is a positioning element using a piezoelectric effect, and can perform positioning with a resolution of 0.006 mm (6 μm). The ejector pin 102 moves up and down according to the displacement of the piezoelectric actuator 101 in the up and down direction. In this way, the central focus ring 38m will only move by a predetermined height with 0.006mm as the smallest unit.

推頂銷102,係對應如圖3(a)及(b)所示之等間隔地在中央聚焦環38m的圓周方向上設置3處的爪部38m2而設置。藉由該結構,推頂銷102會經由環狀的連結部103,而從3處推升中央聚焦環38m,提高至既定的高度。The ejector pins 102 are provided corresponding to three claws 38m2 provided at equal intervals in the circumferential direction of the central focus ring 38m as shown in FIGS. 3( a ) and ( b ). With this structure, the ejector pin 102 pushes up the central focus ring 38m from three places through the ring-shaped connecting portion 103 to a predetermined height.

在外側聚焦環38o之底面,係於中央聚焦環38m之爪部38m2的上方,形成寬度比爪部38m2更寬的凹部138。一旦中央聚焦環38m藉由推頂銷102的推升而移動到最上部位,爪部38m2就會收進凹部138之內部。藉此可以使外側聚焦環38o維持固定,就將中央聚焦環38m往上推。On the bottom surface of the outer focus ring 38o, above the claw portion 38m2 of the central focus ring 38m, a concave portion 138 wider than the claw portion 38m2 is formed. Once the central focus ring 38m is moved to the uppermost position by being pushed up by the ejector pin 102 , the claw portion 38m2 will be retracted into the recessed portion 138 . This allows the outer focus ring 38o to remain fixed while the central focus ring 38m is pushed up.

於繪示圖3(b)之B-B剖面的圖3(d),所繪示的是不存在凹部138的空間及推頂銷102,而藉著讓推頂銷102往上移動,使環狀之連結部103在導電性筒狀支持部16的空間16a內被往上推的狀態。In Fig. 3(d) showing the B-B section of Fig. 3(b), what is depicted is that there is no space for the recess 138 and the ejector pin 102, and by allowing the ejector pin 102 to move upwards, the annular The connection part 103 is pushed up in the space 16a of the conductive cylindrical support part 16.

回到圖2;壓電致動器101係在位於推頂銷102下方的殼體100之內部空間,與推頂銷102一對一地設置。也就是說,相對於存在於3處的推頂銷102,而在殼體100之內部,一對一地對應設置3個移動機構200及壓電致動器101。構件104a、104b係環狀構件,而3個壓電致動器101係藉由在上下鎖固之構件104a、104b以彼此連接。又,本實施形態之壓電致動器101,係驅動部之一例。Back to FIG. 2 ; the piezoelectric actuator 101 is located in the inner space of the housing 100 below the ejector pin 102 , and is arranged one-to-one with the ejector pin 102 . That is, three moving mechanisms 200 and piezoelectric actuators 101 are provided in a one-to-one correspondence with the three ejector pins 102 inside the casing 100 . The members 104a, 104b are annular members, and the three piezoelectric actuators 101 are connected to each other by the members 104a, 104b locked up and down. Moreover, the piezoelectric actuator 101 of this embodiment is an example of a drive part.

如以上所說明,於該結構,工件台12及靜電吸盤36係以殼體100支撐,並於殼體100安裝移動機構200及驅動部。藉此,並不需要使靜電吸盤36的設計變更,使用既有的靜電吸盤36就能僅使中央聚焦環38m上下移動。 再者,如圖2所示,於本實施形態的結構,在靜電吸盤36的頂面與中央聚焦環38m的底面之間,設有既定之空間,使中央聚焦環38m不僅可往上方移動,亦可往下方移動。藉此,中央聚焦環38m不僅可往上方移動,亦可在既定之空間內,往下方移動既定之高度。藉由使中央聚焦環38m不僅可往上方移動、亦可往下方移動,而可以擴大護套(sheath)之控制範圍。As described above, in this structure, the workpiece table 12 and the electrostatic chuck 36 are supported by the casing 100 , and the moving mechanism 200 and the drive unit are installed on the casing 100 . This eliminates the need to change the design of the electrostatic chuck 36 , and only the center focus ring 38 m can be moved up and down using the existing electrostatic chuck 36 . Furthermore, as shown in Figure 2, in the structure of this embodiment, a predetermined space is provided between the top surface of the electrostatic chuck 36 and the bottom surface of the central focus ring 38m, so that the central focus ring 38m can not only move upwards, You can also move down. In this way, the central focus ring 38m can not only move upward, but also move downward by a predetermined height in a predetermined space. By making the central focus ring 38m movable not only upward but also downward, the control range of the sheath can be expanded.

但是,驅動部並不限定於壓電致動器101,亦可使用能以0.006mm的解析度進行定位控制的馬達。再者,驅動部可以為一個、或複數。更進一步地,驅動部亦可係將「推升晶圓W之推頂銷」上下移動的馬達加以共用。在此情況下,需要能使用齒輪及動力切換部而將馬達的動力,在晶圓W用之推頂銷、與中央聚焦環38m用之推頂銷102之間切換而傳動的機構,以及以0.006mm之解析度控制推頂銷102之上下移動的機構。但是,由於配置在300mm之晶圓W外周的中央聚焦環38m之直徑為310mm左右,較大;因此較佳係如本實施形態般,針對各推頂銷102分別設置驅動部。However, the drive unit is not limited to the piezoelectric actuator 101, and a motor capable of positioning control with a resolution of 0.006 mm may be used. In addition, there may be one or plural driving units. Furthermore, the driving part can also be a motor that moves up and down the "ejection pin for pushing up the wafer W" in common. In this case, it is necessary to use a gear and a power switching unit to switch and transmit the power of the motor between the ejector pins for the wafer W and the ejector pins 102 for the central focus ring 38m, and to The resolution of 0.006mm controls the mechanism that the ejector pin 102 moves up and down. However, since the central focus ring 38m arranged on the outer periphery of the wafer W of 300mm has a relatively large diameter of about 310mm, it is preferable to provide a drive unit for each ejector pin 102 as in this embodiment.

控制部74控制壓電致動器101之定位,使壓電致動器101之上下方向的變位量,會是對應中央聚焦環38m之損耗量的份量。The control unit 74 controls the positioning of the piezoelectric actuator 101 so that the vertical displacement of the piezoelectric actuator 101 corresponds to the loss of the central focus ring 38m.

若晶圓W與聚焦環FR之頂面的高度相同,則可以使蝕刻處理中之晶圓W上的護套(Sheath)、與聚焦環FR上的護套之高度相同。然後,藉由使護套之高度相同,而可以提高晶圓W之面內全體的蝕刻速率均勻性。If the wafer W is at the same height as the top surface of the focus ring FR, the height of the sheath on the wafer W being etched can be made the same as the height of the sheath on the focus ring FR. Then, by making the height of the sheath uniform, the uniformity of the etching rate over the entire wafer W in the plane can be improved.

若聚焦環FR係新品,則由於蝕刻處理中之晶圓W上的護套、與聚焦環FR上的護套之高度會相同(平坦),所以晶圓W之面內全體的蝕刻速率會均勻。此時,如圖4(a-1)所示,中央聚焦環38m,並未受到推頂銷102推升(0mm)。又,圖4(a-1)繪示圖3(b)之A-A剖面的狀態,圖4(b-1)繪示對應之圖3(b)之B-B剖面的狀態。If the focus ring FR is a new product, the height of the sheath on the wafer W being etched will be the same (flat) as that of the sheath on the focus ring FR, so the etching rate will be uniform across the entire surface of the wafer W. . At this time, as shown in FIG. 4(a-1), the central focus ring 38m is not pushed up by the ejector pin 102 (0mm). Also, Fig. 4(a-1) shows the state of the A-A section of Fig. 3(b), and Fig. 4(b-1) shows the state of the corresponding B-B section of Fig. 3(b).

然而,若由於蝕刻等的電漿處理而使聚焦環FR損耗,則聚焦環FR的護套之高度會低於晶圓W的護套之高度。如此一來,晶圓W之邊緣部的蝕刻速率會飆高,或是蝕刻形狀會發生傾斜(tilting)。所謂蝕刻形狀之傾斜,係意指由於聚焦環之損耗,而使護套在晶圓W之邊緣部變斜,導致離子從斜向引入晶圓W,藉而使蝕刻形狀無法垂直,變成傾斜。However, if the focus ring FR is worn out by plasma processing such as etching, the height of the sheath of the focus ring FR becomes lower than the height of the sheath of the wafer W. In this way, the etching rate at the edge of the wafer W will increase rapidly, or the etching shape will be tilted. The so-called inclination of the etched shape means that due to the loss of the focus ring, the sheath is inclined at the edge of the wafer W, causing ions to be introduced into the wafer W from an oblique direction, so that the etched shape cannot be vertical and becomes inclined.

有鑑於此,於本實施形態,只要聚焦環FR損耗多少,就將中央聚焦環38m推升多少,藉此而使晶圓W與聚焦環FR上的護套之高度一致。藉此,可以防止晶圓W之邊緣部的蝕刻速率飆高,或是蝕刻形狀發生傾斜(tilting)。In view of this, in this embodiment, as long as the focus ring FR wears out, the central focus ring 38m is pushed up so much that the height of the wafer W and the sheath on the focus ring FR is equal. Accordingly, it is possible to prevent the etching rate at the edge of the wafer W from increasing rapidly, or the etched shape from tilting.

在控制壓電致動器101之上下方向變位量以成為因應聚焦環FR之損耗量的份量(距離)之際,此因應中央聚焦環38m之損耗量的距離,只要係相對於中央聚焦環38m之損耗量,在1倍~1.5倍的範圍即可。例如,於晶圓W處理中之中央聚焦環38m的高度,可以與內側聚焦環38i及外側聚焦環38o的高度相同、或是相對於中央聚焦環38m之損耗量而最多高到1.5倍。移動機構200,以0.006mm的解析度而使中央聚焦環38m推升既定量。When controlling the displacement amount in the vertical direction of the piezoelectric actuator 101 so as to become a component (distance) corresponding to the loss amount of the focus ring FR, the distance corresponding to the loss amount of the central focus ring 38m is as long as it is relative to the central focus ring The loss of 38m can be in the range of 1 to 1.5 times. For example, the height of the central focus ring 38m during wafer W processing can be the same as the height of the inner focus ring 38i and the outer focus ring 38o, or at most 1.5 times higher than the loss of the central focus ring 38m. The moving mechanism 200 pushes up the central focus ring 38m by a predetermined amount with a resolution of 0.006mm.

例如,在相對於中央聚焦環38m之損耗量,而要控制為1倍的情況下,若聚焦環FR之損耗量為1.0mm,則因應中央聚焦環38m之損耗量的高度,就會是1.0mm。因此,在此情況下,控制部74會將壓電致動器101定位為:使中央聚焦環38m向上移動1.0mm的份量。其結果,如圖4(a-2)及(b-2)所示,中央聚焦環38m會向上移動1.0mm的份量。For example, if the loss of the central focus ring 38m is controlled to be doubled, if the loss of the focus ring FR is 1.0mm, the height corresponding to the loss of the central focus ring 38m will be 1.0 mm. Therefore, in this case, the control unit 74 positions the piezoelectric actuator 101 so as to move the center focus ring 38m upward by 1.0 mm. As a result, as shown in FIGS. 4(a-2) and (b-2), the central focus ring 38m moves upward by 1.0 mm.

於本實施形態,若聚焦環FR為新品,則聚焦環FR之各部分的厚度,如圖5所示,係內側聚焦環38i的內周側之厚度為2.9mm,外周側之厚度為1.4mm。In this embodiment, if the focus ring FR is a new product, the thickness of each part of the focus ring FR, as shown in FIG. .

中央聚焦環38m之中央部最厚部位的厚度為3.9mm,內周側的厚度為2.5mm,外周側的厚度為1.6mm。外側聚焦環38o的厚度為2.9mm(=1.3mm+ 1.6mm),有凹部138之部分的厚度為1.3mm。The central focus ring 38m has a thickness of 3.9 mm at the thickest part at the center, a thickness of 2.5 mm at the inner peripheral side, and a thickness of 1.6 mm at the outer peripheral side. The thickness of the outer focus ring 38o is 2.9mm (=1.3mm+1.6mm), and the thickness of the portion having the concave portion 138 is 1.3mm.

中央聚焦環38m之移動距離的上限値,係取決於移動機構200的衝程。於本實施形態,移動機構200的衝程為0.9mm。因此,即使聚焦環FR的損耗達0.9mm,只要藉由使移動機構200移動至上限値,則聚焦環FR就可以得到與新品之情況下相同的蝕刻特性。The upper limit value of the moving distance of the central focus ring 38m depends on the stroke of the moving mechanism 200. In this embodiment, the stroke of the moving mechanism 200 is 0.9 mm. Therefore, even if the loss of the focus ring FR reaches 0.9 mm, the same etching characteristics as a new product can be obtained for the focus ring FR by moving the moving mechanism 200 to the upper limit value.

中央聚焦環38m之移動距離的下限値,係壓電致動器101之解析度,即0.006mm。也就是說,當中央聚焦環38m之損耗量達0.006mm以上,本實施形態之控制部74就會以0.006mm為單位,而使中央聚焦環38m以因應損耗量的距離向上移動。當中央聚焦環38m之移動距離達0.9mm以上,控制部74就會進行控制以促使更換聚焦環FR。但是,中央聚焦環38m之移動距離的上限値,並不限定於0.9mm,亦可設定為小於0.9mm的數値。The lower limit of the moving distance of the central focus ring 38m is the resolution of the piezoelectric actuator 101, that is, 0.006mm. That is to say, when the loss of the central focus ring 38m exceeds 0.006mm, the control unit 74 of this embodiment moves the central focus ring 38m upward by a distance corresponding to the loss in units of 0.006mm. When the moving distance of the central focus ring 38m reaches more than 0.9mm, the control unit 74 will control to prompt the replacement of the focus ring FR. However, the upper limit value of the movement distance of the central focus ring 38m is not limited to 0.9 mm, and may be set to a value smaller than 0.9 mm.

(尺寸及材料) 晶圓W之尺寸,為300mm。如圖5所示,本實施形態之內側聚焦環38i的外徑,為309mm。本實施形態之中央聚焦環38m之環狀部38m1的內徑為302mm,外徑為316mm。本實施形態之外側聚焦環38o之外徑為360mm。(Size and Material) The size of the wafer W is 300mm. As shown in FIG. 5, the outer diameter of the inner focus ring 38i of this embodiment is 309 mm. The inner diameter of the annular portion 38m1 of the central focus ring 38m in this embodiment is 302mm, and the outer diameter is 316mm. In this embodiment, the outer diameter of the outer focus ring 38o is 360mm.

但是,內側聚焦環38i、中央聚焦環38m及外側聚焦環38o之各自的外徑,並不限定於上述尺寸。例如,內側聚焦環38i之外徑,只要相對於晶圓W之外徑,大2.5%~3.5%即可。再者,中央聚焦環38m之外徑,只要相對於晶圓W之外徑,大4.5%~5.5%即可。再者,外側聚焦環38o之外徑,只要相對於晶圓W之外徑,大19.5%~20.5%即可。However, the respective outer diameters of the inner focus ring 38i, the central focus ring 38m, and the outer focus ring 38o are not limited to the above-mentioned dimensions. For example, the outer diameter of the inner focus ring 38 i may be larger than the outer diameter of the wafer W by 2.5% to 3.5%. Furthermore, the outer diameter of the central focus ring of 38 m may be larger than the outer diameter of the wafer W by 4.5% to 5.5%. Furthermore, the outer diameter of the outer focus ring 38 o may be larger than the outer diameter of the wafer W by 19.5% to 20.5%.

內側聚焦環38i、中央聚焦環38m及外側聚焦環38o之各自的材料,係以Si(矽)、SiO2 (二氧化矽)、SiC(碳化矽)中的任一種形成。內側聚焦環38i、中央聚焦環38m及外側聚焦環38o之各自的材料,可以相同,亦可不同。The respective materials of the inner focus ring 38i, the central focus ring 38m, and the outer focus ring 38o are formed of any one of Si (silicon), SiO 2 (silicon dioxide), and SiC (silicon carbide). The materials of the inner focus ring 38i, the central focus ring 38m, and the outer focus ring 38o may be the same or different.

但是,中央聚焦環38m的材料,較佳係比內側聚焦環38i及外側聚焦環38o的材料更硬。例如,中央聚焦環38m的材料,若藉由使用比Si更不易損耗的SiO2 或SiC的材料,而在處理當中不易磨損中央聚焦環38m的話,蝕刻速率就不易變化,並且可以延長聚焦環FR之壽命。However, the material of the central focus ring 38m is preferably harder than the material of the inner focus ring 38i and the outer focus ring 38o. For example, if the material of the central focus ring 38m is less prone to wear and tear during processing by using SiO2 or SiC, which is less prone to loss than Si, the etching rate is less likely to change, and the focus ring FR can be extended. of life.

例如,較佳係內側聚焦環38i及外側聚焦環38o之各自的材料為Si,而中央聚焦環38m的材料為SiO2 或SiC。For example, it is preferable that the respective material of the inner focus ring 38i and the outer focus ring 38o is Si, and the material of the central focus ring 38m is SiO 2 or SiC.

[分成三部分的聚焦環之蝕刻速率結果] 接著,參照圖6及圖7,針對使用本實施形態之分成三部分的聚焦環FR之情況下的蝕刻速率之一例,一邊與比較例做比較,一邊進行說明。圖6中,圖6(c)繪示本實施形態之聚焦環FR的蝕刻速率之一例,圖6(a)及(b)繪示比較例之聚焦環FR1、FR2的蝕刻速率之一例。圖7中的圖式,圖7(c)係針對本實施形態之聚焦環FR,圖7(a)及(b)係針對比較例之聚焦環FR1、FR2,而用以說明電漿之產生。[Results of Etching Rate of Three-Divided Focus Ring] Next, referring to FIG. 6 and FIG. 7, an example of the etching rate in the case of using the three-divided focus ring FR of this embodiment is compared with the comparative example, while explaining. In FIG. 6, FIG. 6(c) shows an example of the etching rate of the focus ring FR of this embodiment, and FIG. 6(a) and (b) show an example of the etching rate of the focus rings FR1 and FR2 of the comparative example. In the diagram in Fig. 7, Fig. 7(c) is for the focus ring FR of this embodiment, and Fig. 7(a) and (b) are for the focus rings FR1 and FR2 of the comparative example, to illustrate the generation of plasma .

圖6(a)之比較例的聚焦環FR1(Standard FR1),如硬體結構(HW)及Condition (條件)所示,係未分割之一體型的聚焦環。(b)之比較例的聚焦環FR2(2pieces FR2),係分成兩部分的聚焦環。(c)之本實施形態的聚焦環FR(3pieces FR),係分成三部分的聚焦環。The focus ring FR1 (Standard FR1) of the comparative example in Fig. 6(a), as shown in the hardware structure (HW) and Condition (condition), is an undivided one-piece focus ring. The focus ring FR2 (2pieces FR2) of the comparative example in (b) is a focus ring divided into two parts. The focus ring FR (3pieces FR) of the present embodiment of (c) is a focus ring divided into three parts.

如Condition所示,於圖6(a)之比較例的聚焦環FR1與靜電吸盤36之間,夾著作為絶緣物的高分子薄片136,以促進聚焦環FR1與靜電吸盤36之間的導熱。As shown in Condition, between the focus ring FR1 and the electrostatic chuck 36 of the comparative example in FIG.

在圖6(b)之比較例的聚焦環FR2及圖6(c)之本實施形態的聚焦環FR、與靜電吸盤36之間,並不存在高分子薄片。但是,在圖6(c)之本實施形態的聚焦環FR的內側聚焦環38i及外側聚焦環38o、與靜電吸盤36之間,若夾入高分子薄片,則會促進聚焦環FR與靜電吸盤36之間的導熱,因此較佳。No polymer flake exists between the focus ring FR2 of the comparative example in FIG. 6( b ) and the focus ring FR of the present embodiment in FIG. 6( c ) and the electrostatic chuck 36 . However, if the polymer sheet is interposed between the inner focus ring 38i and the outer focus ring 38o of the focus ring FR of the present embodiment in FIG. The heat conduction between 36 is therefore better.

如ER(蝕刻速率)所示,於圖6(a)~(c)的任一情況下,當聚焦環為新品時(也就是說,圖6(a)的POR、圖6(b)及(c)的0mmUp時)的蝕刻速率,在晶圓W之面內全體都很均勻。As shown in ER (etching rate), in any case of Figure 6(a)~(c), when the focus ring is a new product (that is, the POR of Figure 6(a), Figure 6(b) and (c) The etching rate at 0 mmUp is uniform throughout the entire surface of the wafer W.

相對於此,在使用圖6(a)之比較例的聚焦環FR1的情況下,1mm Consumption (於聚焦環損耗了1mm時)之晶圓W的半徑方向蝕刻速率,在晶圓W之邊緣部飆高了。因此可知,在晶圓W之邊緣部,無法控制蝕刻速率。On the other hand, in the case of using the focus ring FR1 of the comparative example in FIG. Soaring high. Therefore, it can be seen that at the edge of the wafer W, the etching rate cannot be controlled.

再者,於圖6(b)之比較例的聚焦環FR2,係使內側聚焦環固定,而僅使外側聚焦環上下移動。在此情況下,抑制了在邊緣部之蝕刻速率的飆高,相較於圖6(a)之比較例的聚焦環FR1,更能控制晶圓W之邊緣部。然而,隨著外側聚焦環的高度從0mm提高至1mm、2mm,在晶圓W面內之全體蝕刻速率的偏移量就變大了。Furthermore, in the focus ring FR2 of the comparative example in FIG. 6( b ), the inner focus ring is fixed, and only the outer focus ring moves up and down. In this case, the sharp increase of the etching rate at the edge is suppressed, and the edge of the wafer W can be controlled more than the focus ring FR1 of the comparative example shown in FIG. 6( a ). However, as the height of the outer focus ring increases from 0mm to 1mm, 2mm, the offset of the overall etch rate in the wafer W plane becomes larger.

相對於此,於圖6(c)之本實施形態的聚焦環FR,係使內側聚焦環38i及外側聚焦環38o固定,而僅使中央聚焦環38m上下移動。藉此,晶圓W之邊緣部受到控制,並且更加抑制了在邊緣部之蝕刻速率的飆高。再者,即使將中央聚焦環38m的高度從0mm提高至1mm、2mm,在晶圓W面內之全體蝕刻速率也沒有變化。On the other hand, in the focus ring FR of this embodiment shown in FIG. 6(c), the inner focus ring 38i and the outer focus ring 38o are fixed, and only the center focus ring 38m moves up and down. Thereby, the edge of the wafer W is controlled, and the increase of the etching rate at the edge is further suppressed. Furthermore, even if the height of the central focus ring 38m is increased from 0mm to 1mm or 2mm, the overall etching rate in the wafer W plane does not change.

相對於比較例及本實施形態在圖6中間的曲線圖,請參照圖6最下方,也就是使得在晶圓W之Center(中心)的蝕刻速率一致的曲線圖。最下方曲線圖之ER%,係以ER(x)/ER(Center)×100求取而得。x代表晶圓W的半徑。圖6最下方的曲線圖之中,在使用圖6(a)之比較例的聚焦環FR1的情況下,未能控制住晶圓W之邊緣部的蝕刻速率。在使用圖6(b)之比較例的聚焦環FR2的情況下,能控制住晶圓W之邊緣部的蝕刻速率。同樣地,在使用圖6(c)之本實施形態的聚焦環FR的情況下,能控制住晶圓W之邊緣部的蝕刻速率。然而,若藉由圖6(b)之分成兩部分的聚焦環FR2,則由於在晶圓W之中間段,蝕刻速率有變高,所以導致全體的蝕刻特性有所變化。相對於此,若藉由圖6(c)之本實施形態之分成三部分的聚焦環FR,則能夠不造成全體的蝕刻特性改變,就控制住晶圓W之邊緣部的蝕刻速率。For the graphs in the middle of FIG. 6 of the comparative example and the present embodiment, please refer to the graph at the bottom of FIG. The ER% in the bottom graph is obtained by calculating ER(x)/ER(Center)×100. x represents the radius of wafer W. In the graph at the bottom of FIG. 6 , in the case of using the focus ring FR1 of the comparative example in FIG. 6( a ), the etching rate at the edge of the wafer W could not be controlled. In the case of using the focus ring FR2 of the comparative example in FIG. 6( b ), the etching rate of the edge portion of the wafer W can be controlled. Similarly, in the case of using the focus ring FR of this embodiment shown in FIG. 6( c ), the etching rate at the edge of the wafer W can be controlled. However, if the focus ring FR2 divided into two parts in FIG. 6( b ) is used, the etching rate becomes higher in the middle section of the wafer W, so the overall etching characteristics are changed. On the other hand, with the three-part focus ring FR of this embodiment shown in FIG. 6( c ), the etching rate at the edge of the wafer W can be controlled without changing the overall etching characteristics.

就結論而言,若藉由圖6(c)之本實施形態的聚焦環FR,會使中央聚焦環38m的移動距離,因應中央聚焦環38m之損耗量而提高。藉此,一方面可以維持晶圓W之面內全體的蝕刻特性,一方面可以控制晶圓W之邊緣部的蝕刻速率。再者,可以抑制在晶圓W之邊緣部,蝕刻形狀有所傾斜的問題。As a conclusion, if the focus ring FR of this embodiment shown in Fig. 6(c) is used, the moving distance of the central focus ring 38m will be increased according to the loss of the central focus ring 38m. Thereby, on the one hand, the etching property of the entire in-plane of the wafer W can be maintained, and on the other hand, the etching rate of the edge portion of the wafer W can be controlled. Furthermore, it is possible to suppress the problem that the etched shape is inclined at the edge of the wafer W.

藉著該聚焦環FR,藉由因應中央聚焦環38m之損耗量而使中央聚焦環38m上下移動,可以彌補聚焦環FR之損耗,而能夠延長聚焦環FR的壽命。With this focus ring FR, by moving the center focus ring 38m up and down according to the wear amount of the center focus ring 38m, the loss of the focus ring FR can be compensated, and the life of the focus ring FR can be extended.

接著,參照圖7,針對本實施形態之聚焦環FR的動作與電漿之產生,進行說明。圖7的(a)列及(b)列,係示意性繪示使用比較例之聚焦環FR1、FR2的情況下,產生電漿的機制。Next, the operation of the focus ring FR and the generation of plasma in this embodiment will be described with reference to FIG. 7 . Columns (a) and (b) of FIG. 7 schematically illustrate the mechanism of plasma generation when the focus rings FR1 and FR2 of the comparative example are used.

圖7的「RF Path」,代表在靜電吸盤36與各聚焦環FR、FR1、FR2的高頻RF之電力流動。在使用比較例之聚焦環FR1的情況下,於護套平坦時,藉由從第1高頻電源57所輸出的產生電漿用之高頻HF的電力,會在中央的靜電吸盤36側及外側的聚焦環FR1側,流動幾乎相同程度的電流,並在電漿產生空間S產生出電漿。所產生之電漿的蝕刻特性,會隨著聚焦環FR1之損耗,而在晶圓W的邊緣部發生蝕刻速率之飆高。"RF Path" in FIG. 7 represents the flow of high-frequency RF power between the electrostatic chuck 36 and the focus rings FR, FR1, and FR2. In the case of using the focus ring FR1 of the comparative example, when the sheath is flat, the high-frequency HF power for generating plasma output from the first high-frequency power supply 57 will be on the central electrostatic chuck 36 side and On the outer focus ring FR1 side, almost the same level of current flows, and plasma is generated in the plasma generation space S. The etching characteristic of the generated plasma causes a sharp increase in the etching rate at the edge of the wafer W as the focus ring FR1 wears out.

於使用比較例之聚焦環FR2的情況下,如圖7的(b)列所示,當護套為平坦時,藉由高頻RF之電力而流向靜電吸盤36側的電流,會比流向聚焦環FR1側的電流還要多。其理由說明如下。In the case of using the focusing ring FR2 of the comparative example, as shown in column (b) of FIG. There is even more current on the FR1 side of the ring. The reason for this is explained below.

在被往上推起的外側聚焦環之下側,會產生空間U1。由於施加高頻RF的電力,而會在空間U1產生靜電電容。在空間U1產生的靜電電容,會抑制聚焦環側的電流流動。因此,比起聚焦環側,在靜電吸盤36側會更易於流通電流。因此,比起圖7(a)所示之聚焦環FR1的情形,會有更多電流流向靜電吸盤36側,而在電漿產生空間S所產生的電漿,會是在中央的電漿密度較高。藉此,於聚焦環FR2,越是使外側聚焦環提高,則在晶圓W之面內全體的蝕刻速率會越為升高。Below the outer focus ring that is pushed up, a space U1 is created. Due to the application of high-frequency RF power, electrostatic capacitance is generated in the space U1. The electrostatic capacitance generated in the space U1 suppresses the flow of current on the focus ring side. Therefore, current flows more easily on the side of the electrostatic chuck 36 than on the side of the focus ring. Therefore, compared with the case of the focus ring FR1 shown in FIG. 7(a), more current will flow to the side of the electrostatic chuck 36, and the plasma generated in the plasma generation space S will have a plasma density in the center higher. Accordingly, in the focus ring FR2 , the more the outer focus ring is raised, the higher the etching rate in the entire surface of the wafer W is.

相對於此,在本實施形態之聚焦環FR,如圖7(c)所示,在聚焦環FR與靜電吸盤36的護套之高度相同時,藉由高頻RF之電力而流向靜電吸盤36與聚焦環FR1的電流,幾乎會是相同的。On the other hand, in the focus ring FR of the present embodiment, as shown in FIG. The current of the focus ring FR1 will be almost the same.

此係由於在本實施形態之聚焦環FR,聚焦環FR被分成三部分,僅有中央聚焦環38m上下移動,俾使晶圓W之邊緣部的附近,在將中央聚焦環38m推升時,所形成的是最小限的空間U2。藉此,在空間U2產生的靜電電容會在最小限度,可以使得流向靜電吸盤36側與聚焦環FR1側的電流為相同程度。藉此,於本實施形態,可以藉由推升中央聚焦環38m而使聚焦環FR與靜電吸盤36的護套之高度一致,又不造成晶圓W面內之全體的蝕刻速率偏移。藉此可以控制晶圓W之邊緣部的蝕刻速率。This is because in the focus ring FR of this embodiment, the focus ring FR is divided into three parts, and only the central focus ring 38m moves up and down, so that when the center focus ring 38m is pushed up near the edge of the wafer W, What is formed is the minimum space U2. Thereby, the electrostatic capacitance generated in the space U2 can be minimized, and the currents flowing to the electrostatic chuck 36 side and the focus ring FR1 side can be made to the same level. Thereby, in this embodiment, the height of the focus ring FR and the sheath of the electrostatic chuck 36 can be matched by pushing up the central focus ring 38m without causing the overall etching rate deviation in the wafer W plane. In this way, the etching rate of the edge portion of the wafer W can be controlled.

如上文所進行的說明,若藉由本實施形態之分成三部分的聚焦環FR,僅有中央聚焦環38m會上下移動。藉此,可以使晶圓W面內全體的電漿處理之蝕刻特性不生變化,就控制住晶圓W之邊緣部的蝕刻特性。藉此,可以抑制例如在晶圓W之邊緣部的蝕刻速率飆高、或蝕刻形狀之傾斜。 (變形例之聚焦環FR) 接著,針對變形例之聚焦環FR、移動機構200、驅動部,參照圖8以進行說明。於本變形例,亦使用壓電致動器101以作為驅動部之一例,但並不限定於此。 相較於圖2所示之實施形態的移動機構200,圖8之本變形例的移動機構200已單純化,並且,中央聚焦環38m之直徑方向的長度變短了。 工件台12,係以從處理室10之底部朝向垂直上方延伸的導電性筒狀支持部16、以及鄰接其內部而設置的殼體100所支撐。於本變形例,移動機構200係設於靜電吸盤36的下側,並未設在靜電吸盤36的外周側。具體而言,於靜電吸盤36及工件台12,係使貫通孔36c及貫通孔12a連通設置。推頂銷102的針孔,設有用以分割真空空間與大氣空間的O環110。 推頂銷102,貫穿貫通孔12a及貫通孔36c,其前端部抵接中央聚焦環38m之底面,而與中央聚焦環38m連結。推頂銷102之基端部,係嵌合至構件104a。 壓電致動器101的上端,係以螺絲104c而鎖固至構件104a;壓電致動器101的下端,係以螺絲104d而鎖固至構件104b。藉此,壓電致動器101係經由構件104a,而與推頂銷102及中央聚焦環38m接觸。 藉由該結構,推頂銷102會因應壓電致動器101在上下方向上的變位量,而上下移動。藉此,中央聚焦環38m,會以0.006mm為最小單位,而僅移動既定高度的份量。 於本變形例,在靜電吸盤36的頂面與中央聚焦環38m的底面之間,設有既定之空間。藉此,中央聚焦環38m不僅可往上方移動,亦可在既定之空間內,往下方移動既定之高度。 於本變形例,移動機構200配置得比靜電吸盤36及工件台12之外周端部更為偏向內側,而推頂銷102貫穿工件台12及靜電吸盤36的內部。藉此,推頂銷102可以從正下方將中央聚焦環38m舉起,而使其上下移動。 再者,若藉由該結構,則本變形例之中央聚焦環38m,相較於圖2之實施形態的中央聚焦環38m,可以縮短直徑方向的長度。同樣地,藉由將推頂銷102配置得比靜電吸盤36及工件台12之外周端部更為偏向內側,可以縮短構件104a之直徑方向的長度。藉此,可以將中央聚焦環38m的撓曲及構件104a的撓曲降低至最小限度,而可以提升中央聚焦環38m在高度方向上的位置精度。其結果,晶圓W上的護套與聚焦環FR上的護套之高度可以更正確地對準,可以提升晶圓W面內全體的蝕刻速率之均勻性,防止發生傾斜。 再者,在本變形例之移動機構200,不需要圖2實施形態之移動機構200所含有的殼體100、軸承部105、連結部103等,構造單純化,因此更易於維修,可降低製造成本。 更進一步地,藉由本變形例之聚焦環FR,透過在中央聚焦環38m下側設置既定之空間,形成中央聚焦環38m不僅可往上方移動、亦可往下方移動的構造。 因此,中央聚焦環38m之移動距離的下限値,與圖2之實施形態相同,係壓電致動器101的解析度。另一方面,中央聚焦環38m之移動距離的上限値,其數值會小於「設在靜電吸盤36的頂面與中央聚焦環38m的底面之間的既定空間之高度、和中央聚焦環38m之厚度」相加而得的數値。藉此,使中央聚焦環38m不僅可往上方移動,亦可往下方移動,而可以擴大護套的控制範圍。 更進一步地,依據本變形例之聚焦環FR,相較於圖2實施形態之聚焦環FR,直徑方向的長度變短,而使爪部38m2的長度變短。藉此,爪部38m2的凸出結構所導致產生之靜電電容的奇點變小,對蝕刻特性造成的不良影響消失、或變小,因此可以更加提升蝕刻速率的均勻性。 又,於上述實施形態及變形例,處理中之中央聚焦環38m的頂面之峰部的高度,可以與內側聚焦環38i及外側聚焦環38o的頂面之峰部的高度相同、或比較高。As described above, with the three-part focus ring FR of this embodiment, only the central focus ring 38m moves up and down. Thereby, the etching characteristics of the edge portion of the wafer W can be controlled without changing the etching characteristics of the plasma treatment in the entire wafer W plane. Thereby, it is possible to suppress, for example, a sharp rise in the etching rate at the edge of the wafer W, or an inclination of the etched shape. (Focus Ring FR of Modified Example) Next, the focus ring FR, the moving mechanism 200 , and the driving unit of the modified example will be described with reference to FIG. 8 . In this modified example, the piezoelectric actuator 101 is also used as an example of the drive unit, but it is not limited thereto. Compared with the moving mechanism 200 of the embodiment shown in FIG. 2, the moving mechanism 200 of this modified example shown in FIG. 8 is simplified, and the length in the diameter direction of the central focus ring 38m is shortened. The work table 12 is supported by a conductive cylindrical support portion 16 extending vertically upward from the bottom of the processing chamber 10 , and a housing 100 provided adjacent to the inside thereof. In this modified example, the moving mechanism 200 is disposed on the lower side of the electrostatic chuck 36 and not disposed on the outer peripheral side of the electrostatic chuck 36 . Specifically, the electrostatic chuck 36 and the work table 12 are provided so that the through hole 36 c and the through hole 12 a communicate with each other. The pinhole of the ejector pin 102 is provided with an O-ring 110 for dividing the vacuum space and the atmospheric space. The ejector pin 102 penetrates the through-hole 12a and the through-hole 36c, and the front end part abuts the bottom surface of the center focus ring 38m, and is connected with the center focus ring 38m. The base end portion of the ejector pin 102 is fitted to the member 104a. The upper end of the piezoelectric actuator 101 is fixed to the member 104a with a screw 104c; the lower end of the piezoelectric actuator 101 is fixed to the member 104b with a screw 104d. Thereby, the piezoelectric actuator 101 is in contact with the ejector pin 102 and the center focus ring 38m via the member 104a. With this structure, the ejector pin 102 moves up and down according to the displacement amount of the piezoelectric actuator 101 in the up and down direction. In this way, the central focus ring 38m will only move by a predetermined height with 0.006mm as the smallest unit. In this modified example, a predetermined space is provided between the top surface of the electrostatic chuck 36 and the bottom surface of the central focus ring 38m. In this way, the central focus ring 38m can not only move upward, but also move downward by a predetermined height in a predetermined space. In this modified example, the moving mechanism 200 is disposed more inward than the outer peripheral ends of the electrostatic chuck 36 and the workpiece table 12 , and the ejector pin 102 penetrates the interior of the workpiece table 12 and the electrostatic chuck 36 . Thereby, the ejector pin 102 can lift up the center focus ring 38m from directly below, and can move it up and down. Furthermore, with this structure, the central focus ring 38m of this modified example can shorten the length in the diameter direction compared to the central focus ring 38m of the embodiment shown in FIG. 2 . Similarly, by arranging the ejector pin 102 inwardly from the outer peripheral end of the electrostatic chuck 36 and the work table 12, the length in the diameter direction of the member 104a can be shortened. Thereby, the deflection of the central focus ring 38m and the deflection of the member 104a can be minimized, and the positional accuracy of the central focus ring 38m in the height direction can be improved. As a result, the heights of the sheath on the wafer W and the sheath on the focus ring FR can be more accurately aligned, the uniformity of the etching rate over the entire surface of the wafer W can be improved, and tilting can be prevented. Furthermore, in the moving mechanism 200 of this modified example, the housing 100, the bearing portion 105, the connecting portion 103, etc. contained in the moving mechanism 200 of the embodiment in FIG. cost. Furthermore, with the focus ring FR of this modified example, by providing a predetermined space under the center focus ring 38m, the structure that the center focus ring 38m can move not only upward but also downward is formed. Therefore, the lower limit value of the moving distance of the central focus ring 38m is the resolution of the piezoelectric actuator 101, as in the embodiment of FIG. 2 . On the other hand, the upper limit value of the moving distance of the central focus ring 38m is smaller than the height of the predetermined space between the top surface of the electrostatic chuck 36 and the bottom surface of the central focus ring 38m and the thickness of the central focus ring 38m. "The numerical value obtained by adding. In this way, the central focusing ring 38m can not only move upward, but also downward, thereby expanding the control range of the sheath. Furthermore, according to the focus ring FR of this modified example, compared with the focus ring FR of the embodiment shown in FIG. 2 , the length in the diameter direction is shortened, and the length of the claw portion 38m2 is shortened. Thereby, the singularity of the electrostatic capacitance caused by the protruding structure of the claw portion 38m2 becomes smaller, and the adverse effect on the etching characteristics disappears or becomes smaller, so the uniformity of the etching rate can be further improved. In addition, in the above-mentioned embodiment and modified example, the height of the peak of the top surface of the central focus ring 38m during processing may be the same as or higher than the height of the peaks of the top surface of the inner focus ring 38i and the outer focus ring 38o. .

以上,藉由上述實施形態而說明了基板處理裝置,但本發明之基板處理裝置並不限定於上述實施形態,可以在本發明之範圍內進行各種變形及改良。記載於上述複數之實施形態的事項,可以在彼此不產生矛盾的範圍進行組合。As mentioned above, although the substrate processing apparatus was demonstrated based on the said embodiment, the substrate processing apparatus of this invention is not limited to the said embodiment, Various deformation|transformation and improvement are possible within the range of this invention. Items described in the plural embodiments described above can be combined within a range that does not conflict with each other.

例如,雖然於上述實施形態係施加高頻電力RF,但並不限定於此,亦可施加直流電流(DC)。For example, although the high-frequency electric power RF was applied in the said embodiment, it is not limited to this, You may apply a direct current (DC).

再者,本發明,不僅可適用於圖1之平行平板型雙頻施加裝置,亦可適用於其他基板處理裝置。就基板處理裝置而言,可以使用:電容耦合型電漿(CCP:Capacitively Coupled Plasma)裝置、電感耦合型電漿(ICP:Inductively Coupled Plasma)處理裝置、使用放射狀線槽孔天線之電漿處理裝置、螺旋波激發型電漿(HWP:Helicon Wave Plasma)裝置、電子迴旋共振電漿(ECR:Electron Cyclotron Resonance Plasma)裝置、表面波電漿處理裝置等。Furthermore, the present invention is applicable not only to the parallel plate type dual-frequency application device shown in FIG. 1 , but also to other substrate processing devices. As for substrate processing equipment, it is possible to use: capacitively coupled plasma (CCP: Capacitively Coupled Plasma) equipment, inductively coupled plasma (ICP: Inductively Coupled Plasma) processing equipment, plasma processing using radial line slot antenna device, Helicon Wave Plasma (HWP: Helicon Wave Plasma) device, Electron Cyclotron Resonance Plasma (ECR: Electron Cyclotron Resonance Plasma) device, surface wave plasma processing device, etc.

再者,基板處理裝置,亦可係不產生電漿,而對基板進行熱處理等等既定處理的裝置。基板處理裝置,可以有靜電吸盤36,亦可以沒有靜電吸盤36。Furthermore, the substrate processing device may also be a device that performs predetermined processing such as heat treatment on the substrate without generating plasma. The substrate processing apparatus may or may not have an electrostatic chuck 36 .

再者,於本說明書,係舉半導體晶圓W作為被處理體之一例而進行說明。但是被處理體並不限定於此,亦可係用於LCD(液晶顯示器)、FPD(平面顯示器)的各種基板,或是光罩、CD基板、印刷基板等。In addition, in this specification, the semiconductor wafer W is demonstrated as an example of a to-be-processed object. However, the object to be processed is not limited thereto, and may be used for various substrates of LCD (Liquid Crystal Display) and FPD (Flat Panel Display), photomasks, CD substrates, printed substrates, and the like.

5‧‧‧基板處理裝置10‧‧‧處理室12‧‧‧工件台12a‧‧‧貫通孔16‧‧‧導電性筒狀支持部16a‧‧‧空間18‧‧‧排氣路徑20‧‧‧折流板22‧‧‧排氣口24‧‧‧排氣管26‧‧‧排氣裝置27‧‧‧搬入出口28‧‧‧閘閥30‧‧‧第2高頻電源32‧‧‧匹配器34‧‧‧供電棒36‧‧‧靜電吸盤36a‧‧‧電極36b‧‧‧絶緣膜36c‧‧‧貫通孔38i‧‧‧內側聚焦環38m‧‧‧中央聚焦環38m1‧‧‧環狀部38m2‧‧‧爪部38o‧‧‧外側聚焦環40‧‧‧直流電源42‧‧‧開關43‧‧‧包線44‧‧‧冷媒流路46、48‧‧‧配管50‧‧‧氣體供應管51‧‧‧噴氣頭52‧‧‧氣體供應孔54‧‧‧封環56‧‧‧氣體導入口57‧‧‧第1高頻電源58‧‧‧擴散室59‧‧‧匹配器60‧‧‧供電線66‧‧‧氣體供應源74‧‧‧控制部100‧‧‧殼體100a‧‧‧凹部101‧‧‧壓電致動器102‧‧‧推頂銷103‧‧‧連結部104a、104b‧‧‧構件104c、104d‧‧‧螺絲105‧‧‧軸承部105a‧‧‧凹部111、110‧‧‧環136‧‧‧分子薄片138‧‧‧凹部200‧‧‧移動機構Cw‧‧‧冷卻水FR、FR1、FR2‧‧‧聚焦環LF、HF‧‧‧高頻U1、U2‧‧‧空間W‧‧‧晶圓5‧‧‧substrate processing device 10‧‧‧processing chamber 12‧‧‧work table 12a‧‧‧through hole 16‧‧‧conductive cylindrical support part 16a‧‧‧space 18‧‧‧exhaust path 20‧‧ ‧Baffle plate 22‧‧‧Exhaust port 24‧‧‧Exhaust pipe 26‧‧‧Exhaust device 27‧‧‧Inlet outlet 28‧‧‧Gate valve 30‧‧‧Second high frequency power supply 32‧‧‧Matching Device 34‧‧‧Power supply rod 36‧‧‧Electrostatic chuck 36a‧‧‧Electrode 36b‧‧‧Insulating film 36c‧‧‧Through hole 38i‧‧‧Inner focus ring 38m‧‧‧Central focus ring 38m1‧‧‧Ring Part 38m2‧‧‧Claw part 38o‧‧‧Outer focus ring 40‧‧‧DC power supply 42‧‧‧Switch 43‧‧‧Wrapped wire 44‧‧‧Refrigerant flow path 46, 48‧‧‧Piping 50‧‧‧Gas Supply pipe 51‧‧‧jet head 52‧‧‧gas supply hole 54‧‧‧sealing ring 56‧‧‧gas inlet 57‧‧‧first high-frequency power supply 58‧‧‧diffusion chamber 59‧‧‧matching device 60 ‧‧‧Power line 66‧‧‧gas supply source 74‧‧‧control part 100‧‧‧casing 100a‧‧‧recess 101‧‧‧piezoelectric actuator 102‧‧‧push pin 103‧‧‧link Parts 104a, 104b‧‧‧members 104c, 104d‧‧‧screw 105‧‧‧bearing part 105a‧‧‧recess 111, 110‧‧‧ring 136‧‧‧molecule sheet 138‧‧‧recess 200‧‧‧moving mechanism Cw‧‧‧cooling water FR, FR1, FR2‧‧‧focus ring LF, HF‧‧‧high frequency U1, U2‧‧‧space W‧‧‧wafer

【圖1】繪示一實施形態之基板處理裝置之一例的圖式。 【圖2】繪示一實施形態之聚焦環、移動機構及驅動部之一例的圖式。 【圖3】(a)~(d)一實施形態中,分成三部分的聚焦環之立體圖、俯視圖及剖面圖。 【圖4】(a-1)、(a-2)、(b-1)、(b-2)用以說明一實施形態之聚焦環之上下移動的圖式。 【圖5】說明一實施形態之聚焦環之直徑的圖式。 【圖6】(a)~(c)繪示一實施形態及比較例之聚焦環的蝕刻速率之一例的圖式。 【圖7】(a)~(c)說明一實施形態及比較例之聚焦環產生電漿的圖式。 【圖8】繪示變形例之聚焦環、移動機構及驅動部之一例的圖式。[ Fig. 1 ] A diagram showing an example of a substrate processing apparatus according to an embodiment. [FIG. 2] A diagram showing an example of a focus ring, a moving mechanism, and a drive unit according to an embodiment. [Fig. 3] Perspective view, plan view and cross-sectional view of the focus ring divided into three parts in one embodiment (a) to (d). [FIG. 4] (a-1), (a-2), (b-1), (b-2) are diagrams for explaining the up and down movement of the focus ring in one embodiment. [ Fig. 5 ] A diagram illustrating a diameter of a focus ring according to an embodiment. [FIG. 6] (a)-(c) are graphs showing an example of the etching rate of the focus ring of an embodiment and a comparative example. [FIG. 7] (a)-(c) are diagrams illustrating generation of plasma by the focus ring of an embodiment and a comparative example. [FIG. 8] A diagram showing an example of a focus ring, a moving mechanism, and a drive unit according to a modified example.

12‧‧‧工件台 12‧‧‧Workpiece table

16‧‧‧導電性筒狀支持部 16‧‧‧Conductive cylindrical support part

16a‧‧‧空間 16a‧‧‧space

36‧‧‧靜電吸盤 36‧‧‧Electrostatic chuck

38i‧‧‧內側聚焦環 38i‧‧‧Inner focus ring

38m‧‧‧中央聚焦環 38m‧‧‧Central focus ring

38m1‧‧‧環狀部 38m1‧‧‧ring part

38m2‧‧‧爪部 38m2‧‧‧Claw

38o‧‧‧外側聚焦環 38o‧‧‧outer focus ring

100‧‧‧殼體 100‧‧‧shell

102‧‧‧推頂銷 102‧‧‧Push pin

103‧‧‧連結部 103‧‧‧Connection Department

138‧‧‧凹部 138‧‧‧Concave

W‧‧‧晶圓 W‧‧‧Wafer

Claims (21)

一種基板處理裝置,具有聚焦環;該聚焦環包括:內側聚焦環,設於基板附近,該基板係載置於處理室內之基板固持台;中央聚焦環,設於該內側聚焦環之外側,並藉由移動機構而可上下移動;以及外側聚焦環,設於該中央聚焦環之外側;且該中央聚焦環,具有環狀部與設在該環狀部的外周側之爪部,該外側聚焦環的底面,設有容納該爪部之凹部,該移動機構,使該爪部上下移動。 A substrate processing device has a focus ring; the focus ring includes: an inner focus ring, which is arranged near a substrate, and the substrate is placed on a substrate holding platform in a processing chamber; a central focus ring, which is arranged outside the inner focus ring, and It can move up and down by a moving mechanism; and the outer focus ring is arranged on the outside of the central focus ring; The bottom surface of the ring is provided with a recess for accommodating the claw, and the moving mechanism moves the claw up and down. 如申請專利範圍第1項之基板處理裝置,其中,該移動機構,使該中央聚焦環移動至因應該中央聚焦環之損耗量的高度。 In the substrate processing apparatus according to claim 1 of the patent scope, the moving mechanism moves the central focus ring to a height corresponding to the loss of the central focus ring. 如申請專利範圍第1或2項之基板處理裝置,其中,該移動機構,包括推頂銷,該推頂銷,配置在該爪部的正下,藉由將該推頂銷的前端部抵接於該爪部的底面,而使該爪部上昇。 The substrate processing device as claimed in claim 1 or 2 of the scope of the patent application, wherein the moving mechanism includes an ejector pin, and the ejector pin is arranged directly under the claw, and the front end of the ejector pin Connect to the bottom surface of the claw to raise the claw. 如申請專利範圍第3項之基板處理裝置,其中,該基板固持台,具有工件台與配置於該工件台的頂面之靜電吸盤,該推頂銷,配置於比該靜電吸盤及該工件台的外周端部更為偏向內側, 該推頂銷,貫穿該靜電吸盤及該工件台的內部。 The substrate processing device as claimed in item 3 of the scope of the patent application, wherein the substrate holding table has a work table and an electrostatic chuck arranged on the top surface of the work table, and the ejector pin is arranged on a lower side than the electrostatic chuck and the work table The outer peripheral end is more inwardly biased, The ejector pin penetrates the electrostatic chuck and the workpiece table. 如申請專利範圍第1或2項之基板處理裝置,其中,該移動機構包括推頂銷,該推頂銷,配置於比該外側聚焦環的外周端部更偏向外側,藉由將該推頂銷的前端部抵接於與該爪部連接之連結部的底面,而使該爪部上昇。 The substrate processing apparatus according to claim 1 or 2 of the scope of the patent application, wherein the moving mechanism includes ejector pins, and the ejector pins are arranged on the outer side of the outer peripheral end of the outer focus ring. The front end portion of the pin abuts against the bottom surface of the connecting portion connected to the claw to raise the claw. 如申請專利範圍第5項之基板處理裝置,其中,該基板固持台,具有工件台與配置於該工件台的頂面之靜電吸盤,該推頂銷,配置於比該靜電吸盤的外周端部更偏向外側、且比該工件台的外周端部更偏向內側,該推頂銷,貫穿該工件台的內部。 The substrate processing device according to claim 5 of the scope of the patent application, wherein the substrate holding table has a workpiece table and an electrostatic chuck arranged on the top surface of the workpiece table, and the ejector pin is arranged at the outer peripheral end of the electrostatic chuck The ejector pin is positioned more outwardly and inwardly than the outer peripheral end of the work table, and penetrates the inside of the work table. 如申請專利範圍第1或2項之基板處理裝置,更包括:殼體,配置於該基板固持台之周圍,並且設有該移動機構;以及驅動部,設於該殼體之內部,並且上下驅動該移動機構。 The substrate processing device according to claim 1 or 2 of the scope of the patent application further includes: a casing arranged around the substrate holding table and provided with the moving mechanism; Drive the moving mechanism. 如申請專利範圍第7項之基板處理裝置,其中,複數之該驅動部,係以構件而彼此連接,並且透過該構件而安裝於該殼體。 The substrate processing apparatus according to claim 7 of the patent application, wherein the plurality of driving parts are connected to each other by a component, and are installed in the casing through the component. 如申請專利範圍第7項之基板處理裝置,其中, 該中央聚焦環之移動距離的下限值,係該驅動部的解析度;該中央聚焦環之移動距離的上限值,係小於該中央聚焦環之厚度的數值。 Such as the substrate processing device of item 7 of the scope of the patent application, wherein, The lower limit of the moving distance of the central focus ring is the resolution of the driving unit; the upper limit of the moving distance of the central focus ring is a value smaller than the thickness of the central focus ring. 如申請專利範圍第1或2項之基板處理裝置,更具有:複數之驅動部,上下驅動該移動機構;複數之該驅動部,係以構件而彼此連接,並且透過該構件而連結該移動機構。 For example, the substrate processing device in claim 1 or 2 of the scope of the patent application further has: a plurality of driving parts driving the moving mechanism up and down; a plurality of the driving parts are connected to each other by components, and the moving mechanism is connected through the components . 如申請專利範圍第10項之基板處理裝置,其中,該中央聚焦環之移動距離的下限值,係該驅動部的解析度;該中央聚焦環之移動距離的上限值之數值,係小於設在該基板固持台的頂面與該中央聚焦環的底面之間的既定空間之高度、與該中央聚焦環之厚度相加而得的數值。 For example, the substrate processing device of item 10 of the scope of the patent application, wherein, the lower limit value of the moving distance of the central focus ring is the resolution of the driving part; the value of the upper limit value of the moving distance of the central focus ring is less than The value obtained by adding the height of the predetermined space between the top surface of the substrate holding platform and the bottom surface of the central focus ring to the thickness of the central focus ring. 如申請專利範圍第3項之基板處理裝置,其中,該推頂銷,係由藍寶石所形成。 In the substrate processing device as claimed in claim 3 of the scope of the patent application, the ejector pin is formed of sapphire. 如申請專利範圍第4項之基板處理裝置,其中,於該靜電吸盤的頂面與該中央聚焦環的底面之間,設有既定之空間。 In the substrate processing apparatus according to claim 4 of the scope of the patent application, a predetermined space is provided between the top surface of the electrostatic chuck and the bottom surface of the central focus ring. 如申請專利範圍第10項之基板處理裝置,其中,該驅動部係壓電致動器。 The substrate processing apparatus according to claim 10 of the patent application, wherein the drive unit is a piezoelectric actuator. 如申請專利範圍第1或2項之基板處理裝置,其中,於該處理室處理中之該中央聚焦環的頂面之峰部的高度,高於該內側聚焦環及該外側聚焦環的頂面之峰部。 The substrate processing apparatus according to claim 1 or 2 of the patent application, wherein the height of the peak of the top surface of the central focus ring during processing in the processing chamber is higher than the top surfaces of the inner focus ring and the outer focus ring of the peak. 如申請專利範圍第1或2項之基板處理裝置,其中,該內側聚焦環、該中央聚焦環及該外側聚焦環,係各自由相同材料或不同材料所形成。 The substrate processing apparatus according to claim 1 or 2 of the patent application, wherein the inner focus ring, the central focus ring and the outer focus ring are each formed of the same material or different materials. 如申請專利範圍第1或2項之基板處理裝置,其中,該內側聚焦環、該中央聚焦環及該外側聚焦環,各自的材料係矽、二氧化矽、碳化矽中之任一種。 As for the substrate processing device in claim 1 or 2 of the patent application, wherein the material of the inner focus ring, the central focus ring and the outer focus ring is any one of silicon, silicon dioxide, and silicon carbide. 如申請專利範圍第1或2項之基板處理裝置,其中,該中央聚焦環,係以比該內側聚焦環及該外側聚焦環之材料更硬的材料所形成。 The substrate processing apparatus according to claim 1 or 2 of the patent claims, wherein the central focus ring is formed of a harder material than the material of the inner focus ring and the outer focus ring. 如申請專利範圍第1或2項之基板處理裝置,其中,該內側聚焦環之外徑,較基板之外徑大2.5%~3.5%。 For example, the substrate processing device of claim 1 or 2 of the patent scope, wherein the outer diameter of the inner focus ring is 2.5% to 3.5% larger than the outer diameter of the substrate. 如申請專利範圍第1或2項之基板處理裝置,其中,該中央聚焦環之外徑,較基板之外徑大4.5%~5.5%。 Such as the substrate processing device of claim 1 or 2 of the patent scope, wherein the outer diameter of the central focus ring is 4.5% to 5.5% larger than the outer diameter of the substrate. 如申請專利範圍第1或2項之基板處理裝置,其中,該外側聚焦環之外徑,較基板之外徑大19.5%~20.5%。For example, the substrate processing device of claim 1 or 2 of the patent scope, wherein the outer diameter of the outer focus ring is 19.5% to 20.5% larger than the outer diameter of the substrate.
TW107108735A 2017-03-22 2018-03-15 Substrate processing apparatus TWI781988B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2017055977 2017-03-22
JP2017-055977 2017-03-22
JP2018041228A JP7055039B2 (en) 2017-03-22 2018-03-07 Board processing equipment
JP2018-041228 2018-03-07

Publications (2)

Publication Number Publication Date
TW201903814A TW201903814A (en) 2019-01-16
TWI781988B true TWI781988B (en) 2022-11-01

Family

ID=63796732

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107108735A TWI781988B (en) 2017-03-22 2018-03-15 Substrate processing apparatus

Country Status (3)

Country Link
JP (1) JP7055039B2 (en)
KR (1) KR102488217B1 (en)
TW (1) TWI781988B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020255319A1 (en) * 2019-06-20 2020-12-24 株式会社日立ハイテク Plasma processing device and plasma processing method
KR20210002175A (en) 2019-06-26 2021-01-07 삼성전자주식회사 Sensor module and etching apparatus having the same
JP7278160B2 (en) * 2019-07-01 2023-05-19 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP7321026B2 (en) 2019-08-02 2023-08-04 東京エレクトロン株式会社 EDGE RING, PLACE, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD
JP2021040011A (en) * 2019-09-02 2021-03-11 キオクシア株式会社 Plasma processing apparatus
JP7296829B2 (en) * 2019-09-05 2023-06-23 東京エレクトロン株式会社 Plasma processing apparatus, processing method, upper electrode structure
JP7465733B2 (en) 2019-09-26 2024-04-11 東京エレクトロン株式会社 Substrate support and plasma processing apparatus
CN112563186A (en) 2019-09-26 2021-03-26 东京毅力科创株式会社 Substrate holder and plasma processing apparatus
JP7412124B2 (en) 2019-10-18 2024-01-12 東京エレクトロン株式会社 How to replace the substrate processing system and edge ring
KR20210082293A (en) * 2019-12-24 2021-07-05 주식회사 제우스 Substrate processing apparatus
JP2021118249A (en) 2020-01-24 2021-08-10 東京エレクトロン株式会社 Plasma processing apparatus
CN115315775A (en) 2020-03-23 2022-11-08 朗姆研究公司 Medium ring corrosion compensation in substrate processing systems
CN114300334B (en) * 2021-11-22 2023-11-14 北京北方华创微电子装备有限公司 Process chamber and semiconductor process equipment

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080236749A1 (en) * 2007-03-28 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
US20120175063A1 (en) * 2011-01-07 2012-07-12 Tokyo Electron Limited Substrate processing apparatus
US20140017900A1 (en) * 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US20140262026A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Process kit for deposition and etching
TW201618180A (en) * 2014-11-03 2016-05-16 Advanced Micro Fabrication Equipment Shanghai Co L Inductively coupled plasma treatment device and plasma etching method
TW201622057A (en) * 2014-11-10 2016-06-16 Advanced Micro Fab Equip Inc Plasma treatment device, substrate unloading device and methods thereof
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11283967A (en) * 1998-03-27 1999-10-15 Sony Corp Plasma etching device
JP2001230239A (en) 2000-02-15 2001-08-24 Tokyo Electron Ltd Apparatus and method for treating
JP2009044075A (en) 2007-08-10 2009-02-26 Toshiba Corp Plasma processing device and method of plasma-etching
JP5088483B2 (en) 2007-12-18 2012-12-05 三菱マテリアル株式会社 Composite silicon ring for plasma etching equipment to support wafer
JP2012222235A (en) 2011-04-12 2012-11-12 Hitachi High-Technologies Corp Plasma processing apparatus
JP6178145B2 (en) 2013-07-19 2017-08-09 Sppテクノロジーズ株式会社 Plasma processing equipment

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080236749A1 (en) * 2007-03-28 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
JP2008244274A (en) * 2007-03-28 2008-10-09 Tokyo Electron Ltd Plasma processing apparatus
US20120175063A1 (en) * 2011-01-07 2012-07-12 Tokyo Electron Limited Substrate processing apparatus
US20140017900A1 (en) * 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US20140262026A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Process kit for deposition and etching
TW201618180A (en) * 2014-11-03 2016-05-16 Advanced Micro Fabrication Equipment Shanghai Co L Inductively coupled plasma treatment device and plasma etching method
TW201622057A (en) * 2014-11-10 2016-06-16 Advanced Micro Fab Equip Inc Plasma treatment device, substrate unloading device and methods thereof
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution

Also Published As

Publication number Publication date
KR102488217B1 (en) 2023-01-12
KR20180107743A (en) 2018-10-02
JP7055039B2 (en) 2022-04-15
TW201903814A (en) 2019-01-16
JP2018160666A (en) 2018-10-11

Similar Documents

Publication Publication Date Title
TWI781988B (en) Substrate processing apparatus
CN108630514B (en) Substrate processing apparatus
JP7061918B2 (en) Plasma etching method and plasma processing equipment
JP6995008B2 (en) Board processing equipment
KR102434559B1 (en) Mounting table and plasma processing apparatus
US11264260B2 (en) Cleaning method and substrate processing apparatus
JP2011035266A (en) Plasma processing apparatus and plasma processing method
US10615008B2 (en) Temperature control method
US11942357B2 (en) Workpiece placement apparatus and processing apparatus
JP2019149422A (en) Plasma processing apparatus and mounting table manufacturing method
US20190244791A1 (en) Raising-and-lowering mechanism, stage and plasma processing apparatus
WO2019244631A1 (en) Stage and substrate processing apparatus
KR101898079B1 (en) Plasma processing apparatus
US20190355598A1 (en) Processing apparatus, member, and temperature control method
TWI809007B (en) Focus ring for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus
US20190252159A1 (en) Mounting apparatus for object to be processed and processing apparatus
TW202032715A (en) Placing table and substrate processing apparatus
US11587820B2 (en) Mounting table, substrate processing apparatus, and control method
US20240079216A1 (en) Apparatus for treating substrate and method for treating substrate
TW202412559A (en) Apparatus for treating substrate and method for treating a substrate