TWI773086B - Method for forming three-dimensional memory device - Google Patents

Method for forming three-dimensional memory device Download PDF

Info

Publication number
TWI773086B
TWI773086B TW110101362A TW110101362A TWI773086B TW I773086 B TWI773086 B TW I773086B TW 110101362 A TW110101362 A TW 110101362A TW 110101362 A TW110101362 A TW 110101362A TW I773086 B TWI773086 B TW I773086B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
polysilicon
polysilicon layer
forming
Prior art date
Application number
TW110101362A
Other languages
Chinese (zh)
Other versions
TW202221908A (en
Inventor
吳林春
張坤
文犀 周
夏志良
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2020/129331 external-priority patent/WO2021208418A1/en
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202221908A publication Critical patent/TW202221908A/en
Application granted granted Critical
Publication of TWI773086B publication Critical patent/TWI773086B/en

Links

Images

Landscapes

  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A 3D memory device and a method for forming the same are disclosed. In an example, a method for forming a 3D memory element is disclosed. A first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer and a dielectric stacked layer are sequentially formed on the substrate. A channel structure extending vertically through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the first polysilicon layer is formed. An opening is formed vertically extending through the dielectric stack layer and the second polysilicon layer and vertically extending into or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer, and a polysilicon spacer along a portion of the sidewall of the opening. The dielectric sacrificial layer is replaced by a third polysilicon layer between the first and second polysilicon layers through the opening.

Description

用於形成立體(3D)記憶體元件的方法 Method for forming a three-dimensional (3D) memory device

本發明內容的實施方式涉及立體(3D)記憶體元件及其製造方法。 Embodiments of the present disclosure relate to three-dimensional (3D) memory devices and methods of fabricating the same.

透過改進製程技術、電路設計、程式設計演算法和製造製程來將平面記憶體單元按比例縮小到較小的尺寸。然而,當記憶體單元的特徵尺寸接近下限時,平面製程和製造技術變得越來越有挑戰性且造價昂貴。因此,平面記憶體單元的記憶體密度接近上限。 Scale down planar memory cells to smaller sizes by improving process technology, circuit design, programming algorithms and manufacturing processes. However, as the feature size of memory cells approaches the lower limit, planar processes and fabrication techniques become increasingly challenging and expensive. Therefore, the memory density of planar memory cells is approaching the upper limit.

立體儲存架構可以解決平面儲存單元中的密度極限。立體儲存架構包括儲存陣列和用於控制存取儲存陣列的信號的週邊元件。 The three-dimensional storage architecture can address the density limit in planar storage cells. A three-dimensional storage architecture includes a storage array and peripheral components for controlling signals accessing the storage array.

在本文中公開了3D記憶體元件和用於形成其的方法的實施方式。 Embodiments of 3D memory elements and methods for forming the same are disclosed herein.

在一個示例中,公開了用於形成3D記憶體元件的方法。在基底之上依次形成第一多晶矽層、介電犧牲層、第二多晶矽層和介電堆疊層。形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通道結構。形成垂直延伸穿過介電堆疊層和第二多晶矽層並垂直延伸進入到介 電犧牲層中或穿過介電犧牲層以曝露介電犧牲層的一部分的開口,以及沿著開口的側壁的一部分的多晶矽間隔體。透過開口利用在第一多晶矽層和第二多晶矽層之間的第三多晶矽層替換介電犧牲層。 In one example, a method for forming a 3D memory element is disclosed. A first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer and a dielectric stack layer are sequentially formed on the substrate. A channel structure is formed extending vertically through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer. forming a vertical extension through the dielectric stack layer and the second polysilicon layer and extending vertically into the dielectric An opening in the electrical sacrificial layer or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer, and a polysilicon spacer along a portion of the sidewall of the opening. The dielectric sacrificial layer is replaced with a third polysilicon layer between the first polysilicon layer and the second polysilicon layer through the opening.

在另一示例中,公開了用於形成3D記憶體元件的方法。在基底的第一側處依次形成停止層、介電層、第一多晶矽層、介電犧牲層、第二多晶矽層和介電堆疊層。形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通道結構。形成垂直延伸穿過介電堆疊層和第二多晶矽層並垂直延伸進入到介電犧牲層中或穿過介電犧牲層的開口,以曝露介電犧牲層的一部分。透過開口利用在第一多晶矽層和第二多晶矽層之間的第三多晶矽層替換介電犧牲層。從與基底的第一側相對的第二側移除基底,在停止層處停止。形成垂直延伸穿過停止層和介電層的源極接觸開口,以曝露第一多晶矽層的一部分。同時形成在源極接觸開口中的源極接觸結構和連接到源極接觸結構的互連層。 In another example, a method for forming a 3D memory element is disclosed. A stop layer, a dielectric layer, a first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer, and a dielectric stack layer are sequentially formed at the first side of the substrate. A channel structure is formed extending vertically through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer. An opening is formed extending vertically through the dielectric stack layer and the second polysilicon layer and vertically into or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer. The dielectric sacrificial layer is replaced with a third polysilicon layer between the first polysilicon layer and the second polysilicon layer through the opening. The substrate is removed from a second side opposite the first side of the substrate, stopping at the stop layer. A source contact opening is formed extending vertically through the stop layer and the dielectric layer to expose a portion of the first polysilicon layer. A source contact structure in the source contact opening and an interconnect layer connected to the source contact structure are simultaneously formed.

在又一示例中,3D記憶體元件包括多晶矽層、包括交錯的堆疊層導電層和堆疊層介電層的記憶體堆疊層、通道結構和狹縫結構。通道結構垂直延伸穿過記憶體堆疊層並進入到多晶矽層中,並包括記憶體膜和半導體通道。沿著通道結構的側壁的半導體通道的一部分與多晶矽層的子層接觸。狹縫結構垂直延伸穿過記憶體堆疊層和多晶矽層的子層。 In yet another example, a 3D memory device includes a polysilicon layer, a memory stack including alternating stacked conductive layers and stacked dielectric layers, a channel structure, and a slit structure. The channel structure extends vertically through the memory stack and into the polysilicon layer and includes the memory film and semiconductor channels. A portion of the semiconductor channel along the sidewalls of the channel structure is in contact with the sublayer of the polysilicon layer. The slit structure extends vertically through the memory stack layer and the sub-layers of the polysilicon layer.

在本發明的其中一些實施例中,提供一種用於形成立體(3D)記憶體元件的方法,包括在一基底之上依次形成一第一多晶矽層、一介電犧牲層、一第二多晶矽層和一介電堆疊層,形成垂直延伸穿過所述介電堆疊層、所述第 二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道結構,形成(i)垂直延伸穿過所述介電堆疊層和所述第二多晶矽層,並垂直延伸進入到所述介電犧牲層中或垂直延伸穿過所述介電犧牲層以曝露所述介電犧牲層的一部分的一開口,以及形成(ii)沿著所述開口的一側壁的一部分的一多晶矽間隔體,以及透過所述開口,利用在所述第一多晶矽層和所述第二多晶矽層之間的一第三多晶矽層替換所述介電犧牲層。 In some of the embodiments of the present invention, a method for forming a three-dimensional (3D) memory device is provided, comprising sequentially forming a first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer on a substrate polysilicon layer and a dielectric stack layer, forming vertically extending through the dielectric stack layer, the first Two polysilicon layers and the dielectric sacrificial layer and into a channel structure into the first polysilicon layer, forming (i) vertically extending through the dielectric stack and the second polysilicon layer , and an opening extending vertically into or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer, and forming (ii) an opening along the opening a polysilicon spacer on a portion of the sidewall, and through the opening, replacing the dielectric sacrificial with a third polysilicon layer between the first polysilicon layer and the second polysilicon layer Floor.

在本發明的其中一些實施例中,形成所述開口和所述多晶矽間隔體包括形成垂直延伸穿過所述介電堆疊層並進入到所述第二多晶矽層中的所述開口,沿著所述開口的所述側壁形成所述多晶矽間隔體,以及使所述開口進一步延伸穿過所述第二多晶矽層,並進入到所述介電犧牲層中或穿過所述介電犧牲層。 In some of the embodiments of the invention, forming the opening and the polysilicon spacer includes forming the opening extending vertically through the dielectric stack and into the second polysilicon layer, along the forming the polysilicon spacers along the sidewalls of the openings and extending the openings further through the second polysilicon layer and into the dielectric sacrificial layer or through the dielectric sacrificial layer.

在本發明的其中一些實施例中,所述多晶矽間隔體鄰接所述介電堆疊層而不鄰接所述介電犧牲層。 In some of the embodiments of the present invention, the polysilicon spacer adjoins the dielectric stack layer but not the dielectric sacrificial layer.

在本發明的其中一些實施例中,還包括在利用所述第三多晶矽層替換所述介電層之後,透過所述開口,利用一記憶體堆疊層替換所述介電堆疊層。 In some of the embodiments of the present invention, after replacing the dielectric layer with the third polysilicon layer, replacing the dielectric stack layer with a memory stack layer through the opening.

在本發明的其中一些實施例中,還包括:在利用所述記憶體堆疊層替換所述介電堆疊層之後,在所述開口中形成一狹縫結構。 In some of the embodiments of the present invention, further comprising: forming a slit structure in the opening after replacing the dielectric stack layer with the memory stack layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括依次沉積一第一氧化矽層、一氮化矽層和一第二氧化矽層。 In some embodiments of the present invention, forming the dielectric sacrificial layer includes sequentially depositing a first silicon oxide layer, a silicon nitride layer and a second silicon oxide layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括沉積單層氧化矽層。 In some of the embodiments of the present invention, forming the dielectric sacrificial layer includes depositing a single layer of silicon oxide.

在本發明的其中一些實施例中,形成所述通道結構包括形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道孔,以及沿著所述通道孔的側壁依次形成記憶體膜和半導體通道。 In some of the embodiments of the present invention, forming the channel structure includes forming a vertical extension through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the first A channel hole in the polysilicon layer, and a memory film and a semiconductor channel are sequentially formed along the sidewalls of the channel hole.

在本發明的其中一些實施例中,利用所述第三多晶矽層替換所述介電犧牲層包括透過所述開口移除所述介電犧牲層,以形成在所述第一多晶矽層和所述第二多晶矽層之間的一空腔,透過所述開口移除所述記憶體膜的一部分,以曝露沿著所述通道孔的所述側壁的所述半導體通道的一部分,以及透過所述開口將一多晶矽材料沉積到所述空腔中,以形成所述第三多晶矽層。 In some of the embodiments of the present invention, replacing the dielectric sacrificial layer with the third polysilicon layer includes removing the dielectric sacrificial layer through the opening to form on the first polysilicon layer a cavity between the second polysilicon layer and the second polysilicon layer, removing a portion of the memory film through the opening to expose a portion of the semiconductor via along the sidewall of the via hole, and depositing a polysilicon material into the cavity through the opening to form the third polysilicon layer.

在本發明的其中一些實施例中,所述第一多晶矽層、所述第二多晶矽層和所述第三多晶矽層中的至少一者摻雜有N型摻雜物,並且所述方法還包括:在所述第一多晶矽層、所述第二多晶矽層和所述第三多晶矽層中擴散所述N型摻雜物。 In some of the embodiments of the present invention, at least one of the first polysilicon layer, the second polysilicon layer, and the third polysilicon layer is doped with an N-type dopant, And the method further includes: diffusing the N-type dopant in the first polysilicon layer, the second polysilicon layer and the third polysilicon layer.

在本發明的其中一些實施例中,提供一種用於形成立體(3D)記憶體元件的方法,包括在一基底的一第一側處依次形成一停止層、一介電層、一第一多晶矽層、一介電犧牲層、一第二多晶矽層和一介電堆疊層,形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層,並進入到所述 第一多晶矽層中的一通道結構,形成垂直延伸穿過所述介電堆疊層和所述第二多晶矽層並垂直延伸進入到所述介電犧牲層中或穿過所述介電犧牲層,以曝露所述介電犧牲層的一部分的一開口,透過所述開口,利用在所述第一多晶矽層和所述第二多晶矽層之間的一第三多晶矽層替換所述介電犧牲層,從與所述基底的所述第一側相對的一第二側移除所述基底,在所述停止層處停止,形成垂直延伸穿過所述停止層和所述介電層,以曝露所述第一多晶矽層的一部分的一源極接觸開口,以及同時形成在所述源極接觸開口中的一源極接觸結構,和連接到所述源極接觸結構的一互連層。 In some of the embodiments of the present invention, a method for forming a three-dimensional (3D) memory device is provided, comprising sequentially forming a stop layer, a dielectric layer, a first multi-layered layer at a first side of a substrate silicon layer, a dielectric sacrificial layer, a second polysilicon layer and a dielectric stack layer formed vertically extending through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer layer, and into the a channel structure in the first polysilicon layer forming vertically extending through the dielectric stack layer and the second polysilicon layer and extending vertically into the dielectric sacrificial layer or through the dielectric electrical sacrificial layer to expose an opening of a portion of the dielectric sacrificial layer, through the opening, utilizing a third polysilicon layer between the first polysilicon layer and the second polysilicon layer A layer of silicon replaces the dielectric sacrificial layer, removes the substrate from a second side opposite the first side of the substrate, stops at the stop layer, forming a vertical extension through the stop layer and the dielectric layer to expose a source contact opening of a portion of the first polysilicon layer, and a source contact structure simultaneously formed in the source contact opening, and connected to the source An interconnect layer of the pole contact structure.

在本發明的其中一些實施例中,同時形成所述源極接觸結構和所述互連層包括在與所述第一多晶矽層的一曝露部分接觸的所述源極接觸開口中,形成一矽化物層,以及移除所述停止層以曝露所述介電層,以及將一金屬層沉積到所述源極接觸開口中和所述介電層上。 In some of the embodiments of the present invention, simultaneously forming the source contact structure and the interconnect layer includes forming in the source contact opening in contact with an exposed portion of the first polysilicon layer, forming A silicide layer, and removing the stop layer to expose the dielectric layer, and depositing a metal layer into the source contact openings and on the dielectric layer.

在本發明的其中一些實施例中,依次形成所述停止層和所述介電層包括:在所述基底上依次沉積一第一氧化矽層、一第一氮化矽層和一第二氧化矽層。 In some embodiments of the present invention, forming the stop layer and the dielectric layer sequentially includes: sequentially depositing a first silicon oxide layer, a first silicon nitride layer and a second oxide layer on the substrate silicon layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括:依次沉積一第三氧化矽層、一第二氮化矽層和一第四氧化矽層。 In some embodiments of the present invention, forming the sacrificial dielectric layer includes sequentially depositing a third silicon oxide layer, a second silicon nitride layer and a fourth silicon oxide layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括沉積一單層氧化矽層。 In some of the embodiments of the present invention, forming the dielectric sacrificial layer includes depositing a single layer of silicon oxide.

在本發明的其中一些實施例中,形成所述開口包括形成垂直延伸穿過所述介電堆疊層並進入到所述第二多晶矽層中的所述開口,沿著所述開口的一側壁形成一多晶矽間隔體,以及使所述開口進一步延伸穿過所述第二多晶矽層並進入到所述介電犧牲層中或穿過所述介電犧牲層。 In some of the embodiments of the invention, forming the opening includes forming the opening extending vertically through the dielectric stack and into the second polysilicon layer, along a portion of the opening Sidewalls form a polysilicon spacer, and the openings extend further through the second polysilicon layer and into or through the dielectric sacrificial layer.

在本發明的其中一些實施例中,還包括:在利用所述第三多晶矽層替換所述介電層之後,透過所述開口利用一記憶體堆疊層替換所述介電堆疊層。 In some of the embodiments of the present invention, further comprising: replacing the dielectric stack layer with a memory stack layer through the opening after replacing the dielectric layer with the third polysilicon layer.

在本發明的其中一些實施例中,還包括:在利用所述記憶體堆疊層替換所述介電堆疊層之後,在所述開口中形成一絕緣結構。 In some of the embodiments of the present invention, further comprising: forming an insulating structure in the opening after replacing the dielectric stack layer with the memory stack layer.

在本發明的其中一些實施例中,其中,形成所述通道結構包括形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道孔,以及沿著所述通道孔的一側壁依次形成一記憶體膜和一半導體通道。 In some of the embodiments of the present invention, wherein forming the channel structure includes forming a vertical extension through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the dielectric layer A channel hole in the first polysilicon layer, and a memory film and a semiconductor channel are sequentially formed along a sidewall of the channel hole.

在本發明的其中一些實施例中,利用所述第三多晶矽層替換所述介電犧牲層包括透過所述開口移除所述介電犧牲層,以形成在所述第一多晶矽層和所述第二多晶矽層之間的一空腔,透過所述開口移除所述記憶體膜的一部分,以曝露沿著所述通道孔的所述側壁的所述半導體通道的一部分,以及透過所述開口,將一多晶矽材料沉積到所述空腔中,以形成所述第三多晶矽層。 In some of the embodiments of the present invention, replacing the dielectric sacrificial layer with the third polysilicon layer includes removing the dielectric sacrificial layer through the opening to form on the first polysilicon layer a cavity between the second polysilicon layer and the second polysilicon layer, removing a portion of the memory film through the opening to expose a portion of the semiconductor via along the sidewall of the via hole, and depositing a polysilicon material into the cavity through the opening to form the third polysilicon layer.

100:3D記憶體元件 100: 3D Memory Components

101:元件區域 101: Component area

102:介電層 102: Dielectric layer

103:週邊區域 103: Surrounding area

104:多晶矽層 104: polysilicon layer

105:上子層 105: Upper Sublayer

106:記憶體堆疊層 106: Memory stack layer

107:多晶矽層 107: Polysilicon Layer

108:堆疊層導電層 108: Stacked conductive layers

109:子層 109: Sublayer

110:堆疊層介電層 110: Stacked Dielectric Layers

111:層間介電層 111: Interlayer dielectric layer

112:通道結構 112: Channel Structure

114:記憶體膜 114: Memory film

116:半導體通道 116: Semiconductor channel

118:上覆層 118: Overlay

119:介電犧牲層 119: Dielectric Sacrificial Layer

120:通道插塞 120: channel plug

122:平行狹縫結構 122: Parallel slit structure

124:閘極介電層 124: gate dielectric layer

126:絕緣體核心 126: Insulator Core

127:第一氧化矽層 127: first silicon oxide layer

128:源極接觸結構 128: source contact structure

129:氮化矽層 129: silicon nitride layer

130:互連層 130: Interconnect layer

131:第二氧化矽層 131: The second silicon oxide layer

132:矽化物層 132: silicide layer

133:源極接觸 133: source contact

134:接觸襯墊 134: Contact pad

135:間隔體 135: Spacer

136:區域 136: Area

137:單層氧化矽層 137: Monolayer silicon oxide layer

202:基底 202: Substrate

203:停止層 203: Stop Layer

205:介電層 205: Dielectric Layer

207:第一多晶矽層 207: First polysilicon layer

208:介電堆疊層 208: Dielectric stack layers

209:第一犧牲層 209: First sacrificial layer

210:堆疊層介電層 210: Stacked Dielectric Layers

211:第二犧牲層 211: Second sacrificial layer

212:堆疊層犧牲層 212: Stacked Layer Sacrificial Layer

213:第三犧牲層 213: The third sacrificial layer

214:通道結構 214: Channel Structure

215:第二多晶矽層 215: Second polysilicon layer

216:記憶體膜 216: Memory Film

218:半導體通道 218: Semiconductor channel

220:上覆層 220: Overlay

222:通道插塞 222: Channel Plug

224:狹縫 224: Slit

226:空腔 226: cavity

228:多晶矽間隔體 228: Polysilicon Spacer

230:第三多晶矽層 230: Third polysilicon layer

234:記憶體堆疊層 234: Memory stack layer

236:堆疊層導電層 236: Stacked conductive layers

238:閘極介電層 238: gate dielectric layer

240:絕緣核心 240: Insulation Core

242:絕緣結構 242: Insulation structure

244:源極接觸開口 244: source contact opening

246:矽化物層 246: silicide layer

248:互連層 248: Interconnect layer

250:源極接觸結構 250: Source Contact Structure

252:氧化矽層 252: Silicon oxide layer

254:蝕刻遮罩 254: Etch Mask

300:方法 300: Method

302:操作步驟 302: Operation steps

304:操作步驟 304: Operation steps

306:操作步驟 306: Operation steps

308:操作步驟 308: Operation steps

310:操作步驟 310: Operation steps

312:操作步驟 312: Operation steps

314:操作步驟 314: Operation steps

316:操作步驟 316: Operation steps

318:操作步驟 318: Operation steps

被併入本文並形成說明書的一部分的附圖示出本發明內容的實施方式,並連同描述一起進一步用來解釋本發明內容的原理並使在相關領域中的技術人員能夠製造和使用本發明內容。 The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate embodiments of the present disclosure and, together with the description, further serve to explain the principles of the present disclosure and enable those skilled in the relevant art to make and use the present disclosure .

當結合附圖閱讀時,根據以下具體實施方式可以最好地理解本發明的各方面。注意,根據行業中的標準實踐,各種特徵未按比例繪製。實際上,為了討論的清楚,可以增加或減小各種特徵的尺寸。 Aspects of the invention are best understood from the following detailed description when read in conjunction with the accompanying drawings. Note that in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of various features may be increased or decreased for clarity of discussion.

圖1A示出根據本發明內容的各種實施方式的在3D記憶體元件的示例性元件區域中的橫截面的側視圖。 1A shows a side view of a cross-section in an exemplary element region of a 3D memory element according to various embodiments of the present disclosure.

圖1B示出根據本發明內容的各種實施方式的在3D記憶體元件的示例性週邊區域中的橫截面的側視圖。 1B shows a side view of a cross-section in an exemplary peripheral region of a 3D memory element according to various embodiments of the present disclosure.

圖1C示出根據本發明內容的各種實施方式的示例性3D記憶體元件的橫截面的平面圖。 1C shows a plan view of a cross-section of an exemplary 3D memory element according to various embodiments of this disclosure.

圖1D示出根據本發明內容的各種實施方式的在3D記憶體元件的另一示例性週邊區域中的橫截面的側視圖。 1D illustrates a side view of a cross-section in another exemplary peripheral region of a 3D memory element in accordance with various embodiments of the present disclosure.

圖1E示出根據本發明內容的各種實施方式的在3D記憶體元件的另一示例性元件區域中的橫截面的側視圖。 1E shows a side view of a cross-section in another exemplary element region of a 3D memory element according to various embodiments of the present disclosure.

圖2A-2P示出根據本發明內容的一些實施方式的用於形成示例性3D記憶體元件的製造製程。 2A-2P illustrate a manufacturing process for forming an exemplary 3D memory element in accordance with some embodiments of this disclosure.

圖3示出根據本發明內容的一些實施方式的用於形成示例性3D記憶體元件的方法的流程圖。 3 shows a flowchart of a method for forming an exemplary 3D memory element in accordance with some embodiments of this disclosure.

當結合附圖理解時,本發明內容的特徵和優點將從以下闡述的詳細描述變得更 明顯,其中相似的參考符號標識相應的元件。在附圖中,相似的參考數位通常指示相同的、在功能上相似的和/或在結構上相似的元件。元件首次出現於的附圖,由在相應的參考數字中的最左邊的數字指示。 The features and advantages of this disclosure will become more apparent from the detailed description set forth below when read in conjunction with the accompanying drawings. Obviously, like reference characters identify corresponding elements therein. In the drawings, like reference numerals generally identify identical, functionally similar, and/or structurally similar elements. The drawing in which an element first appears is indicated by the leftmost digit(s) in the corresponding reference number.

將參考附圖描述本發明內容的實施方式。 Embodiments of the present disclosure will be described with reference to the accompanying drawings.

雖然討論了特定的配置和佈置,但應理解,這僅為了說明性目的而完成。相關領域中的技術人員將認識到,可以使用其它配置和佈置而不偏離本發明內容的範圍。對相關領域中的技術人員將顯而易見的是,也可在各種其它應用中採用本發明內容。 While specific configurations and arrangements are discussed, it should be understood that this has been done for illustrative purposes only. Those skilled in the relevant art will recognize that other configurations and arrangements may be used without departing from the scope of the present disclosure. It will be apparent to those skilled in the relevant art that the present teachings may also be employed in various other applications.

注意,在本說明書中對“一個實施方式”、“實施方式”、“示例實施方式”、“一些實施方式”等的提及指示所描述的實施方式可包括特定特徵、結構或特性,但各個實施方式可能不一定包括特定特徵、結構或特性。而且,這樣的短語並不一定指同一實施方式。此外,當結合實施方式描述特定特徵、結構或特性時,它將在相關領域中的技術人員的知識內以結合其它實施方式(不管是否被明確描述)來實現這樣的特徵、結構或特性。 Note that references in this specification to "one embodiment," "an embodiment," "an example embodiment," "some embodiments," etc. indicate that the described embodiment may include the particular feature, structure, or characteristic, but each Implementations may not necessarily include a particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Furthermore, when a particular feature, structure or characteristic is described in conjunction with an embodiment, it will be within the knowledge of one skilled in the relevant art to implement such feature, structure or characteristic in conjunction with other embodiments, whether explicitly described or not.

通常,可以至少部分地從在上下文中的用法來理解術語。例如,至少部分地根據上下文,如在本文使用的術語“一個或多個”可以用於在單數意義上描述任何特徵、結構或特性或可以用於在複數意義上描述特徵、結構或特性的組合。類似地,至少部分地根據上下文,術語例如“一(a)”、“一個(an)”和“所述(the)”再次可以被理解為傳達單數用法或傳達複數用法。此外,再 次至少部分地根據上下文,術語“基於”可被理解為不一定意欲傳達排他的一組因素,且可替代地允許不一定明確地描述的額外因素的存在。 Generally, terms can be understood, at least in part, from their usage in the context. For example, the term "one or more" as used herein may be used to describe any feature, structure or characteristic in the singular or may be used to describe a combination of features, structures or characteristics in the plural depending at least in part on context . Similarly, terms such as "a", "an", and "the" may again be understood to convey a singular usage or to convey a plural usage, depending at least in part on context. Furthermore, again The term "based on" may be understood, at least in part, by context, as not necessarily intended to convey an exclusive set of factors, and may instead allow for the presence of additional factors not necessarily explicitly described.

應容易理解,在本發明內容中的“在……上”、“在……上面”和“在……之上”的含義應以最廣泛的方式被解釋,使得“在……上”不僅意指“直接在某物上”,而且還包括“在某物上”而在其之間有中間特徵或層的含義,以及“在……上面”或“在……之上”不僅意指“在某物上面”或“在某物之上”的含義,但還可以包括其“在某物上面”或“在某物之上”而在其之間沒有中間特徵或層(即,直接在某物上)的含義。 It should be readily understood that the meanings of "on", "on" and "on" in this summary should be construed in the broadest possible manner, such that "on" not only Means "directly on something", but also includes the meaning of "on something" with intervening features or layers, and "on" or "over" means not only The meaning of "over something" or "over something", but can also include it "over something" or "over something" without intervening features or layers (i.e., directly on something).

此外,空間相對術語例如“在……下面”、“在……之下”、“下部”、“在……之上”、“上部”等可以在本文為了便於描述而用於描述一個元件或特徵與如在附圖中所示的另外的元件或特徵的關係。除了在附圖中描繪的定向以外,空間相對術語意欲還包括在使用或處理步驟中的元件的不同定向。裝置可以以另外方式被定向(旋轉90度或在其它定向處),且在本文使用的空間相對描述符可以相應地同樣被解釋。 In addition, spatially relative terms such as "below", "beneath", "lower", "above", "upper", etc., may be used herein for ease of description to describe an element or The relationship of a feature to other elements or features as shown in the drawings. In addition to the orientations depicted in the figures, spatially relative terms are intended to include different orientations of elements during use or processing steps. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.

如在本文使用的,術語“基底”指隨後的材料層被添加到其上的材料。基底本身可以被圖案化。在基底的頂部上添加的材料可以被圖案化或可以保持未被圖案化。此外,基底可以包括大量半導體材料(例如,矽、鍺、砷化鎵、磷化銦等)。可選地,基底可以由非導電材料(例如,玻璃、塑膠或藍寶石晶圓)製成。 As used herein, the term "substrate" refers to a material to which subsequent layers of material are added. The substrate itself can be patterned. The material added on top of the substrate may be patterned or may remain unpatterned. Additionally, the substrate may include a number of semiconductor materials (eg, silicon, germanium, gallium arsenide, indium phosphide, etc.). Alternatively, the substrate may be made of a non-conductive material (eg glass, plastic or sapphire wafer).

如在本文使用的,術語“層”指包括具有一定厚度的區域的材料部 分。層可以在整個底層或上覆結構之上延伸,或可以具有比底層或上覆結構的寬度小的寬度。此外,層可以是具有比連續結構的厚度小的厚度的同質或不同質連續結構的區域。例如,層可以位於在連續結構的頂表面和底表面之間或在其處的任一對水平面之間。層可以水平地、垂直地和/或沿著錐形表面延伸。基底可以是層,可以包括在其中的一個或多個層,和/或可以具有在其上、在其之上和/或在其之下的一個或多個層。層可以包括多個層。例如,互連層可以包括一個或多個導體和接觸層(其中,形成互連線和/或垂直互連通孔(VIA)接觸)和一個或多個介電層。 As used herein, the term "layer" refers to a portion of material comprising a region of thickness point. A layer may extend over the entire underlying or overlying structure, or may have a width that is less than the width of the underlying or overlying structure. Furthermore, a layer may be a region of a homogeneous or heterogeneous continuous structure having a thickness less than the thickness of the continuous structure. For example, a layer may be located between the top and bottom surfaces of the continuous structure or between any pair of horizontal planes thereon. The layers may extend horizontally, vertically and/or along a tapered surface. A substrate can be a layer, can include one or more layers therein, and/or can have one or more layers thereon, over it, and/or under it. Layers may include multiple layers. For example, the interconnect layer may include one or more conductor and contact layers (in which interconnect lines and/or vertical interconnect via (VIA) contacts are formed) and one or more dielectric layers.

如在本文使用的,術語“名義上/名義上地”指在產品或過程的設計階段期間設置的部件或過程步驟的特性或參數的期望值或目標值,連同高於和/或低於期望值的值的範圍。值的範圍可能是由於在製造製程或容限中的輕微變化。如在本文使用的,術語“大約”指示可以基於與主題半導體元件相關聯的特定技術節點而變化的給定量的值。基於特定技術節點,術語“大約”可以指示在例如值的10-30%(例如,值的±10%、±20%或±30%)內變化的給定量的值。 As used herein, the term "nominal/nominal" refers to a desired or target value of a characteristic or parameter of a component or process step set during the design phase of a product or process, as well as higher and/or lower than expected values range of values. The range of values may be due to slight variations in manufacturing process or tolerances. As used herein, the term "about" indicates a given amount of value that may vary based on the particular technology node associated with the subject semiconductor element. Based on the particular technology node, the term "about" may indicate a given amount of value that varies, eg, within 10-30% of the value (eg, ±10%, ±20%, or ±30% of the value).

如在本文使用的,術語“3D記憶體元件”指具有在橫向定向的基底上的記憶體單元電晶體的垂直定向的串(在本文被稱為“記憶體串”,例如NAND記憶體串)的半導體元件,使得記憶體串在相對於基底的垂直方向上延伸。如在本文使用的,術語“垂直的/垂直地”意指在名義上垂直於基底的橫向表面。 As used herein, the term "3D memory element" refers to vertically oriented strings (referred to herein as "memory strings" such as NAND memory strings) having memory cell transistors on a laterally oriented substrate of semiconductor elements, so that the memory strings extend in a vertical direction relative to the substrate. As used herein, the term "vertical/perpendicular" means nominally perpendicular to the lateral surface of the substrate.

在一些3D NAND記憶體元件中,半導體插塞選擇性地生長以圍繞通道結構的側壁(例如,被稱為側壁選擇性磊晶生長(SEG))。與在通道結構的下 端處形成的另一類型的半導體插塞(例如,底部SEG)比較,側壁SEG的形成避免了對在通道孔的底表面處的記憶體膜和半導體通道的蝕刻(也被稱為“SONO”沖孔),進而增加製程視窗(window),特別是當利用先進技術製造3D NAND記憶體元件時(例如。具有多疊片架構有96或更多層級)。此外,側壁SEG結構可與背面製程組合,以從基底的背面形成源極接觸,以避免在正面源極接觸和字元線之間的漏電流和寄生電容,並增加有效元件區域。 In some 3D NAND memory devices, semiconductor plugs are selectively grown to surround the sidewalls of the channel structure (eg, referred to as sidewall selective epitaxial growth (SEG)). with under the channel structure Compared to another type of semiconductor plug formed at the end (eg, bottom SEG), the formation of sidewall SEG avoids etching of the memory film and semiconductor channel at the bottom surface of the via hole (also known as "SONO" punching), thereby increasing the process window, especially when using advanced technologies to manufacture 3D NAND memory devices (eg, 96 or more levels with a multi-die architecture). In addition, sidewall SEG structures can be combined with backside processing to form source contacts from the backside of the substrate to avoid leakage current and parasitic capacitances between frontside source contacts and wordlines and to increase active device area.

在形成側壁SEG結構時,需要首先形成犧牲層,以便打開記憶體膜並曝露在通道結構的側壁上的半導體通道,其稍後由包括側壁SEG結構的層(例如,多晶矽層)替換。犧牲層通常由多晶矽製成。然而,多晶矽犧牲層的使用需要在開口(例如,閘極線狹縫(GLS))的側壁上的複雜間隔體結構用於替換多晶矽犧牲層,以及對開口的蝕刻在多晶矽犧牲層內停止。這些挑戰限制了產量,並增加具有側壁SEG結構的3D NAND記憶體元件的成本。 In forming the sidewall SEG structure, a sacrificial layer needs to be formed first to open the memory film and expose the semiconductor channel on the sidewall of the channel structure, which is later replaced by a layer (eg, a polysilicon layer) that includes the sidewall SEG structure. The sacrificial layer is usually made of polysilicon. However, the use of a polysilicon sacrificial layer requires complex spacer structures on the sidewalls of openings (eg, gate line slits (GLS)) to replace the polysilicon sacrificial layer, and the etching of the openings stops within the polysilicon sacrificial layer. These challenges limit yield and increase the cost of 3D NAND memory devices with sidewall SEG structures.

根據本發明內容的各種實施方式提供了改進的3D記憶體元件及其製造方法。透過將用於形成側壁SEG結構的犧牲層的材料從多晶矽改變為介電層(例如,氮化矽或氧化矽),可簡化在開口(例如,GLS)的側壁上的間隔體的材料和結構,進而降低成本。此外,與多晶矽犧牲層比較,介電犧牲層允許對開口(例如,GLS)的更大蝕刻視窗,因為蝕刻現在可在介電犧牲層內停止或進一步延伸穿過介電犧牲層。因此,製程可被簡化,且產量可增加。 Various embodiments in accordance with the present disclosure provide improved 3D memory devices and methods of fabricating the same. By changing the material of the sacrificial layer used to form the sidewall SEG structure from polysilicon to a dielectric layer (eg, silicon nitride or silicon oxide), the material and structure of the spacer on the sidewall of the opening (eg, GLS) can be simplified , thereby reducing costs. Furthermore, the dielectric sacrificial layer allows a larger etch window for openings (eg, GLS) compared to the polysilicon sacrificial layer because the etch can now stop within the dielectric sacrificial layer or extend further through the dielectric sacrificial layer. Therefore, the process can be simplified, and the yield can be increased.

圖1A示出根據本發明內容的各種實施方式的在3D記憶體元件100的示例性元件區域中的橫截面的側視圖。圖1B示出根據本發明內容的各種實施方式的在3D記憶體元件100的示例性週邊區域中的橫截面的側視圖。圖1C示出根據 本發明內容的各種實施方式的示例性3D記憶體元件的橫截面的平面圖。在本發明的其中一些實施方式中,在圖1A和1B中的3D記憶體元件100包括基底(未示出),其可包括矽(例如,單晶矽)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、絕緣體上矽(SOI)、絕緣體上鍺(GOI)或任何其它適當的材料。在本發明的其中一些實施方式中,基底是透過研磨、蝕刻、化學機械拋光(CMP)或其任何組合而變薄的經減薄的基底(例如,半導體層)。 1A shows a side view of a cross-section in an exemplary element region of a 3D memory element 100 in accordance with various embodiments of the present disclosure. 1B shows a side view of a cross-section in an exemplary peripheral region of 3D memory element 100 in accordance with various embodiments of the present disclosure. Figure 1C shows according to A plan view of a cross-section of an exemplary 3D memory element of various embodiments of this disclosure. In some of these embodiments of the present invention, the 3D memory device 100 in FIGS. 1A and 1B includes a substrate (not shown), which may include silicon (eg, monocrystalline silicon), silicon germanium (SiGe), arsenide Gallium (GaAs), germanium (Ge), silicon-on-insulator (SOI), germanium-on-insulator (GOI), or any other suitable material. In some of these embodiments of the invention, the substrate is a thinned substrate (eg, a semiconductor layer) that is thinned by grinding, etching, chemical mechanical polishing (CMP), or any combination thereof.

注意,在圖1A-1C中包括x-、y-和z-軸以示出在3D記憶體元件100中的部件的空間關係。基底包括在x-y平面中橫向地延伸的兩個橫向表面:在晶圓的正面上的前表面和在與晶圓的正面相對的背面上的後表面。x-和y-方向是在晶圓平面中的兩個正交方向:x-方向是字元線方向,以及y-方向是位元線方向。z-軸垂直於x-和y-軸兩者。如在本文使用的,當基底在z-方向上位於半導體元件的最低平面中時,在z-方向(垂直於x-y平面的垂直方向)上相對於半導體元件的基底來確定一個部件(例如,層或元件)是否在半導體元件(例如,3D記憶體元件100)的另一部件(例如,層或元件)“上”、“之上”或“之下”。遍及本發明內容應用用於描述空間關係的相同概念。 Note that the x- , y- , and z -axes are included in FIGS. 1A-1C to illustrate the spatial relationship of the components in the 3D memory element 100 . The substrate includes two lateral surfaces extending laterally in the x - y plane: a front surface on the front side of the wafer and a rear surface on the back side opposite the front side of the wafer. The x- and y -directions are two orthogonal directions in the wafer plane: the x -direction is the word line direction, and the y -direction is the bit line direction. The z -axis is perpendicular to both the x- and y -axis. As used herein, a feature is defined in the z -direction (the vertical direction perpendicular to the x - y plane) relative to the substrate of the semiconductor element when the substrate is located in the lowermost plane of the semiconductor element in the z -direction (e.g. , layer or element) is "on", "over" or "under" another component (eg, layer or element) of a semiconductor element (eg, 3D memory element 100). The same concepts used to describe spatial relationships apply throughout this summary.

在本發明的其中一些實施方式中,3D記憶體元件100是非單片3D記憶體元件的一部分,其中,部件在不同的基底上單獨地形成並接著以面對面方式、面對背方式或背對背方式被鍵合。用於便於3D記憶體元件100的操作步驟的週邊元件(未示出)(例如,任何適當的數位、類比和/或混合信號週邊電路)可在不同於記憶體陣列基底的單獨週邊元件基底上形成,圖1A和1B所示的部件在記憶體陣列基底上形成。應理解,可以如下文詳細描述的從3D記憶體元件100移除記憶體陣列基底,且週邊元件基底可成為3D記憶體元件100的基底。進一步 理解,根據週邊元件基底和記憶體陣列元件基底如何被鍵合的方式,記憶體陣列元件(例如,在圖1A和1B中所示的)可以在原始位置上或可在3D記憶體元件100中顛倒地翻轉。為了便於參考,圖1A和1B描繪3D記憶體元件100的狀態,記憶體陣列元件在該狀態中在原始位置上(即,不顛倒地翻轉)。然而,應理解,在一些示例中,圖1A和1B所示的記憶體陣列元件可在3D記憶體元件100中顛倒地翻轉,且它們的相對位置可相應地改變。遍及本發明內容應用用於描述空間關係的相同概念。 In some of these embodiments of the present invention, the 3D memory device 100 is part of a non-monolithic 3D memory device in which the components are formed separately on different substrates and then are mounted face-to-face, face-to-back, or back-to-back Bond. Peripheral components (not shown) (eg, any suitable digital, analog, and/or mixed-signal peripheral circuitry) used to facilitate the operational steps of 3D memory device 100 may be on a separate peripheral component substrate than the memory array substrate Forming, the components shown in FIGS. 1A and 1B are formed on a memory array substrate. It should be understood that the memory array substrate may be removed from the 3D memory device 100 as described in detail below, and the peripheral device substrate may become the substrate of the 3D memory device 100 . further It is understood that the memory array element (eg, as shown in FIGS. 1A and 1B ) may be in the original position or may be in the 3D memory element 100 depending on how the peripheral element substrate and the memory array element substrate are bonded Flip upside down. For ease of reference, FIGS. 1A and 1B depict a state of 3D memory element 100 in which the memory array element is in its original position (ie, flipped upside down). It should be understood, however, that in some examples, the memory array elements shown in FIGS. 1A and 1B may be flipped upside down in 3D memory element 100 and their relative positions may be changed accordingly. The same concepts used to describe spatial relationships apply throughout this summary.

如1C所示,在平面圖中,3D記憶體元件100可包括元件區域101,其中,形成記憶體堆疊層(及其階梯結構)和通道結構。元件區域101在y-方向(例如,位元線方向)上由平行狹縫結構122分成多個區域136(例如,塊),各個平行狹縫結構122在x-方向(例如,字元線方向)上橫向地延伸。3D記憶體元件100還可包括在元件區域101之外的一個或多個週邊區域103,記憶體堆疊層106(例如,在圖1A中)在元件區域101中形成。根據一些實施方式,週邊區域103在3D記憶體元件100的邊緣處。在本發明的其中一些實施方式中,在週邊區域103中形成用於襯墊引出的接觸襯墊134。 As shown in 1C, in plan view, the 3D memory device 100 may include a device region 101 in which a memory stack layer (and its stepped structure) and a channel structure are formed. The element region 101 is divided in the y -direction (eg, the bit line direction) into a plurality of regions 136 (eg, blocks) by parallel slit structures 122, each parallel slit structure 122 is in the x -direction (eg, the word line direction) ) extends laterally. The 3D memory device 100 may also include one or more peripheral regions 103 outside the device region 101 in which the memory stack layer 106 (eg, in FIG. 1A ) is formed. According to some embodiments, the peripheral region 103 is at the edge of the 3D memory element 100 . In some of these embodiments of the invention, contact pads 134 for pad extraction are formed in the peripheral region 103 .

如圖1A所示,3D記憶體元件100可包括在元件區域101中的介電層102。介電層102可包括一個或多個層間介電(ILD)層(也被稱為“金屬間介電(IMD)層”),其中,可形成互連線和垂直互連通孔(VIA)接觸。介電層102例如為層間介電層(ILD層),可包括介電材料,包括但不限於氧化矽、氮化矽、氮氧化矽、低介電常數(低k)介電或其任何組合。在本發明的其中一些實施方式中,介電層102包括氧化矽。如圖1B所示,介電層102可橫向地延伸到週邊區域103內。換句話說,介電層102可以是在3D記憶體元件100的元件區域101和週 邊區域103中的連續層(例如,連續氧化矽層)。 As shown in FIG. 1A , the 3D memory device 100 may include a dielectric layer 102 in the device region 101 . Dielectric layer 102 may include one or more interlayer dielectric (ILD) layers (also referred to as "intermetal dielectric (IMD) layers") in which interconnect lines and vertical interconnect vias (VIAs) may be formed touch. The dielectric layer 102 is, for example, an interlayer dielectric layer (ILD layer), which may include dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k (low-k) dielectrics, or any combination thereof . In some of the embodiments of the present invention, the dielectric layer 102 includes silicon oxide. As shown in FIG. 1B , the dielectric layer 102 may extend laterally into the perimeter region 103 . In other words, the dielectric layer 102 may be formed on the element region 101 and the periphery of the 3D memory element 100 A continuous layer (eg, a continuous silicon oxide layer) in the edge region 103 .

如圖1A所示,3D記憶體元件100還可包括在元件區域101中的介電層102之上的多晶矽層104。根據一些實施方式,多晶矽層104包括N型摻雜的多晶矽層。也就是說,多晶矽層104可被摻雜有任何適當的N型摻雜物(例如,磷(P)、砷(Ar)或銻(Sb)),其貢獻自由電子並增加本征半導體(純半導體)的導電性。如下面詳細描述的,由於擴散過程,多晶矽層104可具有在垂直方向上的均勻摻雜濃度剖面。在本發明的其中一些實施方式中,多晶矽層104的摻雜濃度在大約1019cm-3和大約1022cm-3之間、例如在1019cm-3和1022cm-3之間(例如1019cm-3、2×1019cm-3、3×1019cm-3、4×1019cm-3、5×1019cm-3、6×1019cm-3、7×1019cm-3、8×1019cm-3、9×1019cm-3、1020cm-3、2×1020cm-3、3×1020cm-3、4×1020cm-3、5×1020cm-3、6×1020cm-3、7×1020cm-3、8×1020cm-3、9×1020cm-3、1021cm-3、2×1021cm-3、3×1021cm-3、4×1021cm-3、5×1021cm-3、6×1021cm-3、7×1021cm-3、8×1021cm-3、9×1021cm-3、1022cm-3、由這些值中的任一個由下端劃界的任何範圍或在由這些值中的任兩個限定的任何範圍中)。雖然圖1A示出多晶矽層104在介電層102之上,如上所述,應理解,介電層102在一些示例中可以在多晶矽層104之上,因為圖1A所示的記憶體陣列元件可顛倒地翻轉,且它們的相對位置可在3D記憶體元件100中相應地改變。在本發明的其中一些實施方式中,圖1A所示的記憶體陣列元件顛倒地翻轉(在頂部中)並鍵合到3D記憶體元件100中的週邊元件(在底部中),使得介電層102在多晶矽層104之上。 As shown in FIG. 1A , the 3D memory device 100 may further include a polysilicon layer 104 over the dielectric layer 102 in the device region 101 . According to some embodiments, the polysilicon layer 104 includes an N-type doped polysilicon layer. That is, the polysilicon layer 104 may be doped with any suitable N-type dopant (eg, phosphorous (P), arsenic (Ar), or antimony (Sb)) that contributes free electrons and increases the intrinsic semiconductor (pure conductivity of semiconductors). As described in detail below, due to the diffusion process, the polysilicon layer 104 may have a uniform doping concentration profile in the vertical direction. In some of these embodiments of the present invention, the doping concentration of the polysilicon layer 104 is between about 10 19 cm -3 and about 10 22 cm -3 , such as between 10 19 cm -3 and 10 22 cm -3 ( For example 10 19 cm -3 , 2×10 19 cm -3 , 3×10 19 cm -3 , 4×10 19 cm -3 , 5×10 19 cm -3 , 6×10 19 cm -3 , 7×10 19 cm -3 , 8×10 19 cm -3 , 9×10 19 cm -3 , 10 20 cm -3 , 2×10 20 cm -3 , 3×10 20 cm -3 , 4×10 20 cm -3 , 5×10 20 cm -3 , 6×10 20 cm -3 , 7×10 20 cm -3 , 8×10 20 cm -3 , 9×10 20 cm -3 , 10 21 cm -3 , 2×10 21 cm -3 , 3×10 21 cm -3 , 4×10 21 cm -3 , 5×10 21 cm -3 , 6×10 21 cm -3 , 7×10 21 cm -3 , 8×10 21 cm -3 -3 , 9×10 21 cm -3 , 10 22 cm -3 , any range bounded by either of these values by the lower end or in any range bounded by any two of these values). Although FIG. 1A shows polysilicon layer 104 over dielectric layer 102, as discussed above, it should be understood that dielectric layer 102 may be over polysilicon layer 104 in some examples, as the memory array element shown in FIG. 1A may be are flipped upside down, and their relative positions can be changed accordingly in the 3D memory element 100 . In some of these embodiments of the invention, the memory array element shown in FIG. 1A is flipped upside down (in the top) and bonded to the peripheral elements (in the bottom) in the 3D memory element 100 such that the dielectric layer 102 is over polysilicon layer 104 .

如圖1A所示,3D記憶體元件100還可包括在介電層102之下的互連層130。根據一些實施方式,互連層130相對於介電層102(即,背面)在多晶矽層104的相對側處,且因此被稱為“背面互連層”。互連層130可包括多個互連(在 本文也被稱為“接觸”),包括橫向互連線和VIA接觸。如在本文使用的,術語“互連”可廣泛地包括任何適當類型的互連(例如,後段制程((BEOL)互連)。在互連層中的互連線和VIA接觸可包括導電材料,包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、矽化物或其任何組合。如圖1B所示,互連層130可橫向地延伸到週邊區域103內。換句話說,互連層130可以是在3D記憶體元件100的元件區域101和週邊區域103中的連續層(例如,連續Al層)。在本發明的其中一些實施方式中,互連層130包括在週邊區域103中的用於襯墊引出的一個或多個接觸襯墊134,如圖1B和1C所示。 As shown in FIG. 1A , the 3D memory device 100 may further include an interconnect layer 130 under the dielectric layer 102 . According to some embodiments, the interconnect layer 130 is at the opposite side of the polysilicon layer 104 relative to the dielectric layer 102 (ie, the backside), and is thus referred to as a "backside interconnect layer." The interconnect layer 130 may include a plurality of interconnects (in Also referred to herein as "contacts"), including lateral interconnect lines and VIA contacts. As used herein, the term "interconnect" can broadly include any suitable type of interconnect (eg, back end of line ((BEOL) interconnect). Interconnect lines and VIA contacts in interconnect layers can include conductive materials , including but not limited to tungsten (W), cobalt (Co), copper (Cu), aluminum (Al), silicide, or any combination thereof. As shown in FIG. 1B , the interconnect layer 130 may extend laterally to the peripheral region 103 In other words, the interconnect layer 130 may be a continuous layer (eg, a continuous Al layer) in the element region 101 and the peripheral region 103 of the 3D memory device 100. In some of the embodiments of the present invention, the interconnect Layer 130 includes one or more contact pads 134 for pad extraction in peripheral region 103, as shown in Figures IB and 1C.

在本發明的其中一些實施方式中,3D記憶體元件100還包括相對於介電層102(即,背面)從多晶矽層104的相對側垂直延伸穿過介電層102,以與多晶矽層104接觸的源極接觸結構128。應理解,在不同的示例中,源極接觸結構128延伸到多晶矽層104內的深度可改變。源極接觸結構可穿過多晶矽層104從記憶體陣列基底(被移除)的背面將3D記憶體元件100的NAND記憶體串的源極電氣地連接到週邊元件,且因此也可在本文被稱為“背面源極拾取(pick up)”。源極接觸結構128可包括任何適當類型的接觸。在本發明的其中一些實施方式中,源極接觸結構128包括VIA接觸。在本發明的其中一些實施方式中,源極接觸結構128包括橫向地延伸的壁狀接觸。 In some of these embodiments of the invention, the 3D memory device 100 further includes vertically extending through the dielectric layer 102 from the opposite side of the polysilicon layer 104 relative to the dielectric layer 102 (ie, the backside) to contact the polysilicon layer 104 source contact structure 128. It should be understood that the depth to which the source contact structures 128 extend into the polysilicon layer 104 may vary in different examples. The source contact structure can electrically connect the sources of the NAND memory strings of the 3D memory element 100 to surrounding elements from the backside of the memory array substrate (removed) through the polysilicon layer 104, and thus can also be referred to herein as Referred to as "backside source pick up". The source contact structure 128 may comprise any suitable type of contact. In some of these embodiments of the invention, the source contact structure 128 includes a VIA contact. In some of these embodiments of the invention, the source contact structures 128 include laterally extending wall contacts.

在本發明的其中一些實施方式中,源極接觸結構128包括與多晶矽層107接觸的矽化物層132,這可減小在多晶矽和金屬之間的接觸電阻。矽化物層132可包括任何適當的金屬矽化物(例如,矽化鎳(NiSi))。如圖1A所示,源極接觸結構128也可包括在矽化物層132之下、並與矽化物層132接觸的互連層130(例如,Al層)的一部分。換句話說,根據一些實施方式,互連層130連接到源 極接觸結構128。如下文關於製造過程更詳細描述的,源極接觸結構128可包括源極接觸開口,矽化物層132和互連層130沉積在源極接觸開口中。因此,源極接觸結構128和互連層130可包括相同的金屬材料(例如,Al)。 In some of the embodiments of the present invention, the source contact structure 128 includes a silicide layer 132 in contact with the polysilicon layer 107, which can reduce the contact resistance between the polysilicon and the metal. The silicide layer 132 may include any suitable metal silicide (eg, nickel silicide (NiSi)). As shown in FIG. 1A , the source contact structure 128 may also include a portion of the interconnect layer 130 (eg, an Al layer) under and in contact with the silicide layer 132 . In other words, according to some embodiments, the interconnect layer 130 is connected to the source Pole contact structure 128 . As described in more detail below with respect to the fabrication process, source contact structure 128 may include source contact openings in which silicide layer 132 and interconnect layer 130 are deposited. Therefore, the source contact structure 128 and the interconnect layer 130 may include the same metal material (eg, Al).

在本發明的其中一些實施方式中,3D記憶體元件100是NAND快閃記憶體元件,其中,以NAND記憶體串的陣列的形式提供記憶體單元。各個NAND記憶體串可包括穿過多個對延伸的通道結構112,每對包括堆疊層導電層108和堆疊層介電層110(在本文被稱為“導電/介電層對”)。堆疊層的導電/介電層對也在本文被稱為記憶體堆疊層106。在記憶體堆疊層106中的導電/介電層對的數量(例如,32、64、96、128、160、192、224、256等)確定在3D記憶體元件100中的記憶體單元的數量。雖然未在圖1A中示出,應理解,在本發明的其中一些實施方式中,記憶體堆疊層106可具有多疊片架構,例如包括下記憶體疊片和在下記憶體疊片上的上記憶體疊片的雙疊片架構。在各個記憶體疊片中的堆疊層導電層108和堆疊層介電層110的對的數量可以是相同或不同的。 In some of the embodiments of the invention, the 3D memory element 100 is a NAND flash memory element, wherein the memory cells are provided in the form of an array of NAND memory strings. Each NAND memory string may include channel structures 112 extending through a plurality of pairs, each pair including a stacked conductive layer 108 and a stacked dielectric layer 110 (referred to herein as a "conductive/dielectric layer pair"). The conductive/dielectric layer pair of the stack is also referred to herein as the memory stack 106 . The number of conductive/dielectric layer pairs (eg, 32, 64, 96, 128, 160, 192, 224, 256, etc.) in the memory stack layer 106 determines the number of memory cells in the 3D memory element 100 . Although not shown in FIG. 1A , it should be understood that in some of these embodiments of the present invention, the memory stack layer 106 may have a multi-stack architecture, eg, including a lower memory stack and an upper memory on the lower memory stack Double lamination architecture of body laminations. The number of pairs of stacked conductive layers 108 and stacked dielectric layers 110 in each memory stack may be the same or different.

記憶體堆疊層106可包括在元件區域101中的多晶矽層104之上的多個交錯的堆疊層導電層108和堆疊層介電層110。在記憶體堆疊層106中的堆疊層導電層108和堆疊層介電層110可在垂直方向上交替。換句話說,除了在記憶體堆疊層106的頂部或底部處的層以外,各個堆疊層導電層108可由在兩側上的兩個堆疊層介電層110毗鄰,以及各個堆疊層介電層110可由在兩側上的兩個堆疊層導電層108毗鄰。堆疊層導電層108可包括導電材料,包括但不限於W、Co、Cu、Al、多晶矽、摻雜矽、矽化物或其任何組合。各個堆疊層導電層108可包括由黏著層和閘極介電層124包圍的閘極電極(閘極線)。堆疊層導電層108的閘極電極可作為字元線橫向地延伸,在記憶體堆疊層106的一個或多個階梯結構(未 示出)處終止。堆疊層介電層110可包括介電材料,包括但不限於氧化矽、氮化矽、氮氧化矽或其任何組合。 The memory stack 106 may include a plurality of interleaved stacked conductive layers 108 and stacked dielectric layers 110 over the polysilicon layer 104 in the device region 101 . The stacked conductive layers 108 and the stacked dielectric layers 110 in the memory stack 106 may alternate in the vertical direction. In other words, in addition to the layers at the top or bottom of the memory stack 106, each stack conductive layer 108 may be adjoined by two stack dielectric layers 110 on both sides, and each stack dielectric layer 110 The conductive layers 108 may be adjoined by two stacked layers on both sides. The stacked layer conductive layer 108 may include conductive materials including, but not limited to, W, Co, Cu, Al, polysilicon, doped silicon, silicide, or any combination thereof. Each stacked layer conductive layer 108 may include a gate electrode (gate line) surrounded by an adhesive layer and a gate dielectric layer 124 . The gate electrodes of the stacked conductive layer 108 may extend laterally as word lines, in one or more stepped structures (not shown) of the memory stack 106 . shown) to terminate. The stacked layer dielectric layer 110 may include a dielectric material including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof.

如圖1A所示,各個通道結構112垂直延伸穿過記憶體堆疊層106並進入到元件區域102中的多晶矽層104內。也就是說,通道結構112可包括兩個部分:由多晶矽層104(即,在多晶矽層104和記憶體堆疊層106之間的介面之下)包圍的下部分,以及由記憶體堆疊層106(即,在多晶矽層104和記憶體堆疊層106之間的介面之上)包圍的上部分。如在本文所述的,當基底位於3D記憶體元件100的最低平面中時,部件(例如,通道結構112)的“上部分/端”是在y-方向上更遠離基底的一部分/端,以及部件(例如,通道結構112)的“下部分/端”是在y-方向上更接近基底的一部分/端。 As shown in FIG. 1A , each channel structure 112 extends vertically through the memory stack layer 106 and into the polysilicon layer 104 in the device region 102 . That is, the channel structure 112 may include two portions: a lower portion surrounded by the polysilicon layer 104 (ie, below the interface between the polysilicon layer 104 and the memory stack layer 106 ), and a lower portion surrounded by the memory stack layer 106 ( That is, above the interface between the polysilicon layer 104 and the memory stack layer 106 ) surrounded by the upper portion. As described herein, when the substrate is in the lowest plane of the 3D memory element 100, the "upper portion/end" of the feature (eg, channel structure 112) is the portion/end that is further away from the substrate in the y -direction, And the "lower portion/end" of the component (eg, channel structure 112) is the portion/end that is closer to the substrate in the y -direction.

通道結構112可包括填充有半導體材料(例如,作為半導體通道116)和介電材料(例如,作為記憶體膜114)的通道孔。在本發明的其中一些實施方式中,半導體通道116包括矽(例如,非晶形矽、多晶矽或單晶矽)。在一個示例中,半導體通道116包括多晶矽。在本發明的其中一些實施方式中,記憶體膜114是包括穿隧層、儲存層(也被稱為“電荷捕獲層”)和阻擋層的複合層。通道孔的剩餘空間可部分地或完全填充有包括介電材料(例如,氧化矽和/或空氣間隙)的上覆層118。通道結構112可具有圓柱體形狀(例如,立柱形狀)。根據一些實施方式,上覆層118、半導體通道116、記憶體膜114的穿隧層、儲存層和阻擋層以這個順序沿著從立柱的中心朝著外表面的方向佈置。穿隧層可包括氧化矽、氮氧化矽或其任何組合。儲存層可包括氮化矽、氮氧化矽或其任何組合。阻擋層可包括氧化矽、氮氧化矽、高k介電或其任何組合。在一個示例中,記憶體膜114可包括氧化矽/氮氧化矽/氧化矽(ONO)的複合層。在本發明的其中一 些實施方式中,通道結構112還包括在通道結構112的上部分的頂部處的通道插塞120。通道插塞120可包括半導體材料(例如,多晶矽)。在本發明的其中一些實施方式中,通道插塞120當作NAND記憶體串的汲極的作用。 Channel structure 112 may include via holes filled with semiconductor material (eg, as semiconductor channel 116 ) and dielectric material (eg, as memory film 114 ). In some of these embodiments of the invention, the semiconductor channel 116 includes silicon (eg, amorphous silicon, polysilicon, or monocrystalline silicon). In one example, the semiconductor channel 116 includes polysilicon. In some of these embodiments of the invention, the memory film 114 is a composite layer including a tunneling layer, a storage layer (also referred to as a "charge trapping layer"), and a blocking layer. The remaining space of the via hole may be partially or fully filled with an overlying layer 118 including a dielectric material (eg, silicon oxide and/or air gaps). The channel structure 112 may have a cylindrical shape (eg, a column shape). According to some embodiments, the overlying layer 118 , the semiconductor channel 116 , the tunneling layer of the memory film 114 , the storage layer, and the barrier layer are arranged in this order along the direction from the center of the pillar toward the outer surface. The tunneling layer may include silicon oxide, silicon oxynitride, or any combination thereof. The storage layer may include silicon nitride, silicon oxynitride, or any combination thereof. The barrier layer may include silicon oxide, silicon oxynitride, high-k dielectrics, or any combination thereof. In one example, the memory film 114 may include a composite layer of silicon oxide/silicon oxynitride/silicon oxide (ONO). in one of the inventions In some embodiments, the channel structure 112 also includes a channel plug 120 at the top of the upper portion of the channel structure 112 . The channel plug 120 may include a semiconductor material (eg, polysilicon). In some of the embodiments of the present invention, the channel plug 120 functions as the drain of the NAND memory string.

如圖1A所示,根據一些實施方式,沿著通道結構112的側壁(例如,在通道結構112的下部分中)的半導體通道116的一部分與多晶矽層104的子層109接觸。也就是說,根據一些實施方式,記憶體膜114在鄰接多晶矽層104的子層109的通道結構112的下部分中分離,曝露半導體通道116以與多晶矽層104的周圍子層109接觸。因此,包圍半導體通道116並與半導體通道116接觸的多晶矽層104的子層109可以充當通道結構112的“側壁SEG”以如上所述替換“底部SEG”,其可減輕(例如,覆蓋控制、磊晶層形成和SONO沖孔)的問題。如下文詳細描述的,根據一些實施方式,分開形成多晶矽層104的子層109與多晶矽層104的其餘部分。然而,應理解,多晶矽層104的子層109可具有與多晶矽層104的其餘部分相同的多晶矽材料,且摻雜濃度可以在擴散之後在多晶矽層104中在名義上是均勻的,子層109可以不與在3D記憶體元件100中的多晶矽層104的其餘部分區分開。雖然如此,子層109指多晶矽層104在通道結構112的下部分中與半導體通道116接觸的一部分,而不是與記憶體膜114接觸的一部分。如圖1A所示,除了子層109之外,多晶矽層104的其餘部分也可包括分別在子層109之上和之下的上子層105和下子層107,雖然在子層105、107和109之間的邊界可以是不可區分的,因為子層105、107和109可以有具有名義上均勻的摻雜濃度的相同多晶矽材料。 As shown in FIG. 1A , a portion of semiconductor channel 116 along sidewalls of channel structure 112 (eg, in a lower portion of channel structure 112 ) is in contact with sublayer 109 of polysilicon layer 104 , according to some embodiments. That is, according to some embodiments, the memory film 114 is separated in the lower portion of the channel structure 112 adjoining the sublayer 109 of the polysilicon layer 104 , exposing the semiconductor channel 116 to contact the surrounding sublayer 109 of the polysilicon layer 104 . Thus, the sublayer 109 of the polysilicon layer 104 surrounding and in contact with the semiconductor channel 116 may serve as a "sidewall SEG" for the channel structure 112 to replace the "bottom SEG" as described above, which may alleviate (eg, overlay control, epitaxy) layer formation and SONO punching). As described in detail below, according to some embodiments, sublayer 109 of polysilicon layer 104 is formed separately from the remainder of polysilicon layer 104 . It should be understood, however, that sublayer 109 of polysilicon layer 104 may have the same polysilicon material as the remainder of polysilicon layer 104, and that the doping concentration may be nominally uniform in polysilicon layer 104 after diffusion, sublayer 109 may Indistinguishable from the rest of the polysilicon layer 104 in the 3D memory device 100 . Nonetheless, sublayer 109 refers to the portion of polysilicon layer 104 in the lower portion of channel structure 112 that is in contact with semiconductor channel 116 and not the portion that is in contact with memory film 114 . As shown in FIG. 1A, in addition to sublayer 109, the remainder of polysilicon layer 104 may also include upper sublayer 105 and lower sublayer 107 above and below sublayer 109, respectively, although sublayers 105, 107, and The boundaries between 109 may be indistinguishable because sublayers 105, 107 and 109 may have the same polysilicon material with nominally uniform doping concentrations.

如圖1A所示,3D記憶體元件100還可包括在元件區域101中的狹縫結構122。也如圖1C所示,各個狹縫結構122可在x-方向(例如,字元線方向)上 橫向地延伸,以將元件區域101中的記憶體堆疊層106分成多個區域136(例如,塊)。例如,記憶體堆疊層106可由狹縫結構122分成多個記憶體塊,使得通道結構112的陣列可分成各個記憶體塊。在本發明的其中一些實施方式中,狹縫結構122是絕緣結構,其不包括在其中的任何接觸(即,不起源極接觸的作用)。如圖1A所示,各個狹縫結構122包括開口(例如,狹縫),其填充有一種或多種介電材料,包括但不限於氧化矽、氮化矽、氮氧化矽或其任何組合。在一個示例中,各個狹縫結構122可填充有作為絕緣體核心126的氧化矽和與閘極介電層124連接的高k介電。 As shown in FIG. 1A , the 3D memory device 100 may further include a slit structure 122 in the device region 101 . As also shown in FIG. 1C, each slit structure 122 may extend laterally in the x -direction (eg, the word line direction) to divide the memory stack layer 106 in the device region 101 into a plurality of regions 136 (eg, piece). For example, the memory stack layer 106 may be divided into a plurality of memory blocks by the slit structure 122 such that the array of channel structures 112 may be divided into individual memory blocks. In some of these embodiments of the present invention, the slit structure 122 is an insulating structure that does not include any contacts therein (ie, does not function as a source contact). As shown in FIG. 1A, each slit structure 122 includes an opening (eg, a slit) that is filled with one or more dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof. In one example, each slit structure 122 may be filled with silicon oxide as an insulator core 126 and a high-k dielectric connected to the gate dielectric layer 124 .

狹縫結構122各自垂直延伸穿過記憶體堆疊層106的交錯的堆疊層導電層108和堆疊層介電層110並進入到多晶矽層104中。狹縫結構122可垂直延伸進入到子層109中或穿過子層109。如圖1A所示,在本發明的其中一些實施方式中,狹縫結構122可穿過上子層105和子層109延伸到下子層107內,使得狹縫結構122鄰接子層109的整個厚度。應理解,在一些示例中,狹縫結構122可穿過上子層105並進入到子層109中延伸,使得狹縫結構122鄰接子層109的整個厚度的一部分。也就是說,由於用於對狹縫結構122的狹縫開口進行蝕刻的放大製程視窗,狹縫結構122的下端可停止於子層109或下子層107的任何地方處(但不在上子層105中),如下文關於製造製程詳細描述的。 The slit structures 122 each extend vertically through the interleaved stacked conductive layers 108 and the stacked dielectric layers 110 of the memory stack 106 and into the polysilicon layer 104 . The slit structure 122 may extend vertically into or through the sublayer 109 . As shown in FIG. 1A , in some of these embodiments of the invention, slit structures 122 may extend through upper sublayer 105 and sublayer 109 into lower sublayer 107 such that slit structures 122 abut the entire thickness of sublayer 109 . It should be appreciated that in some examples, slit structures 122 may extend through upper sublayer 105 and into sublayer 109 such that slit structures 122 abut a portion of the entire thickness of sublayer 109 . That is, due to the enlarged process window used to etch the slit opening of slit structure 122, the lower end of slit structure 122 may stop anywhere at sublayer 109 or lower sublayer 107 (but not at upper sublayer 105). ), as described in detail below with respect to the manufacturing process.

在本發明的其中一些實施方式中,透過利用N型摻雜物摻雜多晶矽層104,即,消除作為電洞源的P阱,根據一些實施方式,3D記憶體元件100配置為當執行擦除操作步驟時產生閘極致汲極洩漏(GIDL)輔助體偏壓。在NAND記憶體串的源極選擇閘極周圍的GIDL可將電洞電流產生到NAND記憶體串內,以升高體電位用於擦除操作步驟。此外,透過消除作為電洞源的P阱,也可在讀操 作步驟期間簡化源極選擇閘極的控制,因為當由3D記憶體元件100執行讀操作步驟時,不再需要反轉通道。 In some of the embodiments of the present invention, by doping the polysilicon layer 104 with N-type dopants, ie, eliminating the P-well as a source of holes, according to some embodiments, the 3D memory device 100 is configured such that when an erase is performed A gate-to-drain leakage (GIDL) auxiliary body bias is generated during the operation step. The GIDL around the source select gate of the NAND memory string can generate hole current into the NAND memory string to raise the body potential for the erase operation step. In addition, by eliminating the P-well as a source of holes, it is also possible to The control of the source select gate during the operation step is simplified because the inversion channel is no longer required when the read operation step is performed by the 3D memory device 100 .

應理解,在一些示例中,狹縫結構122可包括佈置在記憶體堆疊層106的同一側處的源極接觸結構(例如,也被稱為“正面源極拾取(pick up)”)。也就是說,並非如圖1A所述的填充有介電材料的絕緣結構,狹縫結構122可被填充有導電材料,以變為源極接觸結構。例如,如圖1E所示,狹縫結構122可以是包括間隔體135和源極接觸133的源極接觸結構,間隔體135和源極接觸133各自垂直延伸穿過記憶體堆疊層106並進入到多晶矽層104中。間隔體135可包括橫向地在源極接觸133和記憶體堆疊層106之間的介電材料(例如,氧化矽),以使源極接觸133與在記憶體堆疊層106中的周圍堆疊層導電層108電性分離。另一方面,間隔體135可沿著狹縫結構122的側壁佈置,但不在狹縫結構122的底部處,使得源極接觸133可與多晶矽層104接觸,以建立與通道結構112的半導體通道116的電性連接。在本發明的其中一些實施方式中,源極接觸133包括黏著層和由黏著層包圍的導電層。黏著層可包括在多晶矽層104之上並與多晶矽層104接觸的一種或多種導電材料(例如,氮化鈦(TiN)),以建立與多晶矽層104的電性連接。在本發明的其中一些實施方式中,導電層包括在其下部分中的多晶矽和在其上部分中的用於接觸金屬互連(未示出)的金屬(例如,W)。在本發明的其中一些實施方式中,黏著層(例如,TiN)與多晶矽層104和導電層的金屬(例如,W)都接觸,以形成在多晶矽層104(例如,作為NAND記憶體串的源極)和金屬互連之間的電性連接。 It should be appreciated that in some examples, the slit structures 122 may include source contact structures (eg, also referred to as "front-side source pick up") disposed at the same side of the memory stack layer 106 . That is, instead of the insulating structure filled with a dielectric material as described in FIG. 1A , the slit structure 122 may be filled with a conductive material to become a source contact structure. For example, as shown in FIG. 1E, the slit structure 122 may be a source contact structure including spacers 135 and source contacts 133 that each extend vertically through the memory stack layer 106 and into the in the polysilicon layer 104 . Spacer 135 may include a dielectric material (eg, silicon oxide) laterally between source contact 133 and memory stack 106 to conduct source contact 133 to surrounding stacks in memory stack 106 Layer 108 is electrically separated. On the other hand, spacers 135 may be arranged along the sidewalls of the slit structures 122 but not at the bottom of the slit structures 122 so that the source contacts 133 may be in contact with the polysilicon layer 104 to establish the semiconductor channel 116 with the channel structure 112 electrical connection. In some of these embodiments of the invention, the source contact 133 includes an adhesive layer and a conductive layer surrounded by the adhesive layer. The adhesion layer may include one or more conductive materials (eg, titanium nitride (TiN)) over and in contact with the polysilicon layer 104 to establish electrical connection with the polysilicon layer 104 . In some of these embodiments of the invention, the conductive layer includes polysilicon in a lower portion thereof and metal (eg, W) in an upper portion thereof for contacting metal interconnects (not shown). In some of the embodiments of the present invention, an adhesion layer (eg, TiN) is in contact with both the polysilicon layer 104 and the metal (eg, W) of the conductive layer to be formed on the polysilicon layer 104 (eg, as a source of NAND memory strings) electrical connection between the pole) and the metal interconnection.

參考圖1A和1B,不包括子層109的多晶矽層104的一部分(即,上子層105和下子層107)也可橫向地延伸到週邊區域103內。換句話說,上子層105 和下子層107中的各個可以是在3D記憶體元件100中的元件區域101和週邊區域103中的連續層(例如,連續多晶矽層)。如圖1B所示,3D記憶體元件100可包括夾在上子層105和下子層107之間的介電犧牲層119,即,在週邊區域103中的不包括子層109的多晶矽層104的一部分。在本發明的其中一些實施方式中,介電犧牲層119與子層109共面。也就是說,根據一些實施方式,子層109和介電犧牲層119是在不同區域(例如,元件區域101和週邊區域103)中但在同一平面(例如,如圖1A-1C所示的AA’平面)中的層。如下文關於製造製程詳細描述的,子層109和介電犧牲層119源於在元件區域101和週邊區域103中都橫向地延伸的同一介電犧牲層,且在元件區域101中的介電犧牲層的一部分後續將由子層109替換,而在週邊區域103中的介電犧牲層的一部分在3D記憶體元件100的最終產物中保持完整無缺(作為介電犧牲層119)。 Referring to FIGS. 1A and 1B , a portion of polysilicon layer 104 that does not include sublayer 109 (ie, upper sublayer 105 and lower sublayer 107 ) may also extend laterally into perimeter region 103 . In other words, the upper sublayer 105 Each of the and lower sublayers 107 may be a continuous layer (eg, a continuous polysilicon layer) in the device region 101 and the peripheral region 103 in the 3D memory device 100 . As shown in FIG. 1B , the 3D memory device 100 may include a dielectric sacrificial layer 119 sandwiched between the upper sublayer 105 and the lower sublayer 107 , ie, the polysilicon layer 104 in the peripheral region 103 that does not include the sublayer 109 . part. In some of these embodiments of the invention, the dielectric sacrificial layer 119 is coplanar with the sublayer 109 . That is, according to some embodiments, sublayer 109 and dielectric sacrificial layer 119 are in different regions (eg, element region 101 and peripheral region 103 ) but in the same plane (eg, AA as shown in FIGS. 1A-1C ) 'plane) in the layer. As described in detail below with respect to the fabrication process, the sublayer 109 and the dielectric sacrificial layer 119 originate from the same dielectric sacrificial layer extending laterally in both the element region 101 and the peripheral region 103 , and the dielectric sacrificial layer in the element region 101 A portion of the layer will subsequently be replaced by sublayer 109, while a portion of the dielectric sacrificial layer in peripheral region 103 remains intact in the final product of 3D memory element 100 (as dielectric sacrificial layer 119).

在如圖1B所示的一些實施方式中,介電犧牲層119是包括第一氧化矽層127、氮化矽層129和第二氧化矽層131的複合介電層。也就是說,介電犧牲層119可包括夾在第一氧化矽層127和第二氧化矽層131之間的氮化矽層129,其可減輕在氮化矽層129中的氮化矽和在子層107和105中的多晶矽之間的應力。應理解,在一些示例中,介電犧牲層119可包括單個氮化矽層129而沒有氧化矽層127和氧化矽層131。也應理解,在一些示例中,介電犧牲層119可包括單層氧化矽層137,如圖1D所示。雖然如此,在3D記憶體元件100的週邊區域103中的介電犧牲層119可包括一種或多種介電材料(例如,氮化矽或氧化矽)。也應理解,在元件區域101中的記憶體堆疊層106可以不橫向地延伸到週邊區域103內。替代地,如圖1B所示,3D記憶體元件100可包括在週邊區域103中的上子層105之上並與上子層105接觸的層間介電層111,其可與在元件區域101中的記憶體堆疊層106共面。 In some embodiments as shown in FIG. 1B , the dielectric sacrificial layer 119 is a composite dielectric layer including a first silicon oxide layer 127 , a silicon nitride layer 129 and a second silicon oxide layer 131 . That is, the dielectric sacrificial layer 119 may include the silicon nitride layer 129 sandwiched between the first silicon oxide layer 127 and the second silicon oxide layer 131 , which can reduce the silicon nitride and the silicon nitride in the silicon nitride layer 129 Stress between polysilicon in sublayers 107 and 105 . It should be understood that in some examples, dielectric sacrificial layer 119 may include a single silicon nitride layer 129 without silicon oxide layer 127 and silicon oxide layer 131 . It should also be understood that, in some examples, the dielectric sacrificial layer 119 may include a single layer of silicon oxide layer 137, as shown in FIG. ID. Nonetheless, the dielectric sacrificial layer 119 in the peripheral region 103 of the 3D memory device 100 may include one or more dielectric materials (eg, silicon nitride or silicon oxide). It should also be understood that the memory stack layers 106 in the device region 101 may not extend laterally into the peripheral region 103 . Alternatively, as shown in FIG. 1B , the 3D memory device 100 may include an interlayer dielectric layer 111 over and in contact with the upper sublayer 105 in the peripheral region 103 , which may be in contact with the upper sublayer 105 in the element region 101 . The memory stack layers 106 are coplanar.

圖2A-2P示出根據本發明內容的一些實施方式的用於形成示例性3D記憶體元件的製造製程。圖3示出根據本發明內容的一些實施方式的用於形成示例性3D記憶體元件的方法300的流程圖。在圖2A-2P和圖3中描繪的3D記憶體元件的示例包括在圖1A-1C中描繪的3D記憶體元件100。圖2A-2P和圖3將在一起被描述。應理解,在方法300中所示的操作步驟並不是具有排他性,也就是說可能具有其它操作步驟也可在任何所示操作步驟之前、之後或之間被執行。此外,一些操作步驟可同時或以與圖3所示的不同的順序被執行。 2A-2P illustrate a manufacturing process for forming an exemplary 3D memory element in accordance with some embodiments of this disclosure. FIG. 3 shows a flowchart of a method 300 for forming an exemplary 3D memory element in accordance with some embodiments of this disclosure. Examples of 3D memory elements depicted in Figures 2A-2P and 3 include 3D memory element 100 depicted in Figures 1A-1C. Figures 2A-2P and Figure 3 will be described together. It should be understood that the operational steps shown in method 300 are not exclusive, that is, there may be other operational steps that may also be performed before, after, or between any of the illustrated operational steps. Furthermore, some operational steps may be performed simultaneously or in a different order than that shown in FIG. 3 .

參考圖3,方法300在操作步驟302開始,其中在基底的第一側處依次形成停止層、介電層、第一多晶矽層、介電犧牲層、第二多晶矽層和介電堆疊層。基底可以是矽基底或載體基底,其由任何適當的材料(例如,玻璃、藍寶石、塑膠(僅舉幾個示例))製成,以減少基底的成本。第一側可以是基底的正面,半導體元件可在該正面上形成。在本發明的其中一些實施方式中,為了形成停止層和介電層,在基底上依次沉積第一氧化矽層、第一氮化矽層和第二氧化矽層。在本發明的其中一些實施方式中,為了形成介電犧牲層,依次形成第三氧化矽層、第二氮化矽層和第四氧化矽層。在本發明的其中一些實施方式中,為了形成介電犧牲層,沉積單層氧化矽層。介電堆疊層可包括多個交錯的堆疊層犧牲層和堆疊層介電層。 Referring to FIG. 3, method 300 begins at operation 302 in which a stop layer, a dielectric layer, a first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer, and a dielectric are sequentially formed at a first side of the substrate stacked layers. The substrate may be a silicon substrate or a carrier substrate made of any suitable material (eg, glass, sapphire, plastic, to name a few) to reduce the cost of the substrate. The first side may be the front side of the substrate on which the semiconductor elements may be formed. In some of the embodiments of the present invention, in order to form the stop layer and the dielectric layer, a first silicon oxide layer, a first silicon nitride layer and a second silicon oxide layer are sequentially deposited on the substrate. In some of the embodiments of the present invention, in order to form the dielectric sacrificial layer, a third silicon oxide layer, a second silicon nitride layer and a fourth silicon oxide layer are sequentially formed. In some of the embodiments of the invention, to form the dielectric sacrificial layer, a single layer of silicon oxide is deposited. The dielectric stack may include a plurality of interleaved stacked sacrificial layers and stacked dielectric layers.

如圖2A所示,在基底202的正面處依次形成停止層203、介電層205、第一多晶矽層207、第一犧牲層209、第二犧牲層211、第三犧牲層213和第二多晶矽層215。基底202可以是矽基底或載體基底,其由任何適當的材料(例如,玻璃、藍寶石、塑膠(僅舉幾個示例))製成。在本發明的其中一些實施方式中, 停止層203和介電層205分別包括氮化矽和氧化矽。如下文更詳細描述的,停止層203可在從背面移除基底202時充當停止層,且因此可包括除了基底202的材料之外的任何其它適當的材料。應理解,在一些示例中,襯墊氧化物層(例如,氧化矽層)可在基底202和停止層203之間形成以減輕在其之間的應力。 As shown in FIG. 2A , a stop layer 203 , a dielectric layer 205 , a first polysilicon layer 207 , a first sacrificial layer 209 , a second sacrificial layer 211 , a third sacrificial layer 213 and a Two polysilicon layers 215 . Substrate 202 may be a silicon substrate or a carrier substrate made of any suitable material (eg, glass, sapphire, plastic, to name a few examples). In some of the embodiments of the present invention, The stop layer 203 and the dielectric layer 205 include silicon nitride and silicon oxide, respectively. As described in more detail below, stop layer 203 may act as a stop layer when substrate 202 is removed from the backside, and thus may include any other suitable material in addition to the material of substrate 202 . It should be appreciated that, in some examples, a pad oxide layer (eg, a silicon oxide layer) may be formed between the substrate 202 and the stop layer 203 to relieve stress therebetween.

第一犧牲層209、第二犧牲層211和第三犧牲層213可在本文被共同稱為介電犧牲層。在本發明的其中一些實施方式中,第一犧牲層209、第二犧牲層211和第三犧牲層213分別包括氧化矽、多晶矽和氮氧化矽。應理解,在一些示例中,第一犧牲層209和第三犧牲層213中的一個或兩個可包括氮氧化矽。也應理解,在一些示例中,第一犧牲層209、第二犧牲層211和第三犧牲層213可由單層氧化矽層252替換為在下文被詳細描述的介電犧牲層(例如,如圖2O所示)。雖然如此,不同於使用多晶矽作為第二犧牲層211的材料的已知製程,在本文公開的介電犧牲層、特別是第二犧牲層211包括介電材料(例如,氮化矽或氧化矽)。 The first sacrificial layer 209, the second sacrificial layer 211, and the third sacrificial layer 213 may be collectively referred to herein as dielectric sacrificial layers. In some of the embodiments of the present invention, the first sacrificial layer 209, the second sacrificial layer 211 and the third sacrificial layer 213 include silicon oxide, polysilicon and silicon oxynitride, respectively. It should be understood that, in some examples, one or both of the first sacrificial layer 209 and the third sacrificial layer 213 may include silicon oxynitride. It should also be understood that, in some examples, the first sacrificial layer 209, the second sacrificial layer 211, and the third sacrificial layer 213 may be replaced by a single layer of silicon oxide layer 252 with dielectric sacrificial layers described in detail below (eg, as shown in FIG. 2O shown). Nonetheless, unlike known processes that use polysilicon as the material for the second sacrificial layer 211, the dielectric sacrificial layers disclosed herein, particularly the second sacrificial layer 211, include a dielectric material (eg, silicon nitride or silicon oxide) .

返回參考圖2A,停止層203、介電層205、第一多晶矽層207、第一犧牲層209、第二犧牲層211、第三犧牲層213和第二多晶矽層215(或在其之間的任何其它層)可透過使用一種或多種薄膜沉積製程(包括但不限於化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、電鍍、無電鍍沉積、任何其它適當的沉積製程或其組合)以這個順序在多個迴圈中依次沉積相應的材料來形成。在本發明的其中一些實施方式中,第一多晶矽層207和第二多晶矽層215中的至少一個摻雜有N型摻雜物(例如,P、As或Sb)。在一個示例中,可在沉積多晶矽材料之後,使用離子注入製程來摻雜第一多晶矽層207和第二多晶矽層215中的至少一個。在另一示例中,當沉積多晶矽以形成第一多晶矽層207和第二多晶矽層215中的至少一個時,可執行N型摻雜物的原位摻雜。應理解, 在一些示例中,第一多晶矽層207和第二多晶矽層215中沒有一個在這個階段被摻雜有N型摻雜物。 Referring back to FIG. 2A, the stop layer 203, the dielectric layer 205, the first polysilicon layer 207, the first sacrificial layer 209, the second sacrificial layer 211, the third sacrificial layer 213, and the second polysilicon layer 215 (or in the Any other layers in between) can be deposited by using one or more thin film deposition processes including but not limited to chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), electroplating, electroless plating , any other suitable deposition process, or a combination thereof) is formed by sequentially depositing corresponding materials in multiple loops in this order. In some of these embodiments of the present invention, at least one of the first polysilicon layer 207 and the second polysilicon layer 215 is doped with an N-type dopant (eg, P, As, or Sb). In one example, at least one of the first polysilicon layer 207 and the second polysilicon layer 215 may be doped using an ion implantation process after deposition of the polysilicon material. In another example, in-situ doping of N-type dopants may be performed when polysilicon is deposited to form at least one of the first polysilicon layer 207 and the second polysilicon layer 215 . It should be understood that In some examples, neither the first polysilicon layer 207 nor the second polysilicon layer 215 are doped with N-type dopants at this stage.

如圖2A所示,在第二多晶矽層215上形成包括多對第一介電層(也被稱為“堆疊層犧牲層212”)和第二介電層(也被稱為“堆疊層介電層210”)的介電堆疊層208。根據一些實施方式,介電堆疊層208包括交錯的堆疊層犧牲層212和堆疊層介電層210。堆疊層介電層210和堆疊層犧牲層212可以可選地沉積在第二多晶矽層215上,以形成介電堆疊層208。在本發明的其中一些實施方式中,各個堆疊層介電層210包括一層氧化矽,以及各個堆疊層犧牲層212包括一層氮化矽。可透過一種或多種薄膜沉積製程(包括但不限於化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其任何組合)來形成介電堆疊層208。在本發明的其中一些實施方式中,在第二多晶矽層215和介電堆疊層208之間形成襯墊氧化物層(例如,未示出的氧化矽層)。 As shown in FIG. 2A, a plurality of pairs of a first dielectric layer (also referred to as a "stacked sacrificial layer 212") and a second dielectric layer (also referred to as a "stacked layer") are formed on the second polysilicon layer 215 layer dielectric layer 210") of the dielectric stack layer 208. According to some embodiments, the dielectric stack layer 208 includes an interleaved stacked layer sacrificial layer 212 and a stacked layer dielectric layer 210 . A stacked layer dielectric layer 210 and a stacked layer sacrificial layer 212 may optionally be deposited on the second polysilicon layer 215 to form the dielectric stack layer 208 . In some of the embodiments of the present invention, each of the stacked layer dielectric layers 210 includes a layer of silicon oxide, and each of the stacked layer sacrificial layers 212 includes a layer of silicon nitride. The dielectric stack layer 208 may be formed by one or more thin film deposition processes including, but not limited to, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or any combination thereof. In some of these embodiments of the invention, a pad oxide layer (eg, a silicon oxide layer not shown) is formed between the second polysilicon layer 215 and the dielectric stack layer 208 .

方法300繼續進行到操作步驟304,如圖3所示,其中,形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通道結構。在本發明的其中一些實施方式中,為了形成通道結構,形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通道孔,並沿著通道孔的側壁依次形成記憶體膜和半導體通道。在本發明的其中一些實施方式中,形成在半導體通道之上並與半導體通道接觸的通道插塞。 The method 300 proceeds to operation 304, shown in FIG. 3, wherein a channel is formed extending vertically through the dielectric stack, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer structure. In some of the embodiments of the present invention, to form the channel structure, a channel hole is formed extending vertically through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the first polysilicon layer, A memory film and a semiconductor channel are sequentially formed along the sidewall of the channel hole. In some of the embodiments of the invention, a channel plug is formed over and in contact with the semiconductor channel.

如圖2A所示,通道孔是垂直延伸穿過介電堆疊層208、第二多晶矽層215和犧牲層213、犧牲層211和犧牲層209並進入到第一多晶矽層207中的開口。在本發明的其中一些實施方式中,形成多個開口,使得各個開口變成用於使通 道結構214在以後的過程中生長的位置。在本發明的其中一些實施方式中,用於形成通道結構214的通道孔的製造製程包括濕蝕刻和/或乾蝕刻製程(例如,深離子反應蝕刻(DRIE))。根據一些實施方式,繼續對通道孔的蝕刻,直到延伸到第一多晶矽層207內為止。在本發明的其中一些實施方式中,可控制蝕刻條件(例如,蝕刻速率和時間)以確保各個通道孔到達第一多晶矽層207並在第一多晶矽層207中停止,以最小化在通道孔和在其中形成的通道結構214當中的刨削變化。 As shown in FIG. 2A , the via holes extend vertically through the dielectric stack layer 208 , the second polysilicon layer 215 and the sacrificial layer 213 , the sacrificial layer 211 and the sacrificial layer 209 and into the first polysilicon layer 207 Open your mouth. In some of the embodiments of the invention, a plurality of openings are formed such that each opening becomes The location where the track structure 214 will grow in a later process. In some of the embodiments of the present invention, the fabrication process used to form the channel holes of the channel structure 214 includes wet etching and/or dry etching processes (eg, deep reactive ion etching (DRIE)). According to some embodiments, the etching of the via hole continues until extending into the first polysilicon layer 207 . In some of these embodiments of the present invention, etch conditions (eg, etch rate and time) can be controlled to ensure that each via hole reaches and stops in the first polysilicon layer 207 to minimize The gouging varies among the channel holes and channel structures 214 formed therein.

如圖2A所示,記憶體膜216(包括阻擋層、儲存層和穿隧層)和半導體通道218以這個順序沿著通道孔的側壁和底表面依次形成。在本發明的其中一些實施方式中,首先,記憶體膜216沿著通道孔的側壁和底表面沉積,以及然後,半導體通道218沉積在記憶體膜216之上。阻擋層、儲存層和穿隧層可隨後以這個順序使用一種或多種薄膜沉積製程(例如,原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、任何其它適當的製程或其任何組合)來沉積,以形成記憶體膜216。可接著透過使用一種或多種薄膜沉積製程(例如,原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、任何其它適當的製程或其任何組合)將半導體材料(例如,多晶矽)沉積在記憶體膜216的穿隧層之上,來形成半導體通道218。在本發明的其中一些實施方式中,隨後沉積第一氧化矽層、氮化矽層、第二氧化矽層和多晶矽層(“SONO”結構),以形成記憶體膜216和半導體通道218。 As shown in FIG. 2A, a memory film 216 (including a barrier layer, a storage layer, and a tunneling layer) and a semiconductor channel 218 are sequentially formed in this order along the sidewalls and bottom surfaces of the via holes. In some of these embodiments of the present invention, first, a memory film 216 is deposited along the sidewalls and bottom surfaces of the via holes, and then a semiconductor channel 218 is deposited over the memory film 216 . The barrier layer, storage layer, and tunneling layer can then be used in this order using one or more thin film deposition processes (eg, atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), any other suitable process or any combination thereof) to form the memory film 216 . The semiconductor material ( For example, polysilicon) is deposited over the tunneling layer of memory film 216 to form semiconductor channel 218 . In some of the embodiments of the present invention, a first silicon oxide layer, a silicon nitride layer, a second silicon oxide layer, and a polysilicon layer ("SONO" structure) are subsequently deposited to form the memory film 216 and the semiconductor channel 218.

如圖2A所示,在通道孔中和在半導體通道218之上形成上覆層220,以完全或部分地填充通道孔(例如,在沒有或具有空氣間隙的情況下)。可透過使用一種或多種薄膜沉積製程(例如,原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、任何其它適當的製程或其任何組合)沉積介 電材料(例如,氧化矽)來形成上覆層220。然後,可在通道孔的上部分中形成通道插塞222。在本發明的其中一些實施方式中,記憶體膜216、半導體通道218和上覆層220的在介電堆疊層208的頂表面上的一部分被移除,並透過化學機械拋光(CMP)、濕蝕刻和/或乾蝕刻製程被平面化。然後可透過濕蝕刻和/或乾蝕刻在通道孔的上部分中的半導體通道218和上覆層220的一部分來在通道孔的上部分中形成凹槽。然後,可透過一種或多種薄膜沉積製程(例如,化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其任何組合)將半導體材料(例如,多晶矽)沉積到凹槽中來形成通道插塞222。根據一些實施方式,因此穿過介電堆疊層208、第二多晶矽層215和犧牲層213、犧牲層211和犧牲層209並進入到第一多晶矽層207中來形成通道結構214。 As shown in FIG. 2A, an overcladding layer 220 is formed in the via hole and over the semiconductor via 218 to fully or partially fill the via hole (eg, without or with air gaps). The dielectric may be deposited by using one or more thin film deposition processes (eg, atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), any other suitable process, or any combination thereof) An electrical material (eg, silicon oxide) is used to form the overlying layer 220 . Then, a channel plug 222 may be formed in the upper portion of the channel hole. In some of these embodiments of the invention, portions of the memory film 216, the semiconductor channel 218, and the overlying layer 220 on the top surface of the dielectric stack 208 are removed and treated by chemical mechanical polishing (CMP), wet The etching and/or dry etching process is planarized. Recesses may then be formed in the upper portion of the via hole by wet etching and/or dry etching a portion of the semiconductor channel 218 and overlying layer 220 in the upper portion of the via hole. The semiconductor material (eg, polysilicon) may then be deposited onto the A channel plug 222 is formed in the groove. According to some embodiments, channel structure 214 is thus formed through dielectric stack layer 208 , second polysilicon layer 215 and sacrificial layer 213 , sacrificial layer 211 and sacrificial layer 209 and into first polysilicon layer 207 .

方法300繼續進行到操作步驟306,如圖3所示,其中,形成(i)垂直延伸穿過介電堆疊層和第二多晶矽層並進入到介電犧牲層中或穿過介電犧牲層以曝露介電犧牲層的一部分的開口,以及形成(ii)沿著開口的側壁的一部分的多晶矽間隔體。在本發明的其中一些實施方式中,為了形成開口和多晶矽間隔體,形成垂直延伸穿過介電堆疊層並進入到第二多晶矽層中的開口,並沿著開口的側壁形成多晶矽間隔體,以及使開口進一步延伸穿過第二多晶矽層並進入到介電犧牲層中或穿過介電犧牲層。在本發明的其中一些實施方式中,多晶矽間隔體鄰接介電堆疊層而不鄰接介電犧牲層。 The method 300 proceeds to operation 306, shown in FIG. 3, wherein (i) is formed extending vertically through the dielectric stack layer and the second polysilicon layer and into the dielectric sacrificial layer or through the dielectric sacrificial layer layer to expose a portion of the dielectric sacrificial layer, and to form (ii) polysilicon spacers along a portion of the sidewalls of the opening. In some of the embodiments of the invention, to form the openings and polysilicon spacers, an opening is formed extending vertically through the dielectric stack and into the second polysilicon layer, and polysilicon spacers are formed along the sidewalls of the openings , and extending the opening further through the second polysilicon layer and into or through the dielectric sacrificial layer. In some of the embodiments of the present invention, the polysilicon spacers adjoin the dielectric stack layer and not adjoin the dielectric sacrificial layer.

如圖2B所示,狹縫224是垂直延伸穿過介電堆疊層208並進入到第二多晶矽層215中所形成的開口。根據一些實施方式,狹縫224在該階段不進一步延伸穿過第二多晶矽層215到第二犧牲層211內。在本發明的其中一些實施方式中,用於形成狹縫224的製造製程包括濕蝕刻和/或乾蝕刻製程(例如,DRIE)。 在本發明的其中一些實施方式中,首先,蝕刻介電堆疊層208的堆疊層介電層210和堆疊層犧牲層212。對介電堆疊層208進行蝕刻不在第二多晶矽層215的頂表面處停止,並進一步延伸到第二多晶矽層215內。在本發明的其中一些實施方式中,可執行第二蝕刻製程以在到達第三犧牲層213之前(例如,透過控制蝕刻速率和/或蝕刻時間)來蝕刻第二多晶矽層215的一部分。 As shown in FIG. 2B , the slit 224 is an opening formed vertically extending through the dielectric stack layer 208 and into the second polysilicon layer 215 . According to some embodiments, the slit 224 does not extend further through the second polysilicon layer 215 into the second sacrificial layer 211 at this stage. In some of these embodiments of the invention, the fabrication process used to form the slits 224 includes a wet etch and/or dry etch process (eg, DRIE). In some of the embodiments of the present invention, first, the stack dielectric layer 210 and the stack layer sacrificial layer 212 of the dielectric stack layer 208 are etched. Etching the dielectric stack layer 208 does not stop at the top surface of the second polysilicon layer 215 and extends further into the second polysilicon layer 215 . In some of these embodiments of the invention, a second etch process may be performed to etch a portion of the second polysilicon layer 215 before reaching the third sacrificial layer 213 (eg, by controlling the etch rate and/or etch time).

如圖2C所示,沿著狹縫224的側壁和底表面形成多晶矽間隔體228。在本發明的其中一些實施方式中,使用一種或多種薄膜沉積製程(例如,化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其任何組合)來將一層多晶矽材料沉積到狹縫224中和介電堆疊層208上,以形成多晶矽間隔體228。不同於使用複雜間隔體結構(例如,具有不同介電材料的多個子層的複合介電層)的已知製程,多晶矽間隔體228包括單一多晶矽層,其可結合包括氮化矽(例如,第二犧牲層211)或氧化矽的介電犧牲層來使用。 As shown in FIG. 2C , polysilicon spacers 228 are formed along sidewalls and bottom surfaces of the slits 224 . In some of these embodiments of the invention, one or more thin film deposition processes (eg, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or any combination thereof) are used to deposit a layer of Polysilicon material is deposited into the slits 224 and on the dielectric stack 208 to form polysilicon spacers 228 . Unlike known processes that use complex spacer structures (eg, composite dielectric layers with multiple sub-layers of different dielectric materials), polysilicon spacers 228 include a single polysilicon layer that can be combined with silicon nitride (eg, a second layer of polysilicon) Two sacrificial layers 211) or dielectric sacrificial layers of silicon oxide are used.

如圖2D所示,狹縫224進一步延伸到第二犧牲層211內,以曝露第二犧牲層211的一部分。因此,根據一些實施方式,多晶矽間隔體228鄰接介電堆疊層208而不鄰接犧牲層213、犧牲層211或犧牲層209。也就是說,多晶矽間隔體228可在被移除之前,在後續的過程期間保護介電堆疊層208,且同時不阻擋透過狹縫224到第二犧牲層211的通路。在本發明的其中一些實施方式中,(例如,使用RIE)來先蝕刻在狹縫224的底表面處的多晶矽間隔體228的一部分,以透過狹縫224曝露第二多晶矽層215的一部分。然後,可透過在垂直方向上再次蝕刻狹縫224來使狹縫224進一步延伸。應理解,應用於狹縫224的第二蝕刻製程的製程視窗可以相對大,因為蝕刻可以停止在第二犧牲層211(例如,在圖2D中示出)內、或是穿過犧牲層213、犧牲層211和犧牲層209進入到第一多晶矽層207 (未示出)中,只要第二犧牲層211的一部分可在第二蝕刻製程之後穿過狹縫224被曝露。換句話說,應用於狹縫224的第二蝕刻製程可產生穿過狹縫224到犧牲層213、犧牲層211或犧牲層209的通路,以及覆蓋介電堆疊層208而不是犧牲層211的多晶矽間隔體228。 As shown in FIG. 2D , the slit 224 further extends into the second sacrificial layer 211 to expose a portion of the second sacrificial layer 211 . Thus, polysilicon spacers 228 adjoin dielectric stack layer 208 without adjoining sacrificial layer 213 , sacrificial layer 211 , or sacrificial layer 209 , according to some embodiments. That is, the polysilicon spacers 228 can protect the dielectric stack layer 208 during subsequent processes before being removed, while not blocking access to the second sacrificial layer 211 through the slits 224 . In some of these embodiments of the invention, a portion of the polysilicon spacer 228 at the bottom surface of the slit 224 is first etched (eg, using RIE) to expose a portion of the second polysilicon layer 215 through the slit 224 . The slit 224 can then be extended further by etching the slit 224 again in the vertical direction. It should be understood that the process window for the second etch process applied to the slit 224 can be relatively large, as the etch can stop within the second sacrificial layer 211 (eg, shown in FIG. 2D ), or through the sacrificial layer 213 , The sacrificial layer 211 and the sacrificial layer 209 enter the first polysilicon layer 207 (not shown), as long as a part of the second sacrificial layer 211 can be exposed through the slit 224 after the second etching process. In other words, the second etch process applied to slit 224 can create vias through slit 224 to sacrificial layer 213 , sacrificial layer 211 or sacrificial layer 209 , and polysilicon overlying dielectric stack 208 but not sacrificial layer 211 Spacer 228.

如圖2O和圖2P所示,在介電犧牲層包括單層氧化矽層252的一些實施方式中,也可應用用於形成狹縫224的類似的蝕刻製程和用於形成多晶矽間隔體228的沉積製程,以形成垂直延伸穿過介電堆疊層208和第二多晶矽層215並進入到氧化矽層252(例如,在圖2O中示出)中或穿過氧化矽層252進入到第一多晶矽層207(例如,在圖2P中示出)中的狹縫224,以及沿著鄰接介電堆疊層208而不鄰接氧化矽層252的狹縫224的側壁的一部分形成多晶矽間隔體228。應理解,在執行第二蝕刻製程以使狹縫224延伸時,在狹縫224的底表面處的多晶矽間隔體228的各個部分也可移除在介電堆疊層208(例如,在圖2D中示出)上的多晶矽間隔體228的一部分。在介電犧牲層包括單層氧化矽層252的一些實施方式中,為了保護也包括在介電堆疊層208的頂部處的氧化矽的介電堆疊層208,當在氧化物層252內或穿過氧化物層252蝕刻狹縫224時,保護層在介電堆疊層208上形成。在如圖2O所示的一個示例中,在移除多晶矽間隔體228的在狹縫224的底表面處的一部分之後,例如透過控制蝕刻製程的角度、方向和/或範圍或透過在蝕刻製程期間覆蓋多晶矽間隔體228的在介電堆疊層208上的一部分,可保留多晶矽間隔體228的在介電堆疊層208上的一部分。在如圖2P所示的另一示例中,在移除多晶矽間隔體228的在介電堆疊層208上的一部分之後,可在介電堆疊層208上形成蝕刻遮罩254(例如,軟遮罩和/或硬遮罩)。 As shown in FIGS. 2O and 2P, in some embodiments where the dielectric sacrificial layer includes a single layer of silicon oxide layer 252, a similar etch process for forming slits 224 and for forming polysilicon spacers 228 may also be applied. A deposition process to form a vertical extension through the dielectric stack layer 208 and the second polysilicon layer 215 and into the silicon oxide layer 252 (eg, shown in FIG. 20 ) or through the silicon oxide layer 252 into the first Slits 224 in a polysilicon layer 207 (eg, shown in FIG. 2P ), and polysilicon spacers are formed along a portion of the sidewalls of the slits 224 that adjoin the dielectric stack 208 but not the silicon oxide layer 252 228. It should be understood that portions of the polysilicon spacers 228 at the bottom surfaces of the slits 224 may also be removed in the dielectric stack layer 208 (eg, in FIG. 2D ) when the second etch process is performed to extend the slits 224 part of the polysilicon spacer 228 on the shown). In some embodiments where the dielectric sacrificial layer includes a single layer of silicon oxide layer 252, in order to protect the dielectric stack layer 208 that also includes silicon oxide at the top of the dielectric stack layer 208, when inside or through the oxide layer 252 As the peroxide layer 252 etches the slits 224 , a protective layer is formed on the dielectric stack layer 208 . In one example as shown in FIG. 20, after removing a portion of the polysilicon spacer 228 at the bottom surface of the slit 224, for example by controlling the angle, direction and/or extent of the etch process or by during the etch process Covering a portion of the polysilicon spacer 228 on the dielectric stack layer 208, a portion of the polysilicon spacer 228 on the dielectric stack layer 208 may remain. In another example as shown in FIG. 2P , after removing a portion of the polysilicon spacers 228 on the dielectric stack layer 208 , an etch mask 254 (eg, a soft mask) may be formed on the dielectric stack layer 208 and/or hard mask).

方法300繼續進行到操作步驟308,如圖3所示,其中,透過開口利用 在第一和第二多晶矽層之間的第三多晶矽層替換介電犧牲層。在本發明的其中一些實施方式中,為了利用第三多晶矽層替換介電犧牲層,透過開口移除犧牲層以形成在第一和第二多晶矽層之間的空腔,透過開口移除記憶體膜的一部分以曝露沿著通道孔的側壁的半導體通道的一部分,並透過開口將多晶矽沉積到空腔中,以形成第三多晶矽層。在本發明的其中一些實施方式中,第一、第二和第三多晶矽層中的至少一個摻雜有N型摻雜物。可在第一、第二和第三多晶矽層中擴散N型摻雜物。 The method 300 proceeds to operation 308, as shown in FIG. 3, wherein the use of A third polysilicon layer between the first and second polysilicon layers replaces the dielectric sacrificial layer. In some of the embodiments of the invention, in order to replace the dielectric sacrificial layer with a third polysilicon layer, the sacrificial layer is removed through the opening to form a cavity between the first and second polysilicon layers, through the opening A portion of the memory film is removed to expose a portion of the semiconductor channel along the sidewalls of the channel hole, and polysilicon is deposited into the cavity through the opening to form a third polysilicon layer. In some of the embodiments of the invention, at least one of the first, second and third polysilicon layers is doped with an N-type dopant. N-type dopants may be diffused in the first, second and third polysilicon layers.

如圖2E所示,透過濕蝕刻和/或乾蝕刻來移除犧牲層211(例如,在圖2D中示出)以形成空腔226。在本發明的其中一些實施方式中,第二犧牲層211包括氮化矽,多晶矽間隔體228包括多晶矽,第一犧牲層209和第三犧牲層203各自包括氧化矽,以及透過穿過狹縫224塗敷具有磷酸的蝕刻劑來蝕刻第二犧牲層211,這可由多晶矽間隔體228停止。也就是說,根據一些實施方式,對第二犧牲層211的移除不影響由多晶矽間隔體228保護的介電堆疊層208。類似地,可透過穿過狹縫224塗敷具有氫氟酸的蝕刻劑來移除在圖2O和2P中的氧化矽層252(作為介電犧牲層),這可由多晶矽間隔體228停止。 As shown in FIG. 2E , the sacrificial layer 211 (eg, shown in FIG. 2D ) is removed by wet and/or dry etching to form the cavity 226 . In some of the embodiments of the present invention, the second sacrificial layer 211 includes silicon nitride, the polysilicon spacers 228 include polysilicon, the first sacrificial layer 209 and the third sacrificial layer 203 each include silicon oxide, and the through slits 224 An etchant with phosphoric acid is applied to etch the second sacrificial layer 211 , which can be stopped by polysilicon spacers 228 . That is, the removal of the second sacrificial layer 211 does not affect the dielectric stack layer 208 protected by the polysilicon spacers 228, according to some embodiments. Similarly, silicon oxide layer 252 (as a dielectric sacrificial layer) in FIGS. 2O and 2P can be removed by applying an etchant with hydrofluoric acid through slit 224 , which can be stopped by polysilicon spacer 228 .

如圖2F所示,在空腔226中曝露的記憶體膜216的一部分被移除以曝露沿著通道結構214的側壁的半導體通道218的一部分。在本發明的其中一些實施方式中,透過穿過狹縫224和空腔226塗敷蝕刻劑(例如,用於蝕刻氮化矽的磷酸和用於蝕刻氧化矽的氫氟酸)來蝕刻阻擋層(例如,包括氧化矽)、儲存層(例如,包括氮化矽)和穿隧層(例如,包括氧化矽)的一部分。蝕刻可由多晶矽間隔體228和半導體通道218停止。也就是說,根據一些實施方式,對在空腔226中曝露的記憶體膜216的一部分的移除不影響介電堆疊層208(被多晶矽間 隔體228保護)以及包括多晶矽的半導體通道218和被半導體通道218曝露的上覆層220。在本發明的其中一些實施方式中,也透過相同的蝕刻製程來移除第一犧牲層209和第三犧牲層213(包括氧化矽)。 As shown in FIG. 2F , a portion of the memory film 216 exposed in the cavity 226 is removed to expose a portion of the semiconductor channel 218 along the sidewalls of the channel structure 214 . In some of these embodiments of the invention, the barrier layer is etched by applying an etchant (eg, phosphoric acid for etching silicon nitride and hydrofluoric acid for etching silicon oxide) through slit 224 and cavity 226 (eg, including silicon oxide), a storage layer (eg, including silicon nitride), and a portion of the tunneling layer (eg, including silicon oxide). Etching can be stopped by polysilicon spacers 228 and semiconductor channels 218 . That is, according to some embodiments, the removal of a portion of the memory film 216 exposed in the cavity 226 does not affect the dielectric stack layer 208 (interpolated by the polysilicon spacer 228 protection) and a semiconductor via 218 comprising polysilicon and an overlying layer 220 exposed by the semiconductor via 218. In some of the embodiments of the present invention, the first sacrificial layer 209 and the third sacrificial layer 213 (including silicon oxide) are also removed by the same etching process.

如圖2G所示,第三多晶矽層230在第一多晶矽層207和第二多晶矽層215之間形成。在本發明的其中一些實施方式中,透過使用一種或多種薄膜沉積製程(例如,化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其任何組合)穿過狹縫224將多晶矽沉積到空腔226(在圖2F中示出)中,來形成第三多晶矽層230。在本發明的其中一些實施方式中,當沉積多晶矽以形成第三多晶矽層230時,執行N型摻雜物(例如,P、As或Sb)的原位摻雜。第三多晶矽層230可填充空腔226以與通道結構214的半導體通道218的曝露部分接觸。應理解,第三多晶矽層230可以是摻雜的或未摻雜的,取決於第一多晶矽層207和第二多晶矽層215中的至少一個是否摻雜有N型摻雜物,因為第一多晶矽層207、第二多晶矽層215和第三多晶矽層230中的至少一個可能需要被摻雜有N型摻雜物。在本發明的其中一些實施方式中,使用熱擴散製程(例如,退火)來在第一多晶矽層207、第二多晶矽層215和第三多晶矽層230中擴散在第一多晶矽層207、第二多晶矽層215和第三多晶矽層230中的至少一個中的N型摻雜物,以在第一多晶矽層207、第二多晶矽層215和第三多晶矽層230當中在垂直方向上實現均勻摻雜濃度剖面。例如,摻雜濃度在擴散之後可以在1019cm-3和1022cm-3之間。如上所述,在第一多晶矽層207、第二多晶矽層215和第三多晶矽層230之間的介面可變得不可區分,因為第一多晶矽層207、第二多晶矽層215和第三多晶矽層230中的各個包括具有名義上相同的摻雜濃度的相同多晶矽材料。因此,第一多晶矽層207、第二多晶矽層215和第三多晶矽層230可在擴散之後共同被視為多晶矽層。 As shown in FIG. 2G , a third polysilicon layer 230 is formed between the first polysilicon layer 207 and the second polysilicon layer 215 . In some of these embodiments of the present invention, by using one or more thin film deposition processes (eg, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or any combination thereof) through Slit 224 deposits polysilicon into cavity 226 (shown in FIG. 2F ) to form third polysilicon layer 230 . In some of these embodiments of the present invention, in-situ doping of N-type dopants (eg, P, As, or Sb) is performed when the polysilicon is deposited to form the third polysilicon layer 230 . The third polysilicon layer 230 may fill the cavity 226 to contact the exposed portion of the semiconductor channel 218 of the channel structure 214 . It should be understood that the third polysilicon layer 230 may be doped or undoped, depending on whether at least one of the first polysilicon layer 207 and the second polysilicon layer 215 is doped with N-type doping material, because at least one of the first polysilicon layer 207, the second polysilicon layer 215, and the third polysilicon layer 230 may need to be doped with an N-type dopant. In some of the embodiments of the present invention, a thermal diffusion process (eg, annealing) is used to diffuse in the first polysilicon layer 207, the second polysilicon layer 215, and the third polysilicon layer 230 in the first polysilicon layer. N-type dopants in at least one of the polysilicon layer 207, the second polysilicon layer 215, and the third polysilicon layer 230, so that the first polysilicon layer 207, the second polysilicon layer 215 and the A uniform doping concentration profile in the vertical direction is realized in the third polysilicon layer 230 . For example, the doping concentration after diffusion may be between 10 19 cm -3 and 10 22 cm -3 . As described above, the interfaces between the first polysilicon layer 207, the second polysilicon layer 215 and the third polysilicon layer 230 may become indistinguishable because the first polysilicon layer 207, the second polysilicon layer 230 Each of the crystalline silicon layer 215 and the third polycrystalline silicon layer 230 includes the same polycrystalline silicon material with nominally the same doping concentration. Therefore, the first polysilicon layer 207, the second polysilicon layer 215, and the third polysilicon layer 230 may be collectively regarded as polysilicon layers after diffusion.

雖然未示出,應理解,在一些示例中,例如,透過只在3D記憶體元件的記憶體區域而不是週邊區域中形成狹縫224,並控制對介電犧牲層的蝕刻以不延伸到週邊區域,可以只在3D記憶體元件的記憶體區域中而不是在3D記憶體元件的週邊區域中利用第三多晶矽層230替換介電犧牲層(例如,犧牲層209、211和213或氧化矽層252)。因此,在週邊區域中的介電犧牲層(例如,犧牲層209、211和213或氧化矽層252)的一部分在製造之後可仍然保留在3D記憶體元件的最終產物中。 Although not shown, it should be understood that in some examples, for example, by forming slits 224 only in the memory region of the 3D memory element and not the perimeter region, and controlling the etching of the dielectric sacrificial layer to not extend to the perimeter area, the dielectric sacrificial layers (eg, sacrificial layers 209, 211 and 213 or oxide layers 209, 211 and 213 or oxide layers) may be replaced with the third polysilicon layer 230 only in the memory area of the 3D memory element and not in the peripheral area of the 3D memory element silicon layer 252). Thus, a portion of the dielectric sacrificial layers (eg, sacrificial layers 209, 211 and 213 or silicon oxide layer 252) in the peripheral region may remain in the final product of the 3D memory device after fabrication.

如圖2H所示,例如,使用乾蝕刻和/或濕蝕刻來移除第三多晶矽層230(例如,在圖2G中示出)的沿著狹縫224的側壁和在介電堆疊層208上的一部分以及多晶矽間隔體228(例如,在圖2G中示出),以穿過狹縫224曝露介電堆疊層208。可控制蝕刻製程(例如,透過控制蝕刻速率和/或時間),使得第三多晶矽層230仍然保留在第一多晶矽層207和第二多晶矽層215之間並與通道結構214的半導體通道218接觸。 As shown in FIG. 2H, the sidewalls of the third polysilicon layer 230 (eg, shown in FIG. 2G) along the slit 224 and at the dielectric stack layer are removed using, for example, dry etching and/or wet etching. A portion on 208 and polysilicon spacer 228 (eg, shown in FIG. 2G ) to expose dielectric stack 208 through slit 224 . The etch process can be controlled (eg, by controlling the etch rate and/or time) so that the third polysilicon layer 230 remains between the first polysilicon layer 207 and the second polysilicon layer 215 and with the channel structure 214 The semiconductor channel 218 contacts.

方法300繼續進行到操作步驟310,如圖3所示,其中,使用所謂的“閘極替換製程”透過開口利用記憶體堆疊層替換介電堆疊層。如圖2I所示,可透過閘極替換製程(即,利用堆疊層導電層236替換堆疊層犧牲層212)來形成記憶體堆疊層234。記憶體堆疊層234因此可包括在第二多晶矽層215上的交錯的堆疊層導電層236和堆疊層介電層210。在本發明的其中一些實施方式中,為了形成記憶體堆疊層234,透過穿過狹縫224塗敷蝕刻劑來移除堆疊層犧牲層212,以形成多個橫向凹槽。然後,可透過使用一種或多種薄膜沉積製程(例如,物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或其任何組合) 沉積一種或多種導電材料來將堆疊層導電層236沉積到橫向凹槽中。根據一些實施方式,通道結構214因而垂直延伸穿過記憶體堆疊層234並進入到包括第一多晶矽層215、第三多晶矽層230和第二多晶矽層207的多晶矽層中。 The method 300 proceeds to operation 310, shown in FIG. 3, in which the dielectric stack is replaced with a memory stack through the opening using a so-called "gate replacement process". As shown in FIG. 2I, the memory stack layer 234 may be formed through a gate replacement process (ie, replacing the stacked layer sacrificial layer 212 with the stacked layer conductive layer 236). The memory stack layer 234 may thus include the interleaved stacked layer conductive layer 236 and the stacked layer dielectric layer 210 on the second polysilicon layer 215 . In some of the embodiments of the present invention, to form the memory stack layer 234, the stack layer sacrificial layer 212 is removed by applying an etchant through the slits 224 to form a plurality of lateral grooves. Then, by using one or more thin film deposition processes (eg, physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), or any combination thereof) One or more conductive materials are deposited to deposit the stacked layer conductive layer 236 into the lateral grooves. According to some embodiments, the channel structure 214 thus extends vertically through the memory stack layer 234 and into the polysilicon layer including the first polysilicon layer 215 , the third polysilicon layer 230 and the second polysilicon layer 207 .

方法300繼續進行到操作步驟312,如圖3所示,其中,在開口中形成絕緣結構。在本發明的其中一些實施方式中,為了形成絕緣結構,將一種或多種介電材料沉積到開口中以填充開口。 Method 300 proceeds to operation 312, shown in FIG. 3, wherein insulating structures are formed in the openings. In some of the embodiments of the invention, to form the insulating structure, one or more dielectric materials are deposited into the openings to fill the openings.

如圖2J所示,在狹縫224(例如,在圖2I中示出)中形成絕緣結構242。可透過使用一種或多種薄膜沉積製程(例如,物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或其任何組合)將一種或多種介電材料(例如,高k介電(也作為閘極介電層238))和作為絕緣核心240的氧化矽沉積到狹縫224中,以在具有或沒有空氣間隙的情況下完全或部分地填充狹縫224來形成絕緣結構242。 As shown in FIG. 2J, insulating structures 242 are formed in the slits 224 (eg, shown in FIG. 2I). One or more dielectric materials (eg, high A k-dielectric (also as gate dielectric layer 238) and silicon oxide as insulating core 240 are deposited into slits 224 to fully or partially fill slits 224 with or without air gaps to form insulation Structure 242.

方法300繼續進行到操作步驟314,如圖3所示,其中從與基底的第一側相對的第二側移除基底,在停止層處停止。第二側可以是基底的背面。 The method 300 proceeds to operation 314, shown in FIG. 3, where the substrate is removed from a second side opposite the first side of the substrate, stopping at the stop layer. The second side may be the backside of the substrate.

如圖2K所示,從背面移除基底202(例如,在圖2J中示出)。雖然未在圖2K中示出,應理解,在圖2J中的中間結構可顛倒地翻轉以具有在中間結構的頂部上的基底202。在本發明的其中一些實施方式中,使用化學機械拋光(CMP)、研磨、濕蝕刻和/或乾蝕刻來完全移除基底202,直到被停止層203(例如,氮化矽層)停止為止。在本發明的其中一些實施方式中,使用矽化學機械拋光(Si-CMP)移除基底202(矽基底),這在到達具有除了矽以外的材料的停 止層203時自動停止,即,充當背面化學機械拋光(CMP)停止層。在本發明的其中一些實施方式中,使用濕蝕刻透過羥化四甲銨(TMAH)來移除基底202(矽基底),這在到達具有除了矽以外的材料的停止層203時自動停止,即,充當背面蝕刻停止層。停止層203可確保基底202的完全移除,而沒有在減薄之後的厚度均勻性的憂慮。 As shown in Figure 2K, the substrate 202 is removed from the backside (eg, shown in Figure 2J). Although not shown in Figure 2K, it should be understood that the intermediate structure in Figure 2J could be flipped upside down to have the substrate 202 on top of the intermediate structure. In some of these embodiments of the invention, chemical mechanical polishing (CMP), grinding, wet etching, and/or dry etching are used to completely remove substrate 202 until stopped by stop layer 203 (eg, a silicon nitride layer). In some of these embodiments of the present invention, the substrate 202 (silicon substrate) is removed using silicon chemical mechanical polishing (Si-CMP), which reaches a stop having materials other than silicon. The stop layer 203 is automatically stopped, ie, acts as a backside chemical mechanical polishing (CMP) stop layer. In some of these embodiments of the invention, wet etch through tetramethylammonium hydroxide (TMAH) is used to remove substrate 202 (silicon substrate), which automatically stops when a stop layer 203 having a material other than silicon is reached, i.e. , which acts as a backside etch stop. The stop layer 203 can ensure complete removal of the substrate 202 without concerns about thickness uniformity after thinning.

方法300繼續進行到操作步驟316,如圖3所示,其中,形成垂直延伸穿過停止層和介電層的源極接觸開口,以曝露第一多晶矽層的一部分。如圖2L所示,源極接觸開口244垂直延伸穿過停止層203和介電層205,以曝露第一多晶矽層207的一部分。可使用乾蝕刻和/或濕蝕刻(例如,RIE)以蝕刻停止層203和介電層205來形成源極接觸開口244。應理解,在一些示例中,蝕刻可繼續進入到第一多晶矽層207中以移除第一多晶矽層207的一部分。 Method 300 continues with operation 316, shown in FIG. 3, in which source contact openings extending vertically through the stop layer and the dielectric layer are formed to expose a portion of the first polysilicon layer. As shown in FIG. 2L , the source contact opening 244 extends vertically through the stop layer 203 and the dielectric layer 205 to expose a portion of the first polysilicon layer 207 . The source contact openings 244 may be formed using dry etching and/or wet etching (eg, RIE) to etch the stop layer 203 and the dielectric layer 205 . It should be understood that the etch may continue into the first polysilicon layer 207 to remove a portion of the first polysilicon layer 207 in some examples.

方法300繼續進行到操作步驟318,如圖3所示,其中,同時形成在源極接觸開口中的源極接觸結構和連接到源極接觸結構的互連層。在本發明的其中一些實施方式中,為了同時形成源極接觸結構和互連層,在與第一多晶矽層的曝露部分接觸的源極接觸開口中形成矽化物層,移除停止層以曝露介電層,並將金屬層沉積到源極接觸開口中和介電層上。 The method 300 proceeds to operation 318, shown in FIG. 3, wherein a source contact structure in the source contact opening and an interconnect layer connected to the source contact structure are simultaneously formed. In some of the embodiments of the present invention, in order to form the source contact structure and the interconnect layer at the same time, a silicide layer is formed in the source contact opening in contact with the exposed portion of the first polysilicon layer, and the stop layer is removed to The dielectric layer is exposed, and a metal layer is deposited into the source contact openings and on the dielectric layer.

如圖2M所示,在與第一多晶矽層207接觸的源極接觸開口244的底表面處形成矽化物層246。可透過將金屬層(例如,Ni)沉積到源極接觸開口244中以與第一多晶矽層207接觸、後面是退火製程來形成矽化物層246(例如,NiSi)。如圖2M所示,使用濕蝕刻和/或乾蝕刻來移除停止層203以曝露介電層205。可在停止層203的移除之前或之後執行矽化物層246的形成。應理解,在一 些示例中,可跳過矽化物層246的形成。 As shown in FIG. 2M , a silicide layer 246 is formed at the bottom surface of the source contact opening 244 in contact with the first polysilicon layer 207 . The silicide layer 246 (eg, NiSi) may be formed by depositing a metal layer (eg, Ni) into the source contact opening 244 to contact the first polysilicon layer 207, followed by an annealing process. As shown in FIG. 2M , the stop layer 203 is removed using wet and/or dry etching to expose the dielectric layer 205 . The formation of silicide layer 246 may be performed before or after removal of stop layer 203 . It should be understood that in a In some examples, the formation of silicide layer 246 may be skipped.

如圖2N所示,使用一種或多種薄膜沉積製程(例如,物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或其任何組合)來將金屬層(例如,Al層)沉積到在矽化物層246上以及在介電層205上的源極接觸開口244中,以在同一過程中同時形成互連層248和包括矽化物層246和金屬層(即,互連層248的一部分)的源極接觸結構250。因此,根據一些實施方式,互連層248連接到源極接觸結構250。雖然未示出,應理解,在一些示例中,互連層248可被圖案化以在3D記憶體元件的週邊區域中形成接觸襯墊。 As shown in FIG. 2N, a metal layer (eg, Al layer) is deposited into the source contact openings 244 on the silicide layer 246 and on the dielectric layer 205 to simultaneously form the interconnect layer 248 and include the silicide layer 246 and the metal layer (ie, the interconnect layer 248) in the same process. The source contact structure 250 of the connecting layer 248). Thus, according to some embodiments, interconnect layer 248 is connected to source contact structure 250 . Although not shown, it should be understood that, in some examples, the interconnect layer 248 may be patterned to form contact pads in peripheral regions of the 3D memory element.

雖然未示出,應理解,在一些示例中,在移除基底之前,透過使用一種或多種薄膜沉積製程(例如,物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或其任何組合)將一種或多種導電材料沉積在開口中,來在開口(例如,狹縫224)中形成正面源極接觸結構。正面源極接觸結構可以替換背面源極接觸結構(例如,源極接觸結構250)和正面絕緣結構(例如,絕緣結構242)。 Although not shown, it should be understood that in some examples, prior to removal of the substrate, by using one or more thin film deposition processes (eg, physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition ( ALD) or any combination thereof) depositing one or more conductive materials in the openings to form front-side source contact structures in the openings (eg, slits 224). Front-side source contact structures may replace back-side source contact structures (eg, source contact structures 250 ) and front-side insulating structures (eg, insulating structures 242 ).

綜上所述,本發明的其中一些特徵與優點如下: In summary, some of the features and advantages of the present invention are as follows:

在形成側壁SEG結構時,需要首先形成犧牲層,以便打開記憶體膜並曝露在通道結構的側壁上的半導體通道,其稍後由包括側壁SEG結構的層(例如,多晶矽層)替換。犧牲層通常由多晶矽製成。然而,多晶矽犧牲層的使用需要在開口(例如,閘極線狹縫(GLS))的側壁上的複雜間隔體結構用於替換多晶矽犧牲層,以及對開口的蝕刻在多晶矽犧牲層內停止。這些挑戰限制了產 量,並增加具有側壁SEG結構的3D NAND記憶體元件的成本。 In forming the sidewall SEG structure, a sacrificial layer needs to be formed first to open the memory film and expose the semiconductor channel on the sidewall of the channel structure, which is later replaced by a layer (eg, a polysilicon layer) that includes the sidewall SEG structure. The sacrificial layer is usually made of polysilicon. However, the use of a polysilicon sacrificial layer requires complex spacer structures on the sidewalls of openings (eg, gate line slits (GLS)) to replace the polysilicon sacrificial layer, and the etching of the openings stops within the polysilicon sacrificial layer. These challenges limit production and increase the cost of 3D NAND memory devices with sidewall SEG structures.

根據本發明內容的各種實施方式提供了改進的3D記憶體元件及其製造方法。透過將用於形成側壁SEG結構的犧牲層的材料從多晶矽改變為介電層(例如,氮化矽或氧化矽),可簡化在開口(例如,GLS)的側壁上的間隔體的材料和結構,進而降低成本。此外,與多晶矽犧牲層比較,介電犧牲層允許對開口(例如,GLS)的更大蝕刻視窗,因為蝕刻現在可在介電犧牲層內停止或進一步延伸穿過介電犧牲層。因此,製程可被簡化,且產量可增加。 Various embodiments in accordance with the present disclosure provide improved 3D memory devices and methods of fabricating the same. By changing the material of the sacrificial layer used to form the sidewall SEG structure from polysilicon to a dielectric layer (eg, silicon nitride or silicon oxide), the material and structure of the spacer on the sidewall of the opening (eg, GLS) can be simplified , thereby reducing costs. Furthermore, the dielectric sacrificial layer allows a larger etch window for openings (eg, GLS) compared to the polysilicon sacrificial layer because the etch can now stop within the dielectric sacrificial layer or extend further through the dielectric sacrificial layer. Therefore, the process can be simplified, and the yield can be increased.

為了方便讀者比對,在此將本發明說明書中所列出的元件以及其標號對照如下,值得注意的是,可能有部分的標號同時對應到一個以上的元件名稱,將以括號()表示,代表該元件可能因為習慣用語或是其對應位置而具有不同的名稱,實際上仍屬於同一元件標號。 In order to facilitate the comparison of readers, the elements listed in the description of the present invention and their labels are compared as follows. It is worth noting that some labels may correspond to more than one element name at the same time, which will be represented by brackets ( ). It means that the element may have different names due to idioms or its corresponding positions, but actually still belong to the same element number.

100..................................3D記憶體元件 100.................................3D Memory Components

101..................................元件區域 101.................................Component area

102..................................介電層 102.................................Dielectric layer

103..................................週邊區域 103................................. Surrounding area

104..................................多晶矽層 104.................................Polysilicon layer

105..................................上子層(子層) 105 .................................Upper sublayer (sublayer)

106..................................記憶體堆疊層 106.................................Memory Stack Layer

107..................................多晶矽層(下子層、子層) 107.................................Polysilicon layer (lower sublayer, sublayer)

108..................................堆疊層導電層 108.................................Stacked Conductive Layers

109..................................子層 109.................................Sublayers

110..................................堆疊層介電層 110.................................Stacked Dielectric Layers

111..................................層間介電層 111.................................Interlayer Dielectric Layer

112..................................通道結構 112......................................Channel structure

114..................................記憶體膜 114.................................Memory Film

116..................................半導體通道 116.................................Semiconductor Channels

118..................................上覆層 118 ................................. Overcladding

119..................................介電犧牲層 119.................................Dielectric Sacrificial Layer

120..................................通道插塞 120.................................Channel Plug

122..................................平行狹縫結構(狹縫結構) 122.................................Parallel slit structure (slit structure)

124..................................閘極介電層 124.................................Gate Dielectric Layer

126..................................絕緣體核心 126.................................Insulator Core

127..................................第一氧化矽層(氧化矽層) 127.................................First silicon oxide layer (silicon oxide layer)

128..................................源極接觸結構 128.................................Source Contact Structure

129..................................氮化矽層 129.................................Silicon Nitride Layer

130..................................互連層 130.................................Interconnect Layer

131..................................第二氧化矽層(氧化矽層) 131.................................Second Silicon Oxide Layer (Silicon Oxide Layer)

132..................................矽化物層 132.................................Silicide layer

133..................................源極接觸 133.................................Source Contact

134..................................接觸襯墊 134.................................Contact pads

135..................................間隔體 135.................................Spacer

136..................................區域(塊) 136.................................area (block)

137..................................單層氧化矽層(氧化矽層) 137.................................Single-layer silicon oxide layer (silicon oxide layer)

202..................................基底 202 .................................................Basic

203..................................停止層 203.................................Stop Layer

205..................................介電層 205.................................Dielectric layer

207..................................第一多晶矽層 207.................................First polysilicon layer

208..................................介電堆疊層 208.................................Dielectric stack layers

209..................................第一犧牲層(犧牲層) 209.................................First sacrificial layer (sacrificial layer)

210..................................堆疊層介電層(第二介電層) 210.................................Stacked Dielectric Layer (Second Dielectric Layer)

211..................................第二犧牲層(犧牲層) 211.................................Second sacrificial layer (sacrificial layer)

212..................................堆疊層犧牲層(第一介電層) 212.................................Stacked sacrificial layer (first dielectric layer)

213..................................第三犧牲層(犧牲層) 213.................................The third sacrificial layer (sacrificial layer)

214..................................通道結構 214.................................Channel structure

215..................................第二多晶矽層 215.................................Second polysilicon layer

以上209、211、215共同被稱為介電犧牲層 The above 209, 211, 215 are collectively referred to as the dielectric sacrificial layer

216..................................記憶體膜 216.................................Memory Film

218..................................半導體通道 218................................Semiconductor Channel

220..................................上覆層 220 ................................. Overcladding

222..................................通道插塞 222.................................Channel Plug

224..................................狹縫 224................................................Slit

226..................................空腔 226.................................Cavity

228..................................多晶矽間隔體 228.................................polysilicon spacer

230..................................第三多晶矽層 230.................................Third polysilicon layer

234..................................記憶體堆疊層 234.................................Memory Stack Layer

236..................................堆疊層導電層 236.................................Stacked Conductive Layers

238..................................閘極介電層 238.................................Gate Dielectric Layer

240..................................絕緣核心 240.................................Insulating Core

242..................................絕緣結構 242.................................Insulation Construction

244..................................源極接觸開口 244.................................Source Contact Opening

246..................................矽化物層 246.................................Silicide layer

248..................................互連層 248.................................Interconnect Layer

250..................................源極接觸結構 250.................................Source Contact Structure

252..................................氧化矽層 252.................................Silicon oxide layer

254..................................蝕刻遮罩 254.................................Etch Mask

300..................................方法 300.................................Method

302..................................操作步驟 302.................................Operation steps

304..................................操作步驟 304.................................Operation steps

306..................................操作步驟 306.................................Operation steps

308..................................操作步驟 308.................................Operation steps

310..................................操作步驟 310.................................Operation steps

312..................................操作步驟 312.................................Operation steps

314..................................操作步驟 314.................................Operation steps

316..................................操作步驟 316.................................Operation steps

318..................................操作步驟 318.................................Operation steps

在本發明的其中一些實施例中,提供一種用於形成立體(3D)記憶體元件的方法,包括在一基底之上依次形成一第一多晶矽層、一介電犧牲層、一第二多晶矽層和一介電堆疊層,形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道結構,形成(i)垂直延伸穿過所述介電堆疊層和所述第二多晶矽層,並垂直延伸進入到所述介電犧牲層中或垂直延伸穿過所述介電犧牲層以曝露所述介電犧牲層的一部分的一開口,以及形成(ii)沿著所述開口的一側壁的一部分的一多晶矽間隔 體,以及透過所述開口,利用在所述第一多晶矽層和所述第二多晶矽層之間的一第三多晶矽層替換所述介電犧牲層。 In some of the embodiments of the present invention, a method for forming a three-dimensional (3D) memory device is provided, comprising sequentially forming a first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer on a substrate polysilicon layer and a dielectric stack formed vertically extending through the dielectric stack, the second polysilicon layer and the dielectric sacrificial layer and into the first polysilicon layer a channel structure forming (i) vertically extending through the dielectric stack layer and the second polysilicon layer, and extending vertically into the dielectric sacrificial layer or extending vertically through the dielectric an opening of the sacrificial layer to expose a portion of the dielectric sacrificial layer, and to form (ii) a polysilicon spacer along a portion of a sidewall of the opening body, and through the opening, replacing the dielectric sacrificial layer with a third polysilicon layer between the first polysilicon layer and the second polysilicon layer.

在本發明的其中一些實施例中,形成所述開口和所述多晶矽間隔體包括形成垂直延伸穿過所述介電堆疊層並進入到所述第二多晶矽層中的所述開口,沿著所述開口的所述側壁形成所述多晶矽間隔體,以及使所述開口進一步延伸穿過所述第二多晶矽層,並進入到所述介電犧牲層中或穿過所述介電犧牲層。 In some of the embodiments of the invention, forming the opening and the polysilicon spacer includes forming the opening extending vertically through the dielectric stack and into the second polysilicon layer, along the forming the polysilicon spacers along the sidewalls of the openings and extending the openings further through the second polysilicon layer and into the dielectric sacrificial layer or through the dielectric sacrificial layer.

在本發明的其中一些實施例中,所述多晶矽間隔體鄰接所述介電堆疊層而不鄰接所述介電犧牲層。 In some of the embodiments of the present invention, the polysilicon spacer adjoins the dielectric stack layer but not the dielectric sacrificial layer.

在本發明的其中一些實施例中,還包括在利用所述第三多晶矽層替換所述介電層之後,透過所述開口,利用一記憶體堆疊層替換所述介電堆疊層。 In some of the embodiments of the present invention, after replacing the dielectric layer with the third polysilicon layer, replacing the dielectric stack layer with a memory stack layer through the opening.

在本發明的其中一些實施例中,還包括:在利用所述記憶體堆疊層替換所述介電堆疊層之後,在所述開口中形成一狹縫結構。 In some of the embodiments of the present invention, further comprising: forming a slit structure in the opening after replacing the dielectric stack layer with the memory stack layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括依次沉積一第一氧化矽層、一氮化矽層和一第二氧化矽層。 In some embodiments of the present invention, forming the dielectric sacrificial layer includes sequentially depositing a first silicon oxide layer, a silicon nitride layer and a second silicon oxide layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括沉積單層氧化矽層。 In some of the embodiments of the present invention, forming the dielectric sacrificial layer includes depositing a single layer of silicon oxide.

在本發明的其中一些實施例中,形成所述通道結構包括形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道孔,以及沿著所述通道孔的側壁依次形成記憶體膜和半導體通道。 In some of the embodiments of the present invention, forming the channel structure includes forming a vertical extension through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first A channel hole in the polysilicon layer, and a memory film and a semiconductor channel are sequentially formed along the sidewalls of the channel hole.

在本發明的其中一些實施例中,利用所述第三多晶矽層替換所述介電犧牲層包括透過所述開口移除所述介電犧牲層,以形成在所述第一多晶矽層和所述第二多晶矽層之間的一空腔,透過所述開口移除所述記憶體膜的一部分,以曝露沿著所述通道孔的所述側壁的所述半導體通道的一部分,以及透過所述開口將一多晶矽材料沉積到所述空腔中,以形成所述第三多晶矽層。 In some of the embodiments of the present invention, replacing the dielectric sacrificial layer with the third polysilicon layer includes removing the dielectric sacrificial layer through the opening to form on the first polysilicon layer a cavity between the second polysilicon layer and the second polysilicon layer, removing a portion of the memory film through the opening to expose a portion of the semiconductor via along the sidewall of the via hole, and depositing a polysilicon material into the cavity through the opening to form the third polysilicon layer.

在本發明的其中一些實施例中,所述第一多晶矽層、所述第二多晶矽層和所述第三多晶矽層中的至少一者摻雜有N型摻雜物,並且所述方法還包括:在所述第一多晶矽層、所述第二多晶矽層和所述第三多晶矽層中擴散所述N型摻雜物。 In some of the embodiments of the present invention, at least one of the first polysilicon layer, the second polysilicon layer, and the third polysilicon layer is doped with an N-type dopant, And the method further includes: diffusing the N-type dopant in the first polysilicon layer, the second polysilicon layer and the third polysilicon layer.

在本發明的其中一些實施例中,提供一種用於形成立體(3D)記憶體元件的方法,包括在一基底的一第一側處依次形成一停止層、一介電層、一第一多晶矽層、一介電犧牲層、一第二多晶矽層和一介電堆疊層,形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層,並進入到所述第一多晶矽層中的一通道結構,形成垂直延伸穿過所述介電堆疊層和所述第二多晶矽層並垂直延伸進入到所述介電犧牲層中或穿過所述介電犧牲層,以曝露所述介電犧牲層的一部分的一開口,透過所述開口,利用在所述第一多晶矽層和所述第二多晶矽層之間的一第三多晶矽層替換所述介電犧牲層,從與所述基 底的所述第一側相對的一第二側移除所述基底,在所述停止層處停止,形成垂直延伸穿過所述停止層和所述介電層,以曝露所述第一多晶矽層的一部分的一源極接觸開口,以及同時形成在所述源極接觸開口中的一源極接觸結構,和連接到所述源極接觸結構的一互連層。 In some of the embodiments of the present invention, a method for forming a three-dimensional (3D) memory device is provided, comprising sequentially forming a stop layer, a dielectric layer, a first multi-layered layer at a first side of a substrate silicon layer, a dielectric sacrificial layer, a second polysilicon layer and a dielectric stack layer formed vertically extending through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer layer and into the first polysilicon layer forming a channel structure extending vertically through the dielectric stack and the second polysilicon layer and into the dielectric sacrificial layer an opening in or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer, through the opening, utilizing between the first polysilicon layer and the second polysilicon layer A third polysilicon layer in between replaces the dielectric sacrificial layer from the base A second side of the bottom opposite the first side removes the substrate, stops at the stop layer, and forms a vertical extension through the stop layer and the dielectric layer to expose the first multiple A source contact opening of a portion of the crystalline silicon layer, and a source contact structure formed in the source contact opening at the same time, and an interconnect layer connected to the source contact structure.

在本發明的其中一些實施例中,同時形成所述源極接觸結構和所述互連層包括在與所述第一多晶矽層的一曝露部分接觸的所述源極接觸開口中,形成一矽化物層,以及移除所述停止層以曝露所述介電層,以及將一金屬層沉積到所述源極接觸開口中和所述介電層上。 In some of the embodiments of the present invention, simultaneously forming the source contact structure and the interconnect layer includes forming in the source contact opening in contact with an exposed portion of the first polysilicon layer, forming A silicide layer, and removing the stop layer to expose the dielectric layer, and depositing a metal layer into the source contact openings and on the dielectric layer.

在本發明的其中一些實施例中,依次形成所述停止層和所述介電層包括:在所述基底上依次沉積一第一氧化矽層、一第一氮化矽層和一第二氧化矽層。 In some embodiments of the present invention, forming the stop layer and the dielectric layer sequentially includes: sequentially depositing a first silicon oxide layer, a first silicon nitride layer and a second oxide layer on the substrate silicon layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括:依次沉積一第三氧化矽層、一第二氮化矽層和一第四氧化矽層。 In some embodiments of the present invention, forming the sacrificial dielectric layer includes sequentially depositing a third silicon oxide layer, a second silicon nitride layer and a fourth silicon oxide layer.

在本發明的其中一些實施例中,形成所述介電犧牲層包括沉積一單層氧化矽層。 In some of the embodiments of the present invention, forming the dielectric sacrificial layer includes depositing a single layer of silicon oxide.

在本發明的其中一些實施例中,形成所述開口包括形成垂直延伸穿過所述介電堆疊層並進入到所述第二多晶矽層中的所述開口,沿著所述開口的一側壁形成一多晶矽間隔體,以及使所述開口進一步延伸穿過所述第二多晶矽層並進入到所述介電犧牲層中或穿過所述介電犧牲層。 In some of the embodiments of the invention, forming the opening includes forming the opening extending vertically through the dielectric stack and into the second polysilicon layer, along a portion of the opening Sidewalls form a polysilicon spacer, and the openings extend further through the second polysilicon layer and into or through the dielectric sacrificial layer.

在本發明的其中一些實施例中,還包括:在利用所述第三多晶矽層替換所述介電層之後,透過所述開口利用一記憶體堆疊層替換所述介電堆疊層。 In some of the embodiments of the present invention, further comprising: replacing the dielectric stack layer with a memory stack layer through the opening after replacing the dielectric layer with the third polysilicon layer.

在本發明的其中一些實施例中,還包括:在利用所述記憶體堆疊層替換所述介電堆疊層之後,在所述開口中形成一絕緣結構。 In some of the embodiments of the present invention, further comprising: forming an insulating structure in the opening after replacing the dielectric stack layer with the memory stack layer.

在本發明的其中一些實施例中,其中,形成所述通道結構包括形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道孔,以及沿著所述通道孔的一側壁依次形成一記憶體膜和一半導體通道。 In some of the embodiments of the present invention, wherein forming the channel structure includes forming a vertical extension through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the dielectric layer A channel hole in the first polysilicon layer, and a memory film and a semiconductor channel are sequentially formed along a sidewall of the channel hole.

在本發明的其中一些實施例中,利用所述第三多晶矽層替換所述介電犧牲層包括透過所述開口移除所述介電犧牲層,以形成在所述第一多晶矽層和所述第二多晶矽層之間的一空腔,透過所述開口移除所述記憶體膜的一部分,以曝露沿著所述通道孔的所述側壁的所述半導體通道的一部分,以及透過所述開口,將一多晶矽材料沉積到所述空腔中,以形成所述第三多晶矽層。 In some of the embodiments of the present invention, replacing the dielectric sacrificial layer with the third polysilicon layer includes removing the dielectric sacrificial layer through the opening to form on the first polysilicon layer a cavity between the second polysilicon layer and the second polysilicon layer, removing a portion of the memory film through the opening to expose a portion of the semiconductor via along the sidewall of the via hole, and depositing a polysilicon material into the cavity through the opening to form the third polysilicon layer.

根據本發明內容的一個方面,公開了用於形成3D記憶體元件的方法。在基底之上依次形成第一多晶矽層、介電犧牲層、第二多晶矽層和介電堆疊層。形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通道結構。形成垂直延伸穿過介電堆疊層和第二多晶矽層並垂直延伸進入到介電犧牲層中或穿過介電犧牲層以曝露介電犧牲層的一部分的開口,以及沿著開口的側壁的一部分的多晶矽間隔體。透過開口利用在第一和第 二多晶矽層之間的第三多晶矽層替換介電犧牲層。 According to one aspect of this disclosure, a method for forming a 3D memory element is disclosed. A first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer and a dielectric stack layer are sequentially formed on the substrate. A channel structure is formed extending vertically through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer. forming an opening extending vertically through the dielectric stack layer and the second polysilicon layer and into or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer, and along sidewalls of the opening part of the polysilicon spacer. Use through openings in the first and second A third polysilicon layer between the two polysilicon layers replaces the dielectric sacrificial layer.

在本發明的其中一些實施方式中,為了形成開口和多晶矽間隔體,形成垂直延伸穿過介電堆疊層並進入到第二多晶矽層中的開口,沿著開口的側壁形成多晶矽間隔體,以及使開口進一步延伸穿過第二多晶矽層並進入到介電犧牲層中或穿過介電犧牲層。 In some of the embodiments of the invention, to form the openings and polysilicon spacers, an opening is formed extending vertically through the dielectric stack and into the second polysilicon layer, polysilicon spacers are formed along sidewalls of the opening, and extending the opening further through the second polysilicon layer and into or through the dielectric sacrificial layer.

在本發明的其中一些實施方式中,多晶矽間隔體鄰接介電堆疊層而不鄰接介電犧牲層。 In some of the embodiments of the present invention, the polysilicon spacers adjoin the dielectric stack layer and not adjoin the dielectric sacrificial layer.

在本發明的其中一些實施方式中,在利用第三多晶矽層替換介電層之後,透過開口利用記憶體堆疊層替換介電堆疊層。 In some of the embodiments of the present invention, after replacing the dielectric layer with a third polysilicon layer, the dielectric stack layer is replaced with a memory stack layer through the opening.

在本發明的其中一些實施方式中,在利用記憶體堆疊層替換介電堆疊層之後,在開口中形成狹縫結構。 In some of the embodiments of the present invention, a slit structure is formed in the opening after replacing the dielectric stack layer with a memory stack layer.

在本發明的其中一些實施方式中,為了形成介電犧牲層,依次沉積第一氧化矽層、氮化矽層和第二氧化矽層。 In some of the embodiments of the present invention, to form the dielectric sacrificial layer, a first silicon oxide layer, a silicon nitride layer, and a second silicon oxide layer are sequentially deposited.

在本發明的其中一些實施方式中,為了形成介電犧牲層,沉積單層氧化矽層。 In some of the embodiments of the invention, to form the dielectric sacrificial layer, a single layer of silicon oxide is deposited.

在本發明的其中一些實施方式中,為了形成通道結構,形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通 道孔,以及沿著通道孔的側壁依次形成記憶體膜和半導體通道。 In some of the embodiments of the present invention, to form the channel structure, a channel is formed that extends vertically through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer. A channel hole, and a memory film and a semiconductor channel are sequentially formed along the sidewall of the channel hole.

在本發明的其中一些實施方式中,為了利用第三多晶矽層替換介電犧牲層,透過開口移除介電犧牲層,以形成在第一和第二多晶矽層之間的空腔,透過開口移除記憶體膜的一部分,以曝露沿著通道孔的側壁的半導體通道的一部分,以及透過開口將多晶矽沉積到空腔中以形成第三多晶矽層。 In some of the embodiments of the invention, in order to replace the dielectric sacrificial layer with the third polysilicon layer, the dielectric sacrificial layer is removed through the opening to form a cavity between the first and second polysilicon layers , removing a portion of the memory film through the opening to expose a portion of the semiconductor channel along the sidewalls of the via hole, and depositing polysilicon into the cavity through the opening to form a third polysilicon layer.

在本發明的其中一些實施方式中,第一、第二和第三多晶矽層中的至少一個摻雜有N型摻雜物。在本發明的其中一些實施方式中,在第一、第二和第三多晶矽層中擴散N型摻雜物。 In some of the embodiments of the invention, at least one of the first, second and third polysilicon layers is doped with an N-type dopant. In some of the embodiments of the present invention, N-type dopants are diffused in the first, second and third polysilicon layers.

根據本發明內容的另一方面,公開了用於形成3D記憶體元件的方法。在基底的第一側處依次形成停止層、介電層、第一多晶矽層、介電犧牲層、第二多晶矽層和介電堆疊層。形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通道結構。形成垂直延伸穿過介電堆疊層和第二多晶矽層並垂直延伸進入到介電犧牲層中或穿過介電犧牲層的開口,以曝露介電犧牲層的一部分。透過開口利用在第一和第二多晶矽層之間的第三多晶矽層替換介電犧牲層。從與基底的第一側相對的第二側移除基底,在停止層處停止。形成垂直延伸穿過停止層和介電層的源極接觸開口,以曝露第一多晶矽層的一部分。同時形成在源極接觸開口中的源極接觸結構和連接到源極接觸結構的互連層。 According to another aspect of this disclosure, a method for forming a 3D memory element is disclosed. A stop layer, a dielectric layer, a first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer, and a dielectric stack layer are sequentially formed at the first side of the substrate. A channel structure is formed extending vertically through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer. An opening is formed extending vertically through the dielectric stack layer and the second polysilicon layer and vertically into or through the dielectric sacrificial layer to expose a portion of the dielectric sacrificial layer. The dielectric sacrificial layer is replaced through the opening with a third polysilicon layer between the first and second polysilicon layers. The substrate is removed from a second side opposite the first side of the substrate, stopping at the stop layer. A source contact opening is formed extending vertically through the stop layer and the dielectric layer to expose a portion of the first polysilicon layer. A source contact structure in the source contact opening and an interconnect layer connected to the source contact structure are simultaneously formed.

在本發明的其中一些實施方式中,為了同時形成源極接觸結構和互連層,在與第一多晶矽層的曝露部分接觸的源極接觸開口中形成矽化物層,移 除停止層以曝露介電層,並將金屬層沉積到源極接觸開口中和介電層上。 In some of the embodiments of the present invention, in order to form the source contact structure and the interconnect layer at the same time, a silicide layer is formed in the source contact opening in contact with the exposed portion of the first polysilicon layer, the removal of The stop layer is removed to expose the dielectric layer, and a metal layer is deposited into the source contact openings and on the dielectric layer.

在本發明的其中一些實施方式中,為了依次形成停止層和介電層,在基底上依次沉積第一氧化矽層、第一氮化矽層和第二氧化矽層。 In some of the embodiments of the present invention, in order to sequentially form the stop layer and the dielectric layer, a first silicon oxide layer, a first silicon nitride layer and a second silicon oxide layer are sequentially deposited on the substrate.

在本發明的其中一些實施方式中,為了形成介電犧牲層,依次沉積第三氧化矽層、第二氮化矽層和第四氧化矽層。 In some of the embodiments of the present invention, to form the dielectric sacrificial layer, a third silicon oxide layer, a second silicon nitride layer, and a fourth silicon oxide layer are sequentially deposited.

在本發明的其中一些實施方式中,為了形成介電犧牲層,沉積單層氧化矽層。 In some of the embodiments of the invention, to form the dielectric sacrificial layer, a single layer of silicon oxide is deposited.

在本發明的其中一些實施方式中,為了形成開口,形成垂直延伸穿過介電堆疊層並進入到第二多晶矽層中的開口,沿著開口的側壁沉積多晶矽間隔體,並使開口進一步延伸穿過第二多晶矽層和進入到介電犧牲層中或穿過介電犧牲層。 In some of the embodiments of the invention, to form the opening, an opening is formed extending vertically through the dielectric stack and into the second polysilicon layer, polysilicon spacers are deposited along the sidewalls of the opening, and the opening is further Extends through the second polysilicon layer and into or through the dielectric sacrificial layer.

在本發明的其中一些實施方式中,在利用第三多晶矽層替換介電層之後,透過開口利用記憶體堆疊層替換介電堆疊層。 In some of the embodiments of the present invention, after replacing the dielectric layer with a third polysilicon layer, the dielectric stack layer is replaced with a memory stack layer through the opening.

在本發明的其中一些實施方式中,在利用記憶體堆疊層替換介電堆疊層之後,在開口中形成絕緣結構。 In some of the embodiments of the present invention, insulating structures are formed in the openings after replacing the dielectric stack layers with memory stack layers.

在本發明的其中一些實施方式中,為了形成通道結構,形成垂直延伸穿過介電堆疊層、第二多晶矽層和介電犧牲層並進入到第一多晶矽層中的通 道孔,並沿著通道孔的側壁依次形成記憶體膜和半導體通道。 In some of the embodiments of the present invention, to form the channel structure, a channel is formed that extends vertically through the dielectric stack layer, the second polysilicon layer, and the dielectric sacrificial layer and into the first polysilicon layer. A channel hole is formed, and a memory film and a semiconductor channel are sequentially formed along the sidewall of the channel hole.

在本發明的其中一些實施方式中,為了利用第三多晶矽層替換介電犧牲層,透過開口移除介電犧牲層,以形成在第一和第二多晶矽層之間的空腔,透過開口移除記憶體膜的一部分,以曝露沿著通道孔的側壁的半導體通道的一部分,並透過開口將多晶矽沉積到空腔中以形成第三多晶矽層。 In some of the embodiments of the invention, in order to replace the dielectric sacrificial layer with the third polysilicon layer, the dielectric sacrificial layer is removed through the opening to form a cavity between the first and second polysilicon layers , removing a portion of the memory film through the opening to expose a portion of the semiconductor channel along the sidewall of the via hole, and depositing polysilicon into the cavity through the opening to form a third polysilicon layer.

在本發明的其中一些實施方式中,第一、第二和第三多晶矽層中的至少一個摻雜有N型摻雜物。在本發明的其中一些實施方式中,在第一、第二和第三多晶矽層中擴散N型摻雜物。 In some of the embodiments of the invention, at least one of the first, second and third polysilicon layers is doped with an N-type dopant. In some of the embodiments of the present invention, N-type dopants are diffused in the first, second and third polysilicon layers.

根據本發明內容的又一方面,3D記憶體元件包括多晶矽層、包括交錯的堆疊層導電層和堆疊層介電層的記憶體堆疊層、通道結構和狹縫結構。通道結構垂直延伸穿過記憶體堆疊層並進入到多晶矽層中,並包括記憶體膜和半導體通道。沿著通道結構的側壁的半導體通道的一部分與多晶矽層的子層接觸。狹縫結構垂直延伸穿過記憶體堆疊層和多晶矽層的子層。 According to yet another aspect of the present disclosure, a 3D memory device includes a polysilicon layer, a memory stack including alternating stacked conductive layers and stacked dielectric layers, a channel structure, and a slit structure. The channel structure extends vertically through the memory stack and into the polysilicon layer and includes the memory film and semiconductor channels. A portion of the semiconductor channel along the sidewalls of the channel structure is in contact with the sublayer of the polysilicon layer. The slit structure extends vertically through the memory stack layer and the sub-layers of the polysilicon layer.

在本發明的其中一些實施方式中,3D記憶體元件還包括與多晶矽層接觸的介電層、垂直延伸穿過介電層並與多晶矽層接觸的源極接觸結構,以及連接到源極接觸結構的互連層。 In some of the embodiments of the present invention, the 3D memory device further includes a dielectric layer in contact with the polysilicon layer, a source contact structure extending vertically through the dielectric layer and in contact with the polysilicon layer, and connected to the source contact structure the interconnect layer.

在本發明的其中一些實施方式中,源極接觸結構和互連層包括相同的金屬。 In some of these embodiments of the invention, the source contact structure and the interconnect layer comprise the same metal.

在本發明的其中一些實施方式中,3D記憶體元件還包括與多晶矽層的子層共面且在記憶體堆疊層之外的週邊區域中的介電犧牲層。 In some of the embodiments of the present invention, the 3D memory device further includes a dielectric sacrificial layer coplanar with the sub-layer of the polysilicon layer and in a peripheral region outside the memory stack layer.

在本發明的其中一些實施方式中,介電犧牲層包括第一氧化矽層、氮化矽層和第二氧化矽層。 In some of the embodiments of the present invention, the dielectric sacrificial layer includes a first silicon oxide layer, a silicon nitride layer, and a second silicon oxide layer.

在本發明的其中一些實施方式中,介電犧牲層包括單層氧化矽層。 In some of the embodiments of the present invention, the sacrificial dielectric layer comprises a single layer of silicon oxide.

在本發明的其中一些實施方式中,介電犧牲層夾在多晶矽層的不包括子層並橫向地延伸到週邊區域中的一部分之間。 In some of the embodiments of the invention, the dielectric sacrificial layer is sandwiched between a portion of the polysilicon layer that does not include the sublayer and extends laterally into the perimeter region.

在本發明的其中一些實施方式中,互連層包括在週邊區域中的接觸襯墊。 In some of the embodiments of the invention, the interconnect layer includes contact pads in the peripheral region.

在本發明的其中一些實施方式中,多晶矽層包括N型摻雜的多晶矽層。 In some of the embodiments of the invention, the polysilicon layer includes an N-type doped polysilicon layer.

上文將參考附圖描述本發明的實施例中的技術方案。只要有可能,就將在所有附圖中使用相同的附圖標記指示相同或相似部分。顯然,所描述的實施例只是本發明的一些而非全部實施例。可以對各種實施例中的特徵進行交換和/或組合。本領域技術人員無需創造性勞動基於本發明的實施例獲得的其他實施例將落在本發明的範圍內。 The technical solutions in the embodiments of the present invention will be described above with reference to the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts. Obviously, the described embodiments are only some, but not all, embodiments of the invention. Features in the various embodiments may be exchanged and/or combined. Other embodiments obtained by those skilled in the art based on the embodiments of the present invention without creative efforts will fall within the scope of the present invention.

將詳細參考在附圖中示出的本發明的示例性實施例。在可能的情況 下,在所有附圖中使用相同的附圖標記來表示相同或相似的元件。 Reference will be made in detail to the exemplary embodiments of the present invention illustrated in the accompanying drawings. where possible Hereinafter, the same reference numbers will be used throughout the drawings to refer to the same or like elements.

以上公開內容提供了許多不同的實施例或示例,用於實現所提供的主題的不同特徵。為了簡化本發明內容,上面描述元件和佈置的具體示例。當然,這些僅僅是示例,而不旨在是限制性的。例如,在上面的描述中,對第一特徵在第二特徵上或上方的形成,可以包括其中第一特徵和第二特徵直接接觸來形成的實施例,並且還可以包括其中另外的特徵可以形成在第一和第二特徵之間以使得第一和第二特徵可以不直接接觸的實施例。此外,本發明內容可以在各種示例中重複參考數位和/或字母。這種重複是出於簡單和清楚的目的,其本身並不決定所討論的各種實施例和/或配置之間的關係。 The above disclosure provides many different embodiments or examples for implementing different features of the presented subject matter. Specific examples of elements and arrangements are described above for the purpose of simplifying this disclosure. Of course, these are only examples and are not intended to be limiting. For example, in the above description, the formation of a first feature on or over a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include embodiments in which additional features may be formed Embodiments between the first and second features such that the first and second features may not be in direct contact. Furthermore, this summary may repeat reference numerals and/or letters in various instances. This repetition is for the purpose of simplicity and clarity and does not in itself determine the relationship between the various embodiments and/or configurations discussed.

此外,為了便於描述,本文可以使用空間相對術語,例如“下方”、“下面”、“下層”、“上面”、“上層”等來描述如圖所示的一個元件或特徵與另一個元件或特徵的關係。空間上相關的術語旨在包括元件在使用或操作步驟中的不同方向(除了圖中所示的方位之外)。所述裝置可以面向其它方向(旋轉90度或在其它方向),並且本文使用的空間上相關的描述符同樣可以相應地解釋。 Furthermore, for ease of description, spatially relative terms, such as "below," "under," "lower," "over," "over," and the like, may be used herein to describe one element or feature as illustrated in the figures with respect to another element or feature. feature relationship. Spatially relative terms are intended to encompass different orientations of elements in steps of use or operation (in addition to the orientation shown in the figures). The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

雖然討論了特定的配置和佈置,但應理解,這僅為了說明性目的而完成。相關領域中的技術人員將認識到,可以使用其它配置和佈置而不偏離本發明內容的精神和範圍。對相關領域中的技術人員將顯而易見的是,也可以在各種其它應用中使用本發明內容。 While specific configurations and arrangements are discussed, it should be understood that this has been done for illustrative purposes only. Those skilled in the relevant art will recognize that other configurations and arrangements may be used without departing from the spirit and scope of the present disclosure. It will be apparent to those skilled in the relevant art that the present teachings may also be used in various other applications.

注意,在本說明書中對“一個實施方式”、“實施方式”、“示例 實施方式”、“一些實施方式”等的提及指示所描述的實施方式可以包括特定特徵、結構或特性,但各個實施方式可能不一定包括特定特徵、結構或特性。而且,這樣的短語並不一定指同一實施方式。此外,當結合實施方式描述特定特徵、結構或特性時,其將在相關領域中的技術人員的知識內,以結合其它實施方式(不管是否被明確描述)來影響這樣的特徵、結構或特性。 Note that in this specification, "one embodiment", "an embodiment", "an example" References to "an embodiment", "some embodiments", etc., indicate that the described embodiment may include a particular feature, structure, or characteristic, but that various embodiments may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases do not Not necessarily the same embodiment. Furthermore, when a particular feature, structure or characteristic is described in conjunction with an embodiment, it will be within the knowledge of those skilled in the relevant art to affect such a characteristics, structure or properties.

通常,可以至少部分地從在上上文中的用法來理解術語。例如,至少部分地根據上上文,如在本文使用的術語“一個或多個”可以用於在單數意義上描述任何特徵、結構或特性,或可以用於在複數意義上描述特徵、結構或特性的組合。類似地,至少部分地根據上上文,術語例如“一(a)”、“一個(an)”和“所述(the)”再次可以被理解為傳達單數用法或傳達複數用法。此外,再次至少部分地根據上上文,術語“基於”可被理解為不一定意欲傳達排他的一組因素,且可替代地允許不一定明確地描述的額外因素的存在。 In general, terms can be understood at least in part from their usage above. For example, based at least in part on the above, the term "one or more" as used herein may be used to describe any feature, structure or characteristic in the singular or may be used to describe a feature, structure or characteristic in the plural. combination of features. Similarly, based at least in part on the above, terms such as "a", "an", and "the" may again be understood to convey a singular usage or to convey a plural usage. Furthermore, again based at least in part on the above, the term "based on" may be understood as not necessarily intended to convey an exclusive set of factors, and may instead allow for the presence of additional factors not necessarily explicitly described.

應容易理解,在本發明內容中的“在……上”、“在……上面”和“在……之上”的含義應以最廣泛的方式被解釋,使得“在……上”不僅意指“直接在某物上”,而且還包括“在某物上”而在其之間有中間特徵或層的含義,以及“在……上面”或“在……之上”不僅意指“在某物上面”或“在某物之上”的含義,而且還可以包括其“在某物上面”或“在某物之上”而在其之間沒有中間特徵或層(即,直接在某物上)的含義。 It should be readily understood that the meanings of "on", "on" and "on" in this summary should be construed in the broadest possible manner, such that "on" not only Means "directly on something", but also includes the meaning of "on something" with intervening features or layers, and "on" or "over" means not only The meaning of "over something" or "over something", but can also include it "over something" or "over something" without intervening features or layers (i.e., directly on something).

此外,空間相對術語例如“在……下面”、“在……之下”、“下部”、“在……之上”、“上部”等可以在本文為了便於描述而用於描述一個元件或特徵與如在附圖中所示的另外的元件或特徵的關係。除了在附圖中描繪 的定向以外,空間相對術語意欲還包括在使用或處理步驟中的設備的不同定向。裝置可以以另外方式被定向(旋轉90度或在其它定向處),且在本文使用的空間相對描述符可以相應地同樣被解釋。 In addition, spatially relative terms such as "below", "beneath", "lower", "above", "upper", etc., may be used herein for ease of description to describe an element or The relationship of a feature to other elements or features as shown in the drawings. except as depicted in the accompanying drawings In addition to the orientation of the device, the spatially relative terms are intended to include different orientations of the device during use or processing steps. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.

如在本文使用的,術語“基底”指隨後的材料層被添加到其上的材料。基底包括“頂”表面和“底”表面。基底的頂表面一般是半導體設備被形成於的地方,且因此半導體設備在基底的頂側處形成,除非另有規定。底表面與頂表面相對,且因此基底的底側與基底的頂側相對。基底本身可以被圖案化。在基底的頂部上添加的材料可以被圖案化或可以保持未被圖案化。此外,基底可以包括大量半導體材料(例如矽、鍺、砷化鎵、磷化銦等)。可選地,基底可以由非導電材料(例如玻璃、塑膠或藍寶石晶圓)製成。 As used herein, the term "substrate" refers to a material to which subsequent layers of material are added. The substrate includes a "top" surface and a "bottom" surface. The top surface of the substrate is generally where the semiconductor devices are formed, and thus the semiconductor devices are formed at the top side of the substrate, unless otherwise specified. The bottom surface is opposite the top surface, and thus the bottom side of the substrate is opposite the top side of the substrate. The substrate itself can be patterned. The material added on top of the substrate may be patterned or may remain unpatterned. Additionally, the substrate may include a number of semiconductor materials (eg, silicon, germanium, gallium arsenide, indium phosphide, etc.). Alternatively, the substrate may be made of a non-conductive material such as glass, plastic or sapphire wafer.

如在本文使用的,術語“層”指包括具有一定厚度的區域的材料部分。層具有頂側和底側,其中層的底側相對靠近基底,而頂側相對遠離基底。層可以在整個底層或上覆結構之上延伸,或可以具有比底層或上覆結構的寬度小的寬度。此外,層可以是具有比連續結構的厚度小的厚度的同質或不同質連續結構的區域。例如,層可以位於在連續結構的頂表面和底表面之間或在其處的任何組水平面之間。層可以水平地、垂直地和/或沿著錐形表面延伸。基底可以是層,可以包括在其中的一個或多個層,和/或可以具有在其上、在其之上和/或在其之下的一個或多個層。層可以包括多個層。例如,互連層可以包括一個或多個導電層和接觸層(其中形成接觸、互連線和/或垂直互連接入(VIA))和一個或多個介電層。 As used herein, the term "layer" refers to a portion of a material that includes a region of thickness. The layer has a top side and a bottom side, wherein the bottom side of the layer is relatively close to the substrate and the top side is relatively remote from the substrate. A layer may extend over the entire underlying or overlying structure, or may have a width that is less than the width of the underlying or overlying structure. Furthermore, a layer may be a region of a homogeneous or heterogeneous continuous structure having a thickness less than the thickness of the continuous structure. For example, a layer may be located between the top and bottom surfaces of the continuous structure or between any set of levels thereon. The layers may extend horizontally, vertically and/or along a tapered surface. A substrate can be a layer, can include one or more layers therein, and/or can have one or more layers thereon, over it, and/or under it. Layers may include multiple layers. For example, the interconnect layers may include one or more conductive and contact layers (wherein contacts, interconnect lines and/or vertical interconnect access (VIA) are formed) and one or more dielectric layers.

在本發明內容中,為了描述的容易,“排”用於指沿著垂直方向的 實質上相同的高度的元件。例如,字元線和底層閘極介電層可被稱為“排”,字元線和底層絕緣層可一起被稱為“排”,實質上相同的高度的字元線可被稱為“一排字元線”或類似術語等。 In this summary, for ease of description, "row" is used to refer to a row along a vertical direction Elements of substantially the same height. For example, wordlines and underlying gate dielectric layers may be referred to as "rows," wordlines and underlying insulating layers may be collectively referred to as "rows," and wordlines of substantially the same height may be referred to as "rows" A line of word lines" or similar terms, etc.

如在本文使用的,術語“名義上(標稱上)/名義上(標稱上)地”指在產品或過程的設計階段期間設置的元件或過程步驟的特性或參數的期望或目標值,連同高於和/或低於期望值的值的範圍。值的範圍可能是由於在製造製程或容限中的輕微變化。如在本文使用的,術語“大約”指示可以基於與主題半導體設備相關聯的特定技術節點而變化的給定量的值。基於特定技術節點,術語“大約”可以指示在例如值的10-30%(例如,值的±10%、±20%或±30%)內變化的給定量的值。 As used herein, the term "nominal (nominal)/nominal (nominal)" refers to a desired or target value of a characteristic or parameter of an element or process step set during the design phase of a product or process, Along with a range of values above and/or below the desired value. The range of values may be due to slight variations in manufacturing process or tolerances. As used herein, the term "about" indicates a given amount of value that may vary based on the particular technology node associated with the subject semiconductor device. Based on the particular technology node, the term "about" may indicate a given amount of value that varies, eg, within 10-30% of the value (eg, ±10%, ±20%, or ±30% of the value).

如本文所使用的,術語“標稱/標稱地”是指在產品或製程的設計階段期間設置的用於元件或製程步驟的特性或參數的期望或目標值,以及高於和/或低於期望值的值的範圍。值的範圍可以是由於製造製程或容限中的輕微變化導致的。如本文使用的,術語“大約”指示可以基於與主題半導體元件相關聯的特定技術節點而變化的給定量的值。基於特定技術節點,術語“大約”可以指示給定量的值,其例如在值的10%-30%(例如,值的±10%、±20%或±30%)中變化。 As used herein, the term "nominal/nominal" refers to a desired or target value for a characteristic or parameter of a component or process step set during the design phase of a product or process, and higher and/or lower The range of values to expect. The range of values may be due to slight variations in the manufacturing process or tolerances. As used herein, the term "about" indicates a given amount of value that may vary based on the particular technology node associated with the subject semiconductor element. Based on a particular technology node, the term "about" may indicate a given amount of value, which varies, for example, within 10%-30% of the value (eg, ±10%, ±20%, or ±30% of the value).

在本發明內容中,術語“水平/水平地/橫向/橫向地”意指名義上平行於基底的橫向表面,以及術語“垂直”或“垂直地”意指名義上垂直於基底的橫向表面。 In this context, the term "horizontal/horizontal/lateral/laterally" means nominally parallel to the lateral surface of the substrate, and the term "vertical" or "vertically" means nominally perpendicular to the lateral surface of the base.

如在本文使用的,術語“3D記憶體”指具有在橫向定向的基底上的 記憶體單元電晶體的垂直定向的串(在本文被稱為“記憶體串”,例如NAND串)的立體(3D)半導體設備,使得記憶體串在相對於基底的垂直方向上延伸。 As used herein, the term "3D memory" refers to a A three-dimensional (3D) semiconductor device of vertically oriented strings of memory cell transistors (referred to herein as "memory strings", eg, NAND strings) such that the memory strings extend in a vertical direction relative to the substrate.

上文的公開內容,提供了用於實施所提供的主題的不同特徵的多個不同實施例或示例。上文描述了元件和佈置的具體示例以簡化本發明。當然,這些只是示例,並非意在構成限制。例如,上文的描述當中出現的在第二特徵上或之上形成第一特徵,可以包括所述第一特徵和第二特徵是可以直接接觸的特徵的實施例,並且還可以包括可以在所述第一特徵和第二特徵之間形成額外的特徵、進而使得所述第一特徵和第二特徵不直接接觸的實施例。此外,本發明可以在各個示例中重複使用作為附圖標記的數位元和/或字母。這種重複的目的是為了簡化和清楚的目的,並且本身不指示所討論的在各種實施例和/或配置之間的關係。 The foregoing disclosure provides various embodiments or examples for implementing various features of the presented subject matter. Specific examples of elements and arrangements are described above to simplify the disclosure. Of course, these are examples only and are not intended to be limiting. For example, references in the description above to form a first feature on or over a second feature may include embodiments in which the first and second features are directly contactable features, and may also include Embodiments in which an additional feature is formed between the first feature and the second feature, so that the first feature and the second feature are not in direct contact. Furthermore, the present invention may reuse digits and/or letters as reference numerals in the various examples. This repetition is for the purpose of simplicity and clarity, and does not in itself indicate the relationship between the various embodiments and/or configurations discussed.

前述對具體的實施例的描述內容將如此揭露本發明內容的一般本質,以使得其他人透過應用本技術領域的知識可以輕鬆地修改和/或適配這樣的具體實施例的各種應用,而沒有過多的實驗,並且不脫離本發明內容的一般概念。因此,基於本文中呈現的教導和指南,這樣的適配和修改旨在落在所公開的實施例的等價項的意義和範圍內。應當理解,本文中的片語或者術語是出於描述而非限制的目的的,以使得本說明書的術語或者片語將由技術人員根據所述教導和指南來解釋。 The foregoing description of specific embodiments will so disclose the general nature of this disclosure that others, by applying knowledge of the art, can readily modify and/or adapt such specific embodiments to various applications without Excessive experimentation without departing from the general concept of this disclosure. Therefore, such adaptations and modifications are intended to fall within the meaning and range of equivalents of the disclosed embodiments, based on the teachings and guidelines presented herein. It is to be understood that the phrases or terms herein are for the purpose of description and not of limitation so that the terms or phrases of this specification will be construed by the skilled artisan in light of the teachings and guidelines.

特定實施方式的前述描述將如此揭露其他人透過應用在本領域的技術內的知識可以為各種應用容易修改和/或改編這樣的特定實施方式的本發明內容的一般性質,而不偏離本發明內容的一般概念。因此,基於在本文提出的教 導和指導,這樣的改編和修改被規定為在所公開的實施方式的等同物的含義和範圍內。應理解,本文的用語或術語是為了描述而不是限制的目的,使得本說明書的術語或用語應由技術人員按照教導和指導來解釋。 The foregoing description of specific embodiments will thus disclose the general nature of the disclosure of such specific embodiments by others, by applying knowledge within the skill in the art, to the ease with which such specific embodiments may be modified and/or adapted for various applications without departing from this disclosure. general concept. Therefore, based on the teachings presented in this paper guidance and guidance, such adaptations and modifications are intended to be within the meaning and scope of equivalents of the disclosed embodiments. It is to be understood that the phraseology or terminology herein is for the purpose of description and not limitation so that the terminology or phraseology of this specification should be interpreted by a skilled artisan in accordance with the teaching and guidance.

上面借助於說明所指定的功能及其關係的實現方式的功能構建塊描述了本發明內容的實施方式。為了描述的方便,這些功能構建塊的界限在本文被任意限定。可限定可選的界限,只要所指定的功能及其關係被適當地執行。 Embodiments of the present disclosure have been described above with the aid of functional building blocks that illustrate the implementation of the specified functions and relationships thereof. The boundaries of these functional building blocks are arbitrarily defined herein for convenience of description. Alternate boundaries may be defined so long as the specified functions and relationships thereof are properly performed.

概述和摘要章節可闡述如發明人設想的本發明內容的一個或多個但不是全部示例性實施方式,且因此並不意欲以任何方式限制本發明內容和所附申請專利範圍。 The Summary and Abstract sections may set forth one or more, but not all, exemplary embodiments of the present disclosure as contemplated by the inventors, and are therefore not intended to limit the disclosure and the scope of the appended claims in any way.

本發明內容的廣度和範圍不應由上面所述的示例性實施方式中的任一者限制,但應僅根據所附的申請專利範圍及其等效物被限定。 The breadth and scope of this disclosure should not be limited by any of the above-described exemplary embodiments, but should be limited only in accordance with the appended claims and their equivalents.

儘管在本說明書中透過使用具體實施例描述了本發明的原理和實施方式,但是前文對實施例的描述僅意在輔助對本發明的理解。此外,可以對前述不同實施例的特徵進行組合,以形成額外的實施例。本領域普通技術人員可以根據本發明的思路對所述的具體實施方式和應用範圍做出修改。因而,不應將說明書的內容理解成是對本發明的限制。 While the principles and implementations of the invention have been described in this specification by using specific examples, the foregoing description of the examples is intended only to aid in an understanding of the invention. Additionally, the features of the various foregoing embodiments may be combined to form additional embodiments. Those skilled in the art can make modifications to the described specific implementation manner and application scope according to the idea of the present invention. Therefore, the contents of the specification should not be construed as limiting the present invention.

特定實施方式的前述描述將如此揭露其它人透過應用在本領域的技術內的知識可以在沒有過度實驗的情況下為各種應用容易修改和/或改編這樣的特定實施方式的本發明內容的一般性質,而不偏離本發明內容的一般概念。因 此,基於在本文提出的教導和指導,這樣的改編和修改被規定為在所公開的實施方式的等同物的含義和範圍內。應理解,本文的用語或術語是為了描述而不是限制的目的,使得本說明書的術語或用語應由技術人員按照教導和指導來解釋。 The foregoing description of specific embodiments will thus disclose the general nature of the present disclosure of such specific embodiments that others, by applying knowledge within the skill in the art, may readily modify and/or adapt for various applications without undue experimentation. , without departing from the general concept of the present disclosure. because Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not limitation so that the terminology or phraseology of this specification should be interpreted by a skilled artisan in accordance with the teaching and guidance.

上面借助於說明所指定的功能及其關係的實現的功能構建塊描述了本發明內容的實施方式。為了描述的方便,這些功能構建塊的界限在本文被任意限定。可限定可選的界限,只要所指定的功能及其關係被適當地執行。 Embodiments of the present disclosure have been described above with the aid of functional building blocks that illustrate the implementation of the specified functions and relationships thereof. The boundaries of these functional building blocks are arbitrarily defined herein for convenience of description. Alternate boundaries may be defined so long as the specified functions and relationships thereof are properly performed.

概述和摘要章節可闡述如發明人設想的本發明內容的一個或多個但不是全部示例性實施方式,且因此並不意欲以任何方式限制本發明內容和所附申請專利範圍。 The Summary and Abstract sections may set forth one or more, but not all, exemplary embodiments of the present disclosure as contemplated by the inventors, and are therefore not intended to limit the disclosure and the scope of the appended claims in any way.

本發明內容的廣度和範圍不應由上面所述的示例性實施方式中的任一個限制,但應僅根據接下來的申請專利範圍及其等同物被限定。 The breadth and scope of this disclosure should not be limited by any of the above-described exemplary embodiments, but should be limited only in accordance with the scope of the following claims and their equivalents.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above descriptions are only preferred embodiments of the present invention, and all equivalent changes and modifications made according to the scope of the patent application of the present invention shall fall within the scope of the present invention.

100:3D記憶體元件 100: 3D Memory Components

101:元件區域 101: Component area

102:介電層 102: Dielectric layer

104:多晶矽層 104: polysilicon layer

105:上子層 105: Upper Sublayer

106:記憶體堆疊層 106: Memory stack layer

107:多晶矽層 107: Polysilicon Layer

108:堆疊層導電層 108: Stacked conductive layers

109:子層 109: Sublayer

110:堆疊層介電層 110: Stacked Dielectric Layers

112:通道結構 112: Channel Structure

114:記憶體膜 114: Memory film

116:半導體通道 116: Semiconductor channel

118:上覆層 118: Overlay

120:通道插塞 120: channel plug

122:平行狹縫結構 122: Parallel slit structure

124:閘極介電層 124: gate dielectric layer

126:絕緣體核心 126: Insulator Core

128:源極接觸結構 128: source contact structure

130:互連層 130: Interconnect layer

132:矽化物層 132: silicide layer

Claims (20)

一種用於形成立體(3D)記憶體元件的方法,包括:在一基底之上依次形成一第一多晶矽層、一介電犧牲層、一第二多晶矽層和一介電堆疊層;形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道結構;形成(i)垂直延伸穿過所述介電堆疊層和所述第二多晶矽層,並垂直延伸進入到所述介電犧牲層中或垂直延伸穿過所述介電犧牲層以曝露所述介電犧牲層的一部分的一開口,以及形成(ii)沿著所述開口的一側壁的一部分的一多晶矽間隔體;以及透過所述開口,利用在所述第一多晶矽層和所述第二多晶矽層之間的一第三多晶矽層替換所述介電犧牲層。 A method for forming a three-dimensional (3D) memory device, comprising: sequentially forming a first polysilicon layer, a dielectric sacrificial layer, a second polysilicon layer and a dielectric stack layer on a substrate forming a channel structure extending vertically through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the first polysilicon layer; forming (i) vertical extending through the dielectric stack layer and the second polysilicon layer and vertically into the dielectric sacrificial layer or vertically through the dielectric sacrificial layer to expose the dielectric sacrificial layer an opening of a portion of the opening, and forming (ii) a polysilicon spacer along a portion of a sidewall of the opening; and through the opening, utilizing the first polysilicon layer and the second polysilicon A third polysilicon layer between the silicon layers replaces the dielectric sacrificial layer. 根據請求項1所述的方法,其中,形成所述開口和所述多晶矽間隔體包括:形成垂直延伸穿過所述介電堆疊層並進入到所述第二多晶矽層中的所述開口;沿著所述開口的所述側壁形成所述多晶矽間隔體;以及使所述開口進一步延伸穿過所述第二多晶矽層,並進入到所述介電犧牲層中或穿過所述介電犧牲層。 The method of claim 1, wherein forming the openings and the polysilicon spacers comprises forming the openings extending vertically through the dielectric stack and into the second polysilicon layer forming the polysilicon spacers along the sidewalls of the openings; and extending the openings further through the second polysilicon layer and into the dielectric sacrificial layer or through the Dielectric sacrificial layer. 根據請求項1所述的方法,其中,所述多晶矽間隔體鄰接所述介電堆疊層而不鄰接所述介電犧牲層。 The method of claim 1, wherein the polysilicon spacer adjoins the dielectric stack layer but not the dielectric sacrificial layer. 根據請求項1所述的方法,還包括:在利用所述第三多晶矽層替換所述介電層之後,透過所述開口,利用一記憶體堆疊層替換所述介電堆疊層。 The method of claim 1, further comprising: after replacing the dielectric layer with the third polysilicon layer, replacing the dielectric stack layer with a memory stack layer through the opening. 根據請求項4所述的方法,還包括:在利用所述記憶體堆疊層替換所述介電堆疊層之後,在所述開口中形成一狹縫結構。 The method of claim 4, further comprising: forming a slit structure in the opening after replacing the dielectric stack layer with the memory stack layer. 根據請求項1所述的方法,其中,形成所述介電犧牲層包括依次沉積一第一氧化矽層、一氮化矽層和一第二氧化矽層。 The method of claim 1, wherein forming the dielectric sacrificial layer comprises sequentially depositing a first silicon oxide layer, a silicon nitride layer and a second silicon oxide layer. 根據請求項1所述的方法,其中,形成所述介電犧牲層包括沉積單層氧化矽層。 The method of claim 1, wherein forming the dielectric sacrificial layer comprises depositing a single layer of silicon oxide. 根據請求項1所述的方法,其中,形成所述通道結構包括:形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道孔;以及沿著所述通道孔的側壁依次形成記憶體膜和半導體通道。 The method of claim 1, wherein forming the channel structure comprises forming a vertical extension through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the dielectric layer a via hole in the first polysilicon layer; and a memory film and a semiconductor via are sequentially formed along sidewalls of the via hole. 根據請求項8所述的方法,其中,利用所述第三多晶矽層替換所述介電犧牲層包括:透過所述開口移除所述介電犧牲層,以形成在所述第一多晶矽層和所述第二多晶矽層之間的一空腔;透過所述開口移除所述記憶體膜的一部分,以曝露沿著所述通道孔的所述側壁的所述半導體通道的一部分;以及 透過所述開口將一多晶矽材料沉積到所述空腔中,以形成所述第三多晶矽層。 The method of claim 8, wherein replacing the dielectric sacrificial layer with the third polysilicon layer comprises removing the dielectric sacrificial layer through the opening to form the first polysilicon layer on the first polysilicon layer. a cavity between the crystalline silicon layer and the second polysilicon layer; removing a portion of the memory film through the opening to expose a portion of the semiconductor channel along the sidewall of the via hole part; and A polysilicon material is deposited into the cavity through the opening to form the third polysilicon layer. 根據請求項1所述的方法,其中,所述第一多晶矽層、所述第二多晶矽層和所述第三多晶矽層中的至少一者摻雜有N型摻雜物,並且所述方法還包括:在所述第一多晶矽層、所述第二多晶矽層和所述第三多晶矽層中擴散所述N型摻雜物。 The method of claim 1, wherein at least one of the first polysilicon layer, the second polysilicon layer, and the third polysilicon layer is doped with an N-type dopant , and the method further includes: diffusing the N-type dopant in the first polysilicon layer, the second polysilicon layer and the third polysilicon layer. 一種用於形成立體(3D)記憶體元件的方法,包括:在一基底的一第一側處依次形成一停止層、一介電層、一第一多晶矽層、一介電犧牲層、一第二多晶矽層和一介電堆疊層;形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層,並進入到所述第一多晶矽層中的一通道結構;形成垂直延伸穿過所述介電堆疊層和所述第二多晶矽層並垂直延伸進入到所述介電犧牲層中或穿過所述介電犧牲層,以曝露所述介電犧牲層的一部分的一開口;透過所述開口,利用在所述第一多晶矽層和所述第二多晶矽層之間的一第三多晶矽層替換所述介電犧牲層;從與所述基底的所述第一側相對的一第二側移除所述基底,在所述停止層處停止;形成垂直延伸穿過所述停止層和所述介電層,以曝露所述第一多晶矽層的一部分的一源極接觸開口;以及同時形成在所述源極接觸開口中的一源極接觸結構,和連接到所述源極接觸結構的一互連層。 A method for forming a three-dimensional (3D) memory device, comprising: sequentially forming a stop layer, a dielectric layer, a first polysilicon layer, a dielectric sacrificial layer, a dielectric layer at a first side of a substrate, a second polysilicon layer and a dielectric stack layer; forming vertically extending through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the first polysilicon layer a channel structure in the crystalline silicon layer; forming vertically extending through the dielectric stack layer and the second polysilicon layer and extending vertically into or through the dielectric sacrificial layer , to expose an opening of a portion of the dielectric sacrificial layer; through the opening, replace with a third polysilicon layer between the first polysilicon layer and the second polysilicon layer the dielectric sacrificial layer; removing the substrate from a second side opposite the first side of the substrate, stopping at the stop layer; forming a vertical extension through the stop layer and the a dielectric layer to expose a source contact opening of a portion of the first polysilicon layer; and a source contact structure simultaneously formed in the source contact opening and connected to the source contact structure an interconnect layer. 根據請求項11所述的方法,其中,同時形成所述源極接觸結構和所述互連層包括:在與所述第一多晶矽層的一曝露部分接觸的所述源極接觸開口中,形成一矽化物層;以及移除所述停止層以曝露所述介電層;以及將一金屬層沉積到所述源極接觸開口中和所述介電層上。 The method of claim 11, wherein simultaneously forming the source contact structure and the interconnect layer comprises: in the source contact opening in contact with an exposed portion of the first polysilicon layer , forming a silicide layer; and removing the stop layer to expose the dielectric layer; and depositing a metal layer into the source contact opening and on the dielectric layer. 根據請求項11所述的方法,其中,依次形成所述停止層和所述介電層包括:在所述基底上依次沉積一第一氧化矽層、一第一氮化矽層和一第二氧化矽層。 The method of claim 11, wherein forming the stop layer and the dielectric layer sequentially comprises: depositing a first silicon oxide layer, a first silicon nitride layer and a second silicon oxide layer on the substrate in sequence Silicon oxide layer. 根據請求項11所述的方法,其中,形成所述介電犧牲層包括:依次沉積一第三氧化矽層、一第二氮化矽層和一第四氧化矽層。 The method of claim 11, wherein forming the dielectric sacrificial layer comprises sequentially depositing a third silicon oxide layer, a second silicon nitride layer and a fourth silicon oxide layer. 根據請求項11所述的方法,其中,形成所述介電犧牲層包括沉積一單層氧化矽層。 The method of claim 11, wherein forming the dielectric sacrificial layer comprises depositing a single layer of silicon oxide. 根據請求項11所述的方法,其中,形成所述開口包括:形成垂直延伸穿過所述介電堆疊層並進入到所述第二多晶矽層中的所述開口;沿著所述開口的一側壁形成一多晶矽間隔體;以及使所述開口進一步延伸穿過所述第二多晶矽層並進入到所述介電犧牲層中或穿過所述介電犧牲層。 The method of claim 11, wherein forming the opening comprises: forming the opening extending vertically through the dielectric stack and into the second polysilicon layer; along the opening A polysilicon spacer is formed on a sidewall of the second polysilicon layer; and the opening further extends through the second polysilicon layer and into or through the dielectric sacrificial layer. 根據請求項11所述的方法,還包括:在利用所述第三多晶矽層替換所述介電層之後,透過所述開口利用一記憶體堆疊層替換所述介電堆疊層。 The method of claim 11, further comprising: after replacing the dielectric layer with the third polysilicon layer, replacing the dielectric stack layer with a memory stack layer through the opening. 根據請求項17所述的方法,還包括:在利用所述記憶體堆疊層替換所述介電堆疊層之後,在所述開口中形成一絕緣結構。 The method of claim 17, further comprising: forming an insulating structure in the opening after replacing the dielectric stack layer with the memory stack layer. 根據請求項11所述的方法,其中,形成所述通道結構包括:形成垂直延伸穿過所述介電堆疊層、所述第二多晶矽層和所述介電犧牲層並進入到所述第一多晶矽層中的一通道孔;以及沿著所述通道孔的一側壁依次形成一記憶體膜和一半導體通道。 The method of claim 11, wherein forming the channel structure comprises forming a vertical extension through the dielectric stack layer, the second polysilicon layer and the dielectric sacrificial layer and into the dielectric layer a channel hole in the first polysilicon layer; and a memory film and a semiconductor channel are sequentially formed along a sidewall of the channel hole. 根據請求項19所述的方法,其中,利用所述第三多晶矽層替換所述介電犧牲層包括:透過所述開口移除所述介電犧牲層,以形成在所述第一多晶矽層和所述第二多晶矽層之間的一空腔;透過所述開口移除所述記憶體膜的一部分,以曝露沿著所述通道孔的所述側壁的所述半導體通道的一部分;以及透過所述開口,將一多晶矽材料沉積到所述空腔中,以形成所述第三多晶矽層。 The method of claim 19, wherein replacing the dielectric sacrificial layer with the third polysilicon layer comprises removing the dielectric sacrificial layer through the opening to form the first polysilicon layer on the first polysilicon layer. a cavity between the crystalline silicon layer and the second polysilicon layer; removing a portion of the memory film through the opening to expose a portion of the semiconductor channel along the sidewall of the via hole and depositing a polysilicon material into the cavity through the opening to form the third polysilicon layer.
TW110101362A 2020-11-17 2021-01-14 Method for forming three-dimensional memory device TWI773086B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2020/129331 2020-11-17
PCT/CN2020/129331 WO2021208418A1 (en) 2020-04-14 2020-11-17 Three-dimensional memory devices and methods for forming the same

Publications (2)

Publication Number Publication Date
TW202221908A TW202221908A (en) 2022-06-01
TWI773086B true TWI773086B (en) 2022-08-01

Family

ID=83062491

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101362A TWI773086B (en) 2020-11-17 2021-01-14 Method for forming three-dimensional memory device

Country Status (1)

Country Link
TW (1) TWI773086B (en)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150076584A1 (en) * 2013-09-17 2015-03-19 Jayavel Pachamuthu High aspect ratio memory hole channel contact formation
US20180226426A1 (en) * 2017-02-08 2018-08-09 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
TWI648843B (en) * 2012-03-29 2019-01-21 賽普拉斯半導體公司 Method of manufacturing semiconductor component, memory component, and memory system
TWI652805B (en) * 2017-05-17 2019-03-01 旺宏電子股份有限公司 Method for manufacturing 3d memory and resulting structures
TWI690097B (en) * 2015-04-20 2020-04-01 美商蘭姆研究公司 Dry plasma etch method to pattern mram stack
US20200258895A1 (en) * 2018-12-21 2020-08-13 Sien (Qingdao) Integrated Circuits Co., Ltd 3-dimensional junction semiconductor memory device and fabrication method thereof
TWI704679B (en) * 2018-10-11 2020-09-11 大陸商長江存儲科技有限責任公司 Vertical memory device and method
TWI706547B (en) * 2019-08-29 2020-10-01 大陸商長江存儲科技有限責任公司 Stereo memory and manufacturing method thereof
US20200312867A1 (en) * 2019-03-29 2020-10-01 Yangtze Memory Technologies Co., Ltd. Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same
TWI707459B (en) * 2019-03-04 2020-10-11 大陸商長江存儲科技有限責任公司 Method for forming a 3-dimensional memory device

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI648843B (en) * 2012-03-29 2019-01-21 賽普拉斯半導體公司 Method of manufacturing semiconductor component, memory component, and memory system
US20150076584A1 (en) * 2013-09-17 2015-03-19 Jayavel Pachamuthu High aspect ratio memory hole channel contact formation
TWI690097B (en) * 2015-04-20 2020-04-01 美商蘭姆研究公司 Dry plasma etch method to pattern mram stack
US20180226426A1 (en) * 2017-02-08 2018-08-09 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
TWI652805B (en) * 2017-05-17 2019-03-01 旺宏電子股份有限公司 Method for manufacturing 3d memory and resulting structures
TWI704679B (en) * 2018-10-11 2020-09-11 大陸商長江存儲科技有限責任公司 Vertical memory device and method
US20200258895A1 (en) * 2018-12-21 2020-08-13 Sien (Qingdao) Integrated Circuits Co., Ltd 3-dimensional junction semiconductor memory device and fabrication method thereof
TWI707459B (en) * 2019-03-04 2020-10-11 大陸商長江存儲科技有限責任公司 Method for forming a 3-dimensional memory device
US20200312867A1 (en) * 2019-03-29 2020-10-01 Yangtze Memory Technologies Co., Ltd. Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same
TWI706547B (en) * 2019-08-29 2020-10-01 大陸商長江存儲科技有限責任公司 Stereo memory and manufacturing method thereof

Also Published As

Publication number Publication date
TW202221908A (en) 2022-06-01

Similar Documents

Publication Publication Date Title
US20210391315A1 (en) Three-dimensional memory devices
TW202139437A (en) 3d memory device with back source contact
TWI793427B (en) Three-dimensional memory device and method for forming the same
TWI756737B (en) Method for forming three-dimensional memory device
US11393844B2 (en) Methods for forming three-dimensional memory devices
US11158622B1 (en) Three-dimensional memory devices
US11963349B2 (en) Methods for forming three-dimensional memory devices with backside source contacts
TW202145522A (en) Three-dimensional memory device
CN111801802B (en) Three-dimensional memory device
US20210375915A1 (en) Three-dimensional memory devices
TWI809312B (en) Semiconductor device and method of forming the same
CN112437983B (en) Three-dimensional memory device and method for forming three-dimensional memory device
TWI773086B (en) Method for forming three-dimensional memory device
TW202203434A (en) Method for forming three-dimension memory device
TW202141758A (en) Three-dimensional memory device and method for forming the same
TWI756745B (en) Methods for forming three-dimensional memory devices
TWI746071B (en) Three-dimensional memory devices
US11488977B2 (en) Three-dimensional memory devices and methods for forming the same
WO2021208195A1 (en) Methods for forming three-dimensional memory devices
TWI746228B (en) Three-dimensional memory devices and method for forming the same
CN113366638B (en) Three-dimensional memory device and method for forming the same
TWI779331B (en) Three-dimension memory device
US20210320115A1 (en) Three-dimensional memory devices and methods for forming the same
US11877448B2 (en) Methods for forming three-dimensional memory devices
WO2021208337A1 (en) Three-dimensional memory devices and methods for forming the same