TWI770425B - Method of manufacturing semiconductor device and system for manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device and system for manufacturing semiconductor device Download PDF

Info

Publication number
TWI770425B
TWI770425B TW108135327A TW108135327A TWI770425B TW I770425 B TWI770425 B TW I770425B TW 108135327 A TW108135327 A TW 108135327A TW 108135327 A TW108135327 A TW 108135327A TW I770425 B TWI770425 B TW I770425B
Authority
TW
Taiwan
Prior art keywords
pattern
level
metallization
layout
layer
Prior art date
Application number
TW108135327A
Other languages
Chinese (zh)
Other versions
TW202032262A (en
Inventor
彭士瑋
曾健庭
林威呈
楊登傑
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/558,214 external-priority patent/US11232248B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202032262A publication Critical patent/TW202032262A/en
Application granted granted Critical
Publication of TWI770425B publication Critical patent/TWI770425B/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/12Sizing, e.g. of transistors or gates

Abstract

A method of manufacturing a semiconductor device includes, for a layout diagram stored on a non-transitory computer-readable medium, generating the layout diagram including: selecting a candidate pattern in the layout diagram, the candidate pattern being a first conductive pattern in the M_2nd level (first M_2nd pattern) or a first conductive pattern in the M_1st level (first M_1st pattern); determining that the candidate pattern satisfies one or more criteria; and changing a size of the candidate pattern thereby revising the layout diagram. A system for manufacturing a semiconductor device is disclosed herein.

Description

製造半導體元件之方法及用於製造半導 體元件之系統 Method for manufacturing semiconductor element and for manufacturing semiconductor system of body components

本揭示文件是關於一種製造方法及系統,特別是關於製造半導體元件之方法及用於製造半導體元件之系統。 This disclosure relates to a manufacturing method and system, and more particularly, to a method for manufacturing a semiconductor device and a system for manufacturing a semiconductor device.

積體電路(「IC」)包括一或多個半導體元件。表示半導體元件之一種方式是藉由稱作佈局圖之平面圖。在設計規則的上下文中產生佈局圖。一組設計規則對佈局圖中之對應圖案的置放加以約束,例如,地理/空間限制、連接限制或其類似者。時常設計規則之集合包括與相鄰或鄰接單元中之圖案之間的間距及其他相互作用有關的設計規則子集,其中圖案表示金屬化之層中的導體。 An integrated circuit ("IC") includes one or more semiconductor elements. One way of representing semiconductor elements is through a plan view called a layout. Layout diagrams are generated in the context of design rules. A set of design rules constrains the placement of corresponding patterns in the layout, eg, geographic/spatial constraints, connection constraints, or the like. Oftentimes the set of design rules includes a subset of design rules related to spacing and other interactions between patterns in adjacent or adjacent cells, where the patterns represent conductors in layers of metallization.

通常,設計規則之集合特定用於製程技術節點,藉由此處理技術節點將基於佈局圖製造半導體元件。設計規則集合補償對應製程技術節點的可變性。此補償增加了由佈局圖產生之實際半導體元件將為佈局圖所基於之虛擬元件之可接受對應物的可能性。 Typically, a set of design rules is specific to the process technology node by which the semiconductor device will be fabricated based on the layout. The set of design rules compensates for the variability of the corresponding process technology node. This compensation increases the likelihood that the actual semiconductor elements generated from the layout will be acceptable counterparts to the virtual elements on which the layout is based.

本揭示案之實施例是關於一種製造半導體元件之方法,包括以下步驟。對於儲存於一非暫時性電腦可讀媒體上之一佈局圖而言,一半導體元件是基於該佈局圖,該佈局圖包括一第一金屬化層級及上覆該第一金屬化層級之一第二金屬化層級以及該第一金屬化層級與該第二金屬化層級之間的一第一互連層級,該佈局圖對應於該半導體元件中的一第一金屬化層、上覆該第一金屬化層之一第二金屬化層以及該第一金屬化層與該第二金屬化層之間的一第一互連層,產生該佈局圖,包括以下步驟。選定該佈局圖中之一候選圖案,該候選圖案為該第二金屬化層級中之一第一導電圖案或該第一金屬化層級中之一第一導電圖案;決定該候選圖案滿足一或多個準則;以及至少減小該候選圖案之一大小,藉此修訂該佈局圖。 Embodiments of the present disclosure relate to a method of fabricating a semiconductor device, including the following steps. For a layout stored on a non-transitory computer-readable medium, a semiconductor device is based on the layout including a first metallization level and a first metallization level overlying the first metallization level Two metallization levels and a first interconnection level between the first metallization level and the second metallization level, the layout corresponds to a first metallization layer in the semiconductor device overlying the first metallization level A second metallization layer of the metallization layers and a first interconnection layer between the first metallization layer and the second metallization layer to generate the layout diagram, including the following steps. selecting a candidate pattern in the layout, the candidate pattern being a first conductive pattern in the second metallization level or a first conductive pattern in the first metallization level; determining that the candidate pattern satisfies one or more and reducing the size of at least one of the candidate patterns, thereby revising the layout.

本揭示案之實施例是關於一種用於製造半導體元件之系統,包括至少一個處理器;以及至少一個記憶體,其包括用於一或多個程式之電腦程式碼;其中該至少一個記憶體、該電腦程式碼及該至少一個處理器用以使該系統執行以下步驟。對於儲存於一非暫時性電腦可讀媒體上之一佈局圖而言,該半導體元件是基於該佈局圖,該佈局圖包括一第一金屬化層級及上覆該第一金屬化層級之一第二金屬化層級以及該第一金屬化層級與該第二金屬化層級之間的一第一互連層級,該佈局圖對應於該半導體元件中的一第一金屬化層及上覆該第一金屬化層之一第二金屬化層以及該第一金屬化層與該第二金屬化層之間的一第一互連層,產生該佈 局圖,包括以下步驟。選定該佈局圖中之一候選圖案,該候選圖案為該第二金屬化層級中之一第一導電圖案或該第一金屬化層級中之一第一導電圖案;決定該候選圖案滿足一或多個準則;以及改變該候選圖案之一大小,藉此修訂該佈局圖;其中該佈局圖進一步包括一電晶體層級,該電晶體層級對應於該半導體元件中之一電晶體層;以及在該第一金屬化層級與該電晶體層之間無金屬化層級。 Embodiments of the present disclosure relate to a system for fabricating a semiconductor device, comprising at least one processor; and at least one memory including computer code for one or more programs; wherein the at least one memory, The computer code and the at least one processor are used to cause the system to perform the following steps. For a layout stored on a non-transitory computer-readable medium, the semiconductor device is based on the layout including a first metallization level and a first metallization level overlying the first metallization level Two metallization levels and a first interconnection level between the first metallization level and the second metallization level, the layout corresponds to a first metallization layer in the semiconductor device and overlying the first metallization level A second metallization layer of the metallization layer and a first interconnection layer between the first metallization layer and the second metallization layer, resulting in the cloth The layout diagram includes the following steps. selecting a candidate pattern in the layout, the candidate pattern being a first conductive pattern in the second metallization level or a first conductive pattern in the first metallization level; determining that the candidate pattern satisfies one or more and changing a size of the candidate pattern, thereby revising the layout; wherein the layout further includes a transistor level, the transistor level corresponding to a transistor layer in the semiconductor device; and in the first There is no metallization level between a metallization level and the transistor layer.

本揭示案之實施例是關於一種製造半導體元件之方法,包含以下步驟。對於儲存於一非暫時性電腦可讀媒體上之一佈局圖而言,該半導體元件是基於該佈局圖,該佈局圖包括一第一金屬化層級及上覆該第一金屬化層級之一第二金屬化層級以及該第一金屬化層級與該第二金屬化層級之間的一第一互連層級,該佈局圖對應於該半導體元件中的一第一金屬化層及上覆該第一金屬化層之一第二金屬化層以及該第一金屬化層與該第二金屬化層之間的一第一互連層,產生該佈局圖,包含以下步驟。選定該佈局圖中之一候選圖案,該候選圖案為該第一金屬化層級中之一第一導電圖案;決定該候選圖案滿足一或多個準則;以及增大該候選圖案之一大小,藉以修訂該佈局圖。 Embodiments of the present disclosure relate to a method of fabricating a semiconductor device, including the following steps. For a layout stored on a non-transitory computer-readable medium, the semiconductor device is based on the layout including a first metallization level and a first metallization level overlying the first metallization level Two metallization levels and a first interconnection level between the first metallization level and the second metallization level, the layout corresponds to a first metallization layer in the semiconductor device and overlying the first metallization level A second metallization layer of the metallization layer and a first interconnection layer between the first metallization layer and the second metallization layer, generating the layout diagram, includes the following steps. selecting a candidate pattern in the layout, the candidate pattern being a first conductive pattern in the first metallization level; determining that the candidate pattern satisfies one or more criteria; and increasing a size of the candidate pattern, thereby Revise the layout.

100:半導體元件 100: Semiconductor Components

101:電路巨集 101: Circuit Macros

102:列 102: Columns

104:單元區域 104: Unit area

200A:佈局圖 200A: Layout Diagram

200B:佈局圖 200B: Layout Diagram

200C:佈局圖 200C: Layout Diagram

200D:佈局圖 200D: Layout Diagram

200E:佈局圖 200E: Layout Diagram

200F:佈局圖 200F: Layout Diagram

202:列 202: Column

203N:子列 203N: Subcolumn

203P:子列 203P: Subcolumn

204(1)(A):單元 204(1)(A): Unit

204(1)(B):單元 204(1)(B): Unit

204(2)(C):單元 204(2)(C): Unit

204(2)(D):單元 204(2)(D): Unit

204(3)(E):單元 204(3)(E): Unit

204(3)(F):單元 204(3)(F): Unit

208N:主動區域圖案 208N: Active area pattern

208P:主要區域圖案 208P: Main area pattern

210(1):MD圖案 210(1): MD pattern

210(2):MD圖案 210(2): MD Pattern

210(3):MD圖案 210(3): MD pattern

210(4):MD圖案 210(4): MD Pattern

210(5):MD圖案 210(5): MD Pattern

210(6):MD圖案 210(6): MD Pattern

210(7):MD圖案 210(7): MD Pattern

210(8):MD圖案 210(8): MD Pattern

210(9):MD圖案 210(9): MD Pattern

210(10):MD圖案 210(10): MD Pattern

210(11):MD圖案 210(11): MD Pattern

210(12):MD圖案 210(12): MD Pattern

210(13):MD圖案 210(13): MD Pattern

210(14):MD圖案 210(14): MD Pattern

210(15):MD圖案 210(15): MD Pattern

210(16):MD圖案 210(16): MD Pattern

210(17):MD圖案 210(17): MD Pattern

210(18):MD圖案 210(18): MD Pattern

210(19):MD圖案 210(19): MD Pattern

210(20):MD圖案 210(20): MD Pattern

212(1):閘極圖案 212(1): Gate pattern

212(2):閘極圖案 212(2): Gate pattern

212(3):閘極圖案 212(3): Gate pattern

212(4):閘極圖案 212(4): Gate pattern

212(5):閘極圖案 212(5): Gate pattern

212(6):閘極圖案 212(6): Gate pattern

214(1):閘極圖案 214(1): Gate pattern

214(2):閘極圖案 214(2): Gate pattern

214(3):閘極圖案 214(3): Gate pattern

214(4):閘極圖案 214(4): Gate pattern

214(5):閘極圖案 214(5): Gate pattern

214(6):閘極圖案 214(6): Gate pattern

214(7):閘極圖案 214(7): Gate pattern

214(8):閘極圖案 214(8): Gate pattern

214(9):閘極圖案 214(9): Gate pattern

214(10):閘極圖案 214(10): Gate pattern

214(11):閘極圖案 214(11): Gate pattern

214(12):閘極圖案 214(12): Gate pattern

216(1):VGD圖案 216(1): VGD pattern

216(2):VGD圖案 216(2): VGD pattern

216(3):VGD圖案 216(3): VGD pattern

216(4):VGD圖案 216(4): VGD pattern

216(5):VGD圖案 216(5): VGD pattern

216(6):VGD圖案 216(6): VGD pattern

216(7):VGD圖案 216(7): VGD pattern

216(8):VGD圖案 216(8): VGD pattern

216(9):VGD圖案 216(9): VGD pattern

216(10):VGD圖案 216(10): VGD pattern

216(11):VGD圖案 216(11): VGD pattern

216(12):VGD圖案 216(12): VGD pattern

216(13):VGD圖案 216(13): VGD pattern

216(14):VGD圖案 216(14): VGD pattern

216(15):VGD圖案 216(15): VGD pattern

216(16):VGD圖案 216(16): VGD pattern

216(17):VGD圖案 216(17): VGD pattern

216(18):VGD圖案 216(18): VGD pattern

216(19):VGD圖案 216(19): VGD pattern

216(20):VGD圖案 216(20): VGD pattern

216(21):VGD圖案 216(21): VGD pattern

216(22):VGD圖案 216(22): VGD pattern

216(23):VGD圖案 216(23): VGD pattern

218(1):M0圖案 218(1): M0 pattern

218(2):M0圖案 218(2): M0 pattern

218(3):M0圖案 218(3): M0 pattern

218(4):M0圖案 218(4): M0 pattern

218(5):M0圖案 218(5): M0 pattern

218(6):M0圖案 218(6): M0 pattern

218(7):M0圖案 218(7): M0 pattern

218(8):M0圖案 218(8): M0 pattern

218(9):M0圖案 218(9): M0 pattern

218(10):M0圖案 218(10): M0 pattern

218(11):M0圖案 218(11): M0 pattern

218(12):M0圖案 218(12): M0 pattern

218(12)':虛線形狀 218(12)': Dotted shape

218(13):M0圖案 218(13): M0 pattern

218(14):M0圖案 218(14): M0 pattern

218(14)':虛線形狀 218(14)': Dotted shape

218(15):M0圖案 218(15): M0 pattern

218(16):M0圖案 218(16): M0 pattern

218(17):M0圖案 218(17): M0 pattern

218(18):M0圖案 218(18): M0 pattern

218(19):M0圖案 218(19): M0 pattern

218(20):M0圖案 218(20): M0 pattern

218(20)':M0圖案 218(20)': M0 pattern

218(21):M0圖案 218(21): M0 pattern

220(1):VIA0圖案 220(1): VIA0 pattern

220(1)':虛線形狀 220(1)': Dotted shape

220(2):VIA0圖案 220(2): VIA0 pattern

220(3):VIA0圖案 220(3): VIA0 pattern

220(3)':虛線形狀 220(3)': Dotted shape

220(4):VIA0圖案 220(4): VIA0 pattern

220(4)':虛線形狀 220(4)': Dotted shape

220(5):VIA0圖案 220(5): VIA0 pattern

222(1):M1圖案 222(1): M1 pattern

222(2):M1圖案 222(2): M1 pattern

222(3):M1圖案 222(3): M1 pattern

222(4):M1圖案 222(4): M1 pattern

230:側邊界 230: Side Border

232:縫隙 232: Gap

300A:佈局圖 300A: Layout Diagram

300B:佈局圖 300B: Layout Diagram

300C:佈局圖 300C: Layout Diagram

300D:佈局圖 300D: Layout Diagram

300E:佈局圖 300E: Layout Diagram

300F:佈局圖 300F: Layout Diagram

300G:佈局圖 300G: Layout Diagram

300H:佈局圖 300H: Layout drawing

304(1)(A):單元 304(1)(A): Unit

304(1)(B):單元 304(1)(B): Unit

304(2)(C):單元 304(2)(C): Unit

304(2)(D):單元 304(2)(D): Unit

312(1):閘極圖案 312(1): Gate pattern

312(2):閘極圖案 312(2): Gate pattern

314(1):閘極圖案 314(1): Gate pattern

314(2):閘極圖案 314(2): Gate pattern

316(1):VGD圖案 316(1): VGD pattern

318(1):VGD圖案 318(1): VGD pattern

318(1)(A):M0圖案 318(1)(A): M0 pattern

318(2):M0圖案 318(2): M0 pattern

318(2)(C):M0圖案 318(2)(C): M0 pattern

318(2)(D):M0圖案 318(2)(D): M0 pattern

318(3)(E):M0圖案 318(3)(E): M0 pattern

318(3)(F):M0圖案 318(3)(F): M0 pattern

318(4)(G):M0圖案 318(4)(G): M0 pattern

318(4)(H):M0圖案 318(4)(H): M0 pattern

320(1):VIA0圖案 320(1): VIA0 pattern

336(1):OH/寬度 336(1):OH/width

336(2):OH/寬度 336(2):OH/width

336(3):OH/寬度 336(3):OH/width

336(4):OH/寬度 336(4):OH/width

338(1):第三部分 338(1): Part III

338(1)':虛線形狀 338(1)': Dotted shape

338(2):第五部分 338(2): Part V

338(2)':虛線形狀 338(2)': Dotted shape

338(3)':虛線形狀 338(3)': Dotted shape

338(4)':虛線形狀 338(4)': Dotted shape

400A:橫截面圖 400A: Cross-sectional view

400B:橫截面圖 400B: Cross-sectional view

400C:橫截面圖 400C: Cross-sectional view

400D:橫截面圖 400D: Cross-sectional view

410(2):MD結構 410(2): MD structure

410(3):MD結構 410(3): MD structure

412(1):閘極結構 412(1): Gate structure

412(2):閘極結構 412(2): Gate structure

416(2):VGD結構 416(2): VGD structure

416(3):VGD結構 416(3): VGD structure

418(2):導電M0區段 418(2): Conductive M0 segment

418(4):導電M0區段 418(4): Conductive M0 segment

418(5):VGD結構 418(5): VGD structure

420(1):VIA0圖案 420(1): VIA0 pattern

420(1)':虛線形狀 420(1)': Dotted shape

420(2):VIA0結構 420(2): VIA0 structure

422(1):導電M1區段 422(1): Conductive M1 segment

422(1)':虛線形狀 422(1)': Dotted shape

422(2):M1區段 422(2): M1 segment

422(2)':虛線形狀 422(2)': Dotted shape

422(3):M1區段 422(3): M1 segment

450:ILD 450:ILD

452:電晶體層 452: Transistor layer

454:M0層 454: M0 floor

456:V0層 456: V0 layer

458:M1層 458: M1 floor

460:層間介電層(ILD) 460: Interlayer Dielectric Layer (ILD)

462:ILD 462:ILD

464:ILD 464:ILD

466:ILD 466:ILD

468:ILD 468:ILD

500:方法 500: Method

502:方塊 502: Blocks

504:方塊 504: Blocks

602:方塊 602: Blocks

604:方塊 604: Square

606:方塊 606: Blocks

610:方塊 610: Square

612:方塊 612: Square

620:方塊 620: Square

622:方塊 622: Square

630:方塊 630: Square

632:方塊 632: Square

640:方塊 640: Square

642:方塊 642: Square

650:方塊 650: Square

652:方塊 652: Square

660:方塊 660: Square

662:方塊 662: Square

670:方塊 670: Square

672:方塊 672: Square

674:方塊 674: Square

676:方塊 676: Square

678:方塊 678: Square

680:方塊 680: Square

682:方塊 682: Square

700:電子設計自動化(EDA)系統 700: Electronic Design Automation (EDA) Systems

702:硬體處理器 702: Hardware Processor

704:非暫時性的電腦可讀儲存媒體 704: Non-transitory computer-readable storage medium

706:電腦程式碼 706: Computer code

707:庫 707: Library

708:匯流排 708: Busbar

710:I/O介面 710: I/O interface

712:網路介面 712: Web Interface

714:網路 714: Internet

742:使用者介面(UI) 742: User Interface (UI)

800:積體電路(IC)製造系統 800: Integrated Circuit (IC) Manufacturing Systems

820:設計室 820: Design Studio

822:IC設計佈局圖 822: IC Design Layout

830:遮罩室 830: Mask Room

832:資料準備 832: Data preparation

844:遮罩製造 844: Mask Making

845:遮罩 845: Mask

850:IC製造商/製造者(「晶圓廠」) 850: IC Manufacturer/Manufacturer ("Fab")

852:晶圓製造 852: Wafer Fabrication

853:半導體晶圓 853: Semiconductor Wafers

860:IC元件 860: IC Components

當結合隨附諸圖閱讀時,自以下詳細描述最佳地理解本揭示案之實施例之態樣。應注意,根據行業上之標 準實務,各種特征未按比例繪製。事實上,為了論述清楚,可任意地增大或減小各種特征之尺寸。 Aspects of embodiments of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that according to industry standards Quasi-practical, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

第1圖為根據一些實施例的方塊圖。 Figure 1 is a block diagram according to some embodiments.

第2A圖至第2F圖為根據一些實施例的對應佈局圖200A至200F。 Figures 2A-2F are corresponding layout views 200A-200F according to some embodiments.

第3A圖至第3H圖為根據一些實施例的對應佈局圖300A至300H。 3A-3H are corresponding layout diagrams 300A- 300H according to some embodiments.

第4A圖至第4D圖為根據一些實施例的對應橫截面圖400A至400D。 Figures 4A-4D are corresponding cross-sectional views 400A-400D according to some embodiments.

第5圖為根據一些實施例的方法的流程圖。 Figure 5 is a flow diagram of a method according to some embodiments.

第6A圖至第6E圖為根據一些實施例的對應方法的對應流程圖。 6A-6E are corresponding flowcharts of corresponding methods according to some embodiments.

第7圖為根據一些實施例的電子設計自動化(EDA)系統的方塊圖。 7 is a block diagram of an electronic design automation (EDA) system in accordance with some embodiments.

第8圖為根據一些實施例的積體電路(IC)製造系統的方塊圖及與其相關聯的IC製造流程。 8 is a block diagram of an integrated circuit (IC) fabrication system and an IC fabrication flow associated therewith, in accordance with some embodiments.

以下揭示內容提供用於實施所提供標的之不同特徵的許多不同實施例或實例。以下描述部件、值、操作、材料、佈置或其類似者之特定實例以簡化本揭示案之實施例。當然,此些僅為實例,且並不意欲為限制性的。預期其他部件、值、操作、材料、佈置或其類似者。舉例而言,在如下描述中第一特徵在第二特徵之上或在第二特徵上形成 可包括其中第一特徵與第二特徵形成為直接接觸之實施例,且亦可包括其中額外特徵可在第一特徵與第二特徵之間形成而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭示案之實施例可在各種實例中重複元件符號及/或字母。此重複是出於簡化及清楚之目的,且其自身並不表示所論述之各種實施例及/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components, values, operations, materials, arrangements, or the like are described below to simplify embodiments of the present disclosure. Of course, these are only examples and are not intended to be limiting. Other components, values, operations, materials, arrangements or the like are contemplated. For example, in the following description a first feature is formed over or over a second feature Embodiments may be included in which the first feature and the second feature are formed in direct contact, and may also be included in which additional features may be formed between the first and second features such that the first and second features may not be in direct contact Example. Additionally, embodiments of the present disclosure may repeat reference numerals and/or letters in various instances. This repetition is for the purpose of simplicity and clarity, and does not in itself represent a relationship between the various embodiments and/or configurations discussed.

另外,為了描述簡單起見,可在本文中使用諸如「在……之下」、「低於」、「下部」、「在……上方」、「上部」及其類似術語之空間相對術語,以描述如諸圖中所圖示之一個元件或特征與另一(其他)元件或特征的關係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。裝置可以其他方式定向(旋轉90度或以其他定向),且可同樣相應地解釋本文中所使用之空間相對描述詞。 Additionally, for simplicity of description, spatially relative terms such as "below," "below," "lower," "above," "upper," and similar terms may be used herein, to describe the relationship of one element or feature to another (other) element or feature as illustrated in the figures. These spatially relative terms are intended to encompass different orientations of elements in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein should likewise be interpreted accordingly.

對於一些實施例而言,產生佈局圖包括:選定佈局圖中之候選圖案,例如,M1圖案或M0圖案;決定候選圖案是否滿足一或多個準則;及改變候選圖案之大小而藉此修訂佈局圖,藉以改善了M0佈線資源。在一些實施例中,產生佈局圖之上下文為第一設計規則(設計規則1)、設計規則2、設計規則3或設計規則4。在其中上下文為設計規則3之一些實施例中,藉由減小候選圖案之大小來改變候選圖案之大小。在其中上下文為設計規則1或設計規則2之一些實施例中,藉由自佈局圖移除候選圖案來改變候選圖案之大 小。在其中上下文為設計規則4之一些實施例中,藉由增大候選圖案之大小來改變候選圖案之大小。 For some embodiments, generating the layout includes: selecting a candidate pattern in the layout, eg, an M1 pattern or an M0 pattern; determining whether the candidate pattern satisfies one or more criteria; and changing the size of the candidate pattern to thereby revise the layout Figure, thereby improving M0 routing resources. In some embodiments, the context in which the layout diagram is generated is the first design rule (design rule 1), design rule 2, design rule 3, or design rule 4. In some embodiments where the context is design rule 3, the size of the candidate pattern is changed by reducing the size of the candidate pattern. In some embodiments where the context is Design Rule 1 or Design Rule 2, the size of the candidate pattern is changed by removing the candidate pattern from the layout Small. In some embodiments where the context is design rule 4, the size of the candidate pattern is changed by increasing the size of the candidate pattern.

第1圖為根據一些實施例之半導體元件100的方塊圖。 FIG. 1 is a block diagram of a semiconductor device 100 according to some embodiments.

在第1圖中,半導體元件100包括(除了其他以外)電路巨集(在後文中為巨集)101。在一些實施例中,巨集101為邏輯巨集。在一些實施例中,巨集101為SRAM巨集。在一些實施例中,巨集101為除了邏輯巨集或SRAM巨集以外的巨集。巨集101包括(除了其他以外)呈列102佈置之一或多個單元區域104。在一些實施例中,基於由本文所揭示之設計規則中之一或多者所產生的佈局圖來實施每一單元區域104,且因而每一單元區域104具有改善的M0佈線資源。 In FIG. 1, a semiconductor element 100 includes, among other things, a circuit macro (hereinafter, a macro) 101 . In some embodiments, macro 101 is a logical macro. In some embodiments, macro 101 is an SRAM macro. In some embodiments, macros 101 are macros other than logic macros or SRAM macros. The macro 101 includes, among other things, one or more cell areas 104 arranged in a presentation 102 . In some embodiments, each cell region 104 is implemented based on a layout map generated by one or more of the design rules disclosed herein, and thus each cell region 104 has improved M0 routing resources.

第2A圖至第2B圖為根據一些實施例之對應佈局圖200A至200B。 2A-2B are corresponding layout diagrams 200A-200B according to some embodiments.

佈局圖200A表示初始佈局圖,且佈局圖200B表示根據一些實施例的由本文所揭示之實施例之一或多個方法產生的對應佈局圖。 Layout 200A represents an initial layout, and layout 200B represents a corresponding layout produced by one or more of the methods disclosed herein, according to some embodiments.

第2A圖至第2B圖假設正交XYZ座標系統,其中X軸、Y軸及Z軸表示對應的第一、第二及第三方向。在一些實施例中,第一、第二及第三方向對應於與XYZ座標系統不同的正交座標系統。 Figures 2A to 2B assume an orthogonal XYZ coordinate system, wherein the X-axis, Y-axis and Z-axis represent corresponding first, second and third directions. In some embodiments, the first, second and third directions correspond to a different orthogonal coordinate system than the XYZ coordinate system.

在第2A圖中,佈局圖200A包括單元204(1)(A)。單元204(1)(A)表示基於佈局圖200A之半導 體元件中的單元區域。單元204(1)(A)安置於列202中,此列202大體上在第一方向上延伸(水平延伸)。儘管為了說明的簡單而未示出,但在一些實施例中,列202包括單元的額外例項,例如,單元204(1)(A)及/或其他單元。列202包括子列203N及203P。 In Figure 2A, floor plan 200A includes cell 204(1)(A). Cell 204(1)(A) represents a semiconductor based on layout 200A Cell area in a bulk element. Cells 204(1)(A) are arranged in rows 202 that extend generally in a first direction (extend horizontally). Although not shown for simplicity of illustration, in some embodiments, column 202 includes additional instances of cells, eg, cell 204(1)(A) and/or other cells. Column 202 includes sub-columns 203N and 203P.

佈局圖200A進一步包括:主動區域圖案208P及208N;MD圖案210(1)、210(2)、210(3)、210(4)、210(5)、210(6)、210(7)、210(8)、210(9)、210(10)及210(11):閘極圖案212(1)、212(2)、212(3)、212(4)、212(5)、214(1)、214(2)、214(3)及214(4);VGD圖案216(1)、216(2)、216(3)、216(4)、216(5)、216(6)、216(7)、216(8)、216(9)、216(10)、216(11)及216(12);M0圖案218(1)、218(2)、218(3)、218(4)、218(5)、218(6)、218(7)、218(8)及218(9):VIA0圖案(也被指稱為V0圖案,如第2A圖至第2F圖、第3A圖至第3H圖以及第4A圖至第4D圖所繪示)220(1)、220(2)、220(3)、220(4)及220(5);以及M1圖案222(1)、222(2)、222(3)及222(4)。在一些實施例中,單元204(1)(A)包括:主動區域圖案208P及208N;MD圖案210(1)至210(11);閘極圖案212(1)至214(4);VGD圖案216(1)至216(12);M0圖案218(2)至218(8);M0圖案之部分218(1)及218(9);VIA0圖案220(1)至220(5);及M1圖案222(1)至222(4)。 The layout diagram 200A further includes: active area patterns 208P and 208N; MD patterns 210(1), 210(2), 210(3), 210(4), 210(5), 210(6), 210(7), 210(8), 210(9), 210(10) and 210(11): gate patterns 212(1), 212(2), 212(3), 212(4), 212(5), 214( 1), 214(2), 214(3) and 214(4); VGD patterns 216(1), 216(2), 216(3), 216(4), 216(5), 216(6), 216(7), 216(8), 216(9), 216(10), 216(11) and 216(12); M0 patterns 218(1), 218(2), 218(3), 218(4 ), 218(5), 218(6), 218(7), 218(8) and 218(9): VIA0 pattern (also referred to as V0 pattern, as shown in Figures 2A to 2F, Figures 3A to 3H and 4A to 4D) 220(1), 220(2), 220(3), 220(4), and 220(5); and M1 patterns 222(1), 222( 2), 222(3) and 222(4). In some embodiments, cell 204(1)(A) includes: active area patterns 208P and 208N; MD patterns 210(1)-210(11); gate patterns 212(1)-214(4); VGD patterns 216(1) to 216(12); M0 patterns 218(2) to 218(8); portions of M0 patterns 218(1) and 218(9); VIA0 patterns 220(1) to 220(5); and M1 Patterns 222(1) to 222(4).

在第2A圖之實例中,假設:M0圖案218(1)及218(9)為電網(power grid;PG)圖案,此些電網(PG)圖案 表示基於佈局圖200A製造之半導體元件之電網中的對應導體:且M0圖案218(2)至218(8)為佈線圖案,此些佈線圖案表示基於佈局圖200A製造之半導體元件的非PG導體。在一些實施例中,PG圖案218(1)被指定用於提供第一系統參考電壓,且PG圖案218(9)被指定用於提供第二系統參考電壓。在第2A圖中,PG圖案218(1)被指定用於提供VDD,且PG圖案218(9)被指定用於提供VSS。在一些實施例中,PG圖案218(1)被指定用於提供VSS,且PG圖案218(9)被指定用於提供VDD。在一些實施例中,PG圖案218(1)及218(9)被指定用於提供除了對應地提供VDD與VSS或對應地提供VSS與VDD以外的對應電壓。 In the example of FIG. 2A, it is assumed that the M0 patterns 218(1) and 218(9) are power grid (PG) patterns, and these power grid (PG) patterns Represents the corresponding conductors in the grid of the semiconductor element fabricated based on the layout 200A: and the M0 patterns 218(2) to 218(8) are wiring patterns that represent non-PG conductors of the semiconductor element fabricated based on the layout 200A. In some embodiments, PG pattern 218(1) is designated to provide a first system reference voltage, and PG pattern 218(9) is designated to provide a second system reference voltage. In Figure 2A, PG pattern 218(1) is designated to provide VDD, and PG pattern 218(9) is designated to provide VSS. In some embodiments, PG pattern 218(1) is designated to provide VSS and PG pattern 218(9) is designated to provide VDD. In some embodiments, PG patterns 218(1) and 218(9) are designated to provide corresponding voltages other than VDD and VSS, respectively, or VSS and VDD, respectively.

主動區域圖案208P及208N、MD圖案210(1)至210(11)、閘極圖案212(1)至212(5)及214(1)至214(4)以及VGD圖案216(1)至216(2)包括在佈局圖200A之電晶體層級中,此電晶體層級對應於基於佈局圖200A之半導體元件的電晶體層。M0圖案218(1)至218(9)包括在佈局圖200A中之金屬化層級M0中,此金屬化層級M0對應於基於佈局圖200A之半導體元件的金屬化層M0。VIA0圖案220(1)至210(5)包括在佈局圖200A中之互連層級V0中,此互連層級V0對應於基於佈局圖200A之半導體元件的互連層V0。M1圖案222(1)至222(4)包括在M1金屬化層級中,此M1金屬化層級對應於基於佈局圖200A之半導體元件的金屬化層M1。 Active area patterns 208P and 208N, MD patterns 210(1) to 210(11), gate patterns 212(1) to 212(5) and 214(1) to 214(4), and VGD patterns 216(1) to 216 (2) Included in the transistor level of the layout diagram 200A, which corresponds to the transistor layer of the semiconductor device based on the layout diagram 200A. The M0 patterns 218(1) to 218(9) are included in the metallization level M0 in the layout diagram 200A, which corresponds to the metallization layer M0 of the semiconductor device based on the layout diagram 200A. The VIA0 patterns 220(1) to 210(5) are included in the interconnection level V0 in the layout diagram 200A, which corresponds to the interconnection level V0 of the semiconductor element based on the layout diagram 200A. The M1 patterns 222(1) to 222(4) are included in the M1 metallization level, which corresponds to the metallization layer M1 of the semiconductor device based on the layout diagram 200A.

MD圖案210(1)至210(11)以及閘極圖案212(1)至212(5)及214(1)至214(4)位於主動區域圖案208P及208N之對應部分之上。在一些實施例中,主動區域圖案208P及208N位於基板圖案(未示出)之上。VGD圖案216(1)至216(12)位於MD圖案210(1)至210(7)及210(11)以及閘極圖案212(1)至212(5)的對應部分之上。M0圖案218(1)至218(9)位於對應VGD圖案216(1)至216(12)之上。VIA0圖案220(1)至220(5)位於對應M0圖案218(2)至218(5)及218(7)之上。M1圖案222(1)至222(4)位於對應VIA0圖案220(1)至220(5)之上。 MD patterns 210(1) to 210(11) and gate patterns 212(1) to 212(5) and 214(1) to 214(4) are located over corresponding portions of active area patterns 208P and 208N. In some embodiments, active area patterns 208P and 208N are located over substrate patterns (not shown). VGD patterns 216(1)-216(12) are located over corresponding portions of MD patterns 210(1)-210(7) and 210(11) and gate patterns 212(1)-212(5). M0 patterns 218(1)-218(9) overlie corresponding VGD patterns 216(1)-216(12). VIA0 patterns 220(1)-220(5) are located over corresponding M0 patterns 218(2)-218(5) and 218(7). The M1 patterns 222(1) to 222(4) are located over the corresponding VIA0 patterns 220(1) to 220(5).

佈局圖200A假設對應的半導體技術製程世代(process technology node),此半導體技術製程世代包括用於產生佈局圖之各種設計規則。佈局圖200A進一步假設設計規則遵循編號慣例,其中金屬化之第一層級(M_1st)及對應的互連結構之第一層級(V_1st)對應地稱作M0及V0。佈局圖200A之層級M0及V0對應地表示基於佈局圖200A之半導體元件中的金屬化層M0及互連結構層V0。在一些實施例中,編號慣例假設M_1st層級及V_1st層級對應地稱作M1及V1。 The floor plan 200A assumes a corresponding semiconductor technology process node that includes various design rules for generating the floor plan. The layout diagram 200A further assumes that the design rules follow a numbering convention, with the first level of metallization (M_1st) and the corresponding first level of interconnect structure (V_1st) referred to as M0 and V0, respectively. The levels M0 and V0 of the layout diagram 200A correspondingly represent the metallization layer M0 and the interconnect structure layer V0 in the semiconductor device based on the layout diagram 200A. In some embodiments, the numbering convention assumes that the M_1st level and the V_1st level are referred to as M1 and V1, respectively.

主動區域圖案208P及208N以及M0圖案218(1)至218(9)具有大體上沿X軸延伸(水平地延伸)之對應長軸。MD圖案210(1)至210(11)、閘極圖案212(1)至212(5)及214(1)至214(4)以及M1圖案222(1)至222(4)具有大體上沿Y軸延伸(垂直地延伸)之對應長軸。 Active area patterns 208P and 208N and M0 patterns 218(1)-218(9) have corresponding long axes extending generally along the X-axis (extending horizontally). MD patterns 210(1) to 210(11), gate patterns 212(1) to 212(5) and 214(1) to 214(4), and M1 patterns 222(1) to 222(4) have substantially upper edges The Y axis extends (extends vertically) to the corresponding long axis.

在第2A圖中,主動區域圖案208P及208N表示基於佈局圖200A之半導體元件中的對應PMOS及NMOS鰭片。因此,主動區域圖案208P及208N被指定用於對應的PMOS finFET及NMOS finFET配置,並稱作對應的鰭片圖案208P及208N。在一些實施例中,鰭片圖案208P及208N對應地被指定用於PMOS及NMOS配置。儘管為了說明的簡單而未示出,但在一些實施例中,子列203N及203P中之每一者包括對應地被指定用於PMOS finFET及NMOS finFET配置之兩個或更多個鰭片圖案。在一些實施例中,主動區域圖案208P及208N被指定用於平面電晶體配置,且因而表示基於單元204(1)(A)之單元區域中的對應主動區域。在一些實施例中,主動區域圖案208P及208N被指定用於奈米線配置。在一些實施例中,主動區域圖案208P及208N被指定用於奈米片配置。在一些實施例中,主動區域圖案208P及208N被指定用於環繞式閘極(Gate-All-Around,GAA)配置。在其中將主動區域稱作氧化物定尺寸(oxide-dimensioned,OD)之區域的一些實施例中,將主動區域圖案208P及208N稱作對應的OD圖案208P及208N。 In FIG. 2A, active region patterns 208P and 208N represent corresponding PMOS and NMOS fins in a semiconductor device based on layout diagram 200A. Accordingly, active region patterns 208P and 208N are designated for corresponding PMOS finFET and NMOS finFET configurations and are referred to as corresponding fin patterns 208P and 208N. In some embodiments, fin patterns 208P and 208N are designated for PMOS and NMOS configurations, respectively. Although not shown for simplicity of illustration, in some embodiments, each of sub-columns 203N and 203P includes two or more fin patterns designated for PMOS finFET and NMOS finFET configurations, respectively . In some embodiments, active area patterns 208P and 208N are designated for a planar transistor configuration, and thus represent corresponding active areas in the cell area based on cell 204(1)(A). In some embodiments, active area patterns 208P and 208N are designated for nanowire configurations. In some embodiments, active area patterns 208P and 208N are designated for nanochip configurations. In some embodiments, active area patterns 208P and 208N are designated for a Gate-All-Around (GAA) configuration. In some embodiments in which the active regions are referred to as oxide-dimensioned (OD) regions, the active region patterns 208P and 208N are referred to as corresponding OD patterns 208P and 208N.

在佈局圖200A中,MD圖案210(1)至210(11)表示基於佈局圖200A之半導體元件之電晶體層中的對應MD導電結構。閘極圖案212(1)至212(5)及214(1)至214(4)表示基於佈局圖200A之半導體元件之電晶體層中的對應閘極結構。VGD圖案216(1)至216(12)表示基於佈 局圖200A之半導體元件之電晶體層中的對應VG或VD結構。VG結構(參見第4B圖)將閘極結構電耦接至對應M0導電區段。VD結構(參見第4A圖)將汲極/源極結構電耦接至對應M0導電區段。M0圖案218(1)至218(9)表示基於佈局圖200A之半導體元件之金屬化層M0中的對應導電區段。VIA0圖案220(1)至220(5)表示基於佈局圖200A之半導體元件之互連層V0中的對應互連結構(例如,介層孔(via))。M1圖案222(1)至222(4)表示基於佈局圖200A之半導體元件之金屬化層M1中的對應導電區段。 In layout 200A, MD patterns 210(1) to 210(11) represent corresponding MD conductive structures in the transistor layers of the semiconductor device based on layout 200A. Gate patterns 212(1) to 212(5) and 214(1) to 214(4) represent corresponding gate structures in the transistor layers of the semiconductor device based on layout diagram 200A. VGD patterns 216(1) through 216(12) represent cloth-based Figure 200A shows the corresponding VG or VD structure in the transistor layer of the semiconductor device. The VG structure (see Figure 4B) electrically couples the gate structure to the corresponding M0 conductive segment. The VD structure (see Figure 4A) electrically couples the drain/source structure to the corresponding M0 conductive segment. The M0 patterns 218(1) to 218(9) represent corresponding conductive segments in the metallization layer M0 of the semiconductor device based on the layout diagram 200A. VIA0 patterns 220( 1 ) to 220( 5 ) represent corresponding interconnect structures (eg, vias) in the interconnect layer V0 of the semiconductor device based on the layout diagram 200A. The M1 patterns 222(1) to 222(4) represent corresponding conductive segments in the metallization layer M1 of the semiconductor device based on the layout diagram 200A.

在第2A圖中,閘極圖案212(1)至212(5)包括在單元204(1)(A)中。相對於X軸,閘極圖案214(1)至212(4)包括在單元204(1)(A)中。相對於Y軸,閘極圖案214(1)及214(3)大體上為共線的,且閘極圖案214(2)及214(4)大體上為共線的。在一些實施例(圖中未示)中,閘極圖案214(1)及214(3)合併並由切割圖案覆蓋,此切割圖案(實際上)導致對應於閘極圖案214(1)及214(3)之兩個離散閘極圖案。在一些實施例(圖中未示)中,閘極圖案214(2)及214(4)合併並由切割圖案覆蓋,此切割圖案(實際上)導致對應於閘極圖案214(2)及214(4)之兩個離散閘極圖案。 In Figure 2A, gate patterns 212(1) to 212(5) are included in cell 204(1)(A). With respect to the X-axis, gate patterns 214(1)-212(4) are included in cell 204(1)(A). With respect to the Y-axis, gate patterns 214(1) and 214(3) are generally collinear, and gate patterns 214(2) and 214(4) are generally collinear. In some embodiments (not shown), gate patterns 214(1) and 214(3) are merged and covered by a cut pattern that (actually) results in a corresponding gate pattern 214(1) and 214 (3) Two discrete gate patterns. In some embodiments (not shown), gate patterns 214(2) and 214(4) are merged and covered by a cut pattern that (in effect) results in gate patterns 214(2) and 214 corresponding to (4) Two discrete gate patterns.

關於佈局圖200A,在一些實施例中,閘極圖案212(1)至212(5)為主動閘極圖案。在一些實施例中,閘極圖案214(1)至214(4)對應地被指定作為主動或虛設閘極圖案。在一些實施例中,取決於對應主動區域圖案208P及 208N相對於X軸在單元204(1)(A)之側邊界處是大體上連續或是大體上不連續,閘極圖案212(1)至212(5)及214(1)至214(4)對應地被指定作為主動或虛設閘極圖案。在一些實施例中,在主動區域圖案在單元204(1)(A)之側邊界處大體上連續的情況下,將此配置稱作連續氧化物擴散(continuous oxide diffusion,CNOD)配置。在存在CNOD配置之一些實施例中,覆蓋單元之側邊界的主動區域圖案之區域被指定用於摻雜,此導致對應半導體元件中的填充區域。在一些實施例中,在主動區域圖案在單元204(1)(A)之側邊界處大體上不連續的情況下,將此配置稱作擴散邊緣上的連續多晶矽(continuous poly over diffusion edge,CPODE)配置。在存在CPODE配置之一些實施例中,將絕緣體圖案(圖中未示)安置在表示主動區域圖案在單元之側邊界處斷開的區域之上。在一些實施例中,主動閘極圖案經指定以接收關於單元204(1)(A)所表示之電路之功能的信號。在一些實施例中,相對於X軸,虛設閘極圖案表示虛設閘極結構,此虛設閘極結構幫助提供對應於單元204(1)(A)之單元區域與相鄰(例如,鄰接)單元區域(圖中未示)之間的隔離。在一些實施例中,虛設閘極結構用以浮動,因此虛設閘極圖案對應地被指定為浮動的,例如,在CPODE配置的情況下。在一些實施例中,相對於X軸,虛設閘極結構用以接收抑制對應鰭片之下伏部分中之導電的電壓,例如,抑制對應鰭片之下伏部分中的反轉層,因此虛設閘極圖案對應地被指定為接收導電抑制電壓。 With respect to layout diagram 200A, in some embodiments, gate patterns 212(1)-212(5) are active gate patterns. In some embodiments, gate patterns 214(1)-214(4) are designated as active or dummy gate patterns, respectively. In some embodiments, depending on the corresponding active area pattern 208P and 208N is substantially continuous or substantially discontinuous relative to the X-axis at the lateral boundaries of cell 204(1)(A), gate patterns 212(1)-212(5) and 214(1)-214(4 ) are correspondingly designated as active or dummy gate patterns. In some embodiments, where the active region pattern is substantially continuous at the side boundaries of cell 204(1)(A), this configuration is referred to as a continuous oxide diffusion (CNOD) configuration. In some embodiments where there is a CNOD configuration, the area of the active area pattern covering the side boundaries of the cell is designated for doping, which results in a corresponding fill area in the semiconductor element. In some embodiments, where the active region pattern is substantially discontinuous at the side boundaries of cell 204(1)(A), this configuration is referred to as continuous poly over diffusion edge (CPODE) ) configuration. In some embodiments where there is a CPODE configuration, an insulator pattern (not shown) is placed over the region representing the disconnection of the active region pattern at the side boundaries of the cell. In some embodiments, the active gate pattern is designated to receive signals regarding the function of the circuit represented by cell 204(1)(A). In some embodiments, with respect to the X-axis, the dummy gate pattern represents a dummy gate structure that helps provide the cell region corresponding to cell 204(1)(A) with adjacent (eg, contiguous) cells Isolation between regions (not shown). In some embodiments, the dummy gate structure is used to float, so the dummy gate pattern is correspondingly designated as floating, eg, in the case of a CPODE configuration. In some embodiments, with respect to the X-axis, the dummy gate structure is used to receive a voltage that inhibits conduction in the underlying portion of the corresponding fin, eg, inhibits the inversion layer in the underlying portion of the corresponding fin, so dummy The gate pattern is correspondingly designated to receive the conduction suppression voltage.

相對於X軸,閘極圖案212(1)至212(5)及214(1)至214(4)以一定距離(均勻距離)分離開。在一些實施例中,此均勻距離表示對應半導體技術製程世代之一個接觸多晶矽間距(contacted poly pitch,CPP),例如,閘極圖案214(1)及212(1)以一個CPP分離開。因此,相對於X軸,單元204(1)具有為6個CPP的寬度。 The gate patterns 212(1) to 212(5) and 214(1) to 214(4) are separated by a distance (uniform distance) with respect to the X-axis. In some embodiments, the uniform distance represents a contacted poly pitch (CPP) corresponding to a semiconductor technology process generation, eg, gate patterns 214(1) and 212(1) are separated by a CPP. Thus, cell 204(1) has a width of 6 CPPs relative to the X-axis.

單元204(1)(A)表示電路。在一些實施例中,單元204(1)(A)表示提供功能的電路。在一些實施例中,單元204(1)(A)表示提供邏輯功能的電路,且因此稱作邏輯單元。在一些實施例中,單元204(1)(A)表示邏輯功能AND,例如,四輸入AND(AND4)。在一些實施例中,單元204(1)至204(2)中之至少一者表示提供除了邏輯功能以外之功能的電路。 Cell 204(1)(A) represents a circuit. In some embodiments, unit 204(1)(A) represents a circuit that provides functionality. In some embodiments, cell 204(1)(A) represents a circuit that provides logic functionality, and is thus referred to as a logic cell. In some embodiments, cell 204(1)(A) represents a logical function AND, eg, a four-input AND (AND4). In some embodiments, at least one of cells 204(1)-204(2) represents a circuit that provides functionality other than logic functionality.

在第2A圖之實例中,單元204(1)(A)具有輸入標記A1、A2、A3及A4,及輸出標記Z,此些標記表示對應於單元204(1)(A)之半導體元件中之單元區域的對應輸入信號A1、A2、A3及A4以及輸出信號Z。輸入標記A1經由包括閘極圖案212(1)、VGD圖案216(2)、M0圖案218(4)、VIA0圖案220(1)及M1圖案222(1)之圖解路徑以圖解方式耦接至閘極圖案212(1)。輸入標記A2經由包括閘極圖案212(2)、VGD圖案216(9)、M0圖案218(6)、VIA0圖案220(4)及M1圖案222(2)之圖解路徑以圖解方式耦接至閘極圖案212(2)。輸入標記A3經由包括閘極圖案212(3)、VGD圖案216(4)及M0圖案218(5)之圖解路徑以圖解方式 耦接至閘極圖案212(3)。輸入標記A4經由包括閘極圖案212(4)、VGD圖案216(10)及M0圖案218(7)之圖解路徑以圖解方式耦接至閘極圖案212(4)。輸出標記Z經由包括MD圖案210(6)、VGD圖案216(7)、M0圖案218(3)、VIA0圖案220(3)及M1圖案222(4)之圖解路徑以圖解方式耦接至MD圖案210(6)。 In the example of FIG. 2A, cell 204(1)(A) has input labels A1, A2, A3, and A4, and output label Z, which labels indicate that in the semiconductor element corresponding to cell 204(1)(A) The corresponding input signals A1, A2, A3 and A4 and the output signal Z of the unit area. Input label A1 is graphically coupled to the gate via a graphical path including gate pattern 212(1), VGD pattern 216(2), M0 pattern 218(4), VIA0 pattern 220(1), and M1 pattern 222(1). Pole pattern 212(1). Input label A2 is graphically coupled to the gate via a graphical path including gate pattern 212(2), VGD pattern 216(9), M0 pattern 218(6), VIA0 pattern 220(4), and M1 pattern 222(2). Pole pattern 212(2). Input label A3 is diagrammatically via a diagrammatic path including gate pattern 212(3), VGD pattern 216(4), and M0 pattern 218(5). is coupled to the gate pattern 212(3). Input label A4 is diagrammatically coupled to gate pattern 212(4) via a diagrammatic path including gate pattern 212(4), VGD pattern 216(10), and M0 pattern 218(7). Output marker Z is graphically coupled to the MD pattern via a graphical path including MD pattern 210(6), VGD pattern 216(7), M0 pattern 218(3), VIA0 pattern 220(3), and M1 pattern 222(4). 210(6).

回想佈局圖200A表示初始佈局圖,亦回想,佈局圖200B表示根據一些實施例的由本文所揭示之實施例之一或多個方法產生的對應佈局圖。更特定而言,佈局圖200B之單元204(1)(B)表示已根據一些實施例將包括第一設計規則(設計規則1)(以下論述)之方法應用於佈局圖200A。對應於單元204(1)(B)之單元區域的實例為第1圖之單元區域104。 Recall that layout diagram 200A represents an initial layout diagram, and also recall that layout diagram 200B represents a corresponding layout diagram produced by one or more of the methods disclosed herein, in accordance with some embodiments. More specifically, cell 204(1)(B) of floorplan 200B represents that a method including a first design rule (Design Rule 1) (discussed below) has been applied to floorplan 200A in accordance with some embodiments. An example of a cell region corresponding to cell 204(1)(B) is cell region 104 of FIG. 1 .

佈局圖200B類似於佈局圖200A。第2B圖遵循與第2A圖之編號慣例類似的編號慣例。為了簡要起見,相比於類似之處,論述將更多地聚焦於第2B圖與第2A圖之間的差別。 The floor plan 200B is similar to the floor plan 200A. Figure 2B follows a similar numbering convention to that of Figure 2A. For the sake of brevity, the discussion will focus more on the differences between Figure 2B and Figure 2A than on the similarities.

在第2B圖中,與第2A圖相比較,已移除一些圖案。特定而言,在第2B圖中已移除第2A圖之VIA0圖案220(1)、220(4)及220(3),如藉由對應的虛線形狀220(1)'、220(4)'及220(3)'所指示。又,在第2B圖中已移除第2A圖之M1圖案222(1)、222(2)及222(4),如藉由對應的虛線形狀222(1)'、222(2)'及222(4)'所指示。 In Figure 2B, compared to Figure 2A, some of the patterns have been removed. In particular, VIA0 patterns 220(1), 220(4), and 220(3) of FIG. 2A have been removed in FIG. 2B, such as by the corresponding dotted line shapes 220(1)', 220(4) ' and 220(3)'. Also, the M1 patterns 222(1), 222(2), and 222(4) of FIG. 2A have been removed in FIG. 2B, such as by the corresponding dotted line shapes 222(1)', 222(2)' and 222(4)'.

在一些實施例中,設計規則1如下:若唯一VIA0圖案被給定M1圖案覆蓋,則移除此給定M1圖案。更特定而言,給定M1圖案為圖解路徑的一部分,此圖解路徑包括給定M1圖案、唯一VIA0圖案及對應之下伏M0圖案。 In some embodiments, Design Rule 1 is as follows: If a unique VIA0 pattern is covered by a given M1 pattern, then this given M1 pattern is removed. More specifically, a given M1 pattern is part of a graphical path that includes the given M1 pattern, a unique VIA0 pattern, and a corresponding underlying M0 pattern.

在一些實施例中,設計規則1如下:對於被指定作為釘孔圖案之第一M1圖案而言,若第一VIA0圖案為被第一M1圖案覆蓋之唯一VIA0圖案,則移除第一M1圖案並替代地指定對應之下伏第一M0圖案作為釘孔圖案。 In some embodiments, Design Rule 1 is as follows: For the first M1 pattern designated as the pinhole pattern, if the first VIA0 pattern is the only VIA0 pattern covered by the first M1 pattern, then remove the first M1 pattern And instead designate the corresponding underlying first M0 pattern as the nail hole pattern.

在一些實施例中,應如下來理解指定作為釘孔圖案:對於具有對應之上覆第一互連層級V_1st的第一金屬化層級M_1st中之第一導電圖案而言,指定第一導電圖案作為釘孔圖案指示出對於層級V_1st中之對應第一介層孔圖案而言,存在至少第一及第二允許的上覆位置,在此第一介層孔圖案處,第二金屬化層級中之至少對應的第二及第三導電圖案可被定位成覆蓋第一介層孔圖案。舉例而言,對於第一M0圖案及對應的上覆第一VIA0圖案而言,若第一VIA0圖案有多個位置(在此些位置處,對應M1圖案可被定位成覆蓋第一VIA0圖案),則指定第一M0圖案作為釘孔圖案。舉例而言,對於第一M1圖案及對應的上覆第一VIA1圖案(也被指稱為V1圖案)而言,若第一VIA1圖案有多個位置(在此些位置處,對應M2圖案可被定位成覆蓋第一VIA1圖案),則指定第一M1圖案作為釘孔圖案。在一些實施例中,分析給定M0/M1圖案相對於上覆圖案的關係以便決定是否將指定給定M0/M1作為釘孔圖案。在一些實施例中,被指 定作為釘孔圖案之狀態為與給定M0/M1圖案相關聯之性質,使得檢查給定M1圖案之屬性揭示出給定M1圖案是否為釘孔圖案。 In some embodiments, designation as a pin hole pattern should be understood as follows: For a first conductive pattern in a first metallization level M_1st having a corresponding overlying first interconnect level V_1st, the first conductive pattern is designated as The pinhole pattern indicates that there are at least first and second allowable overlying locations for the corresponding first via pattern in level V_1st at which one of the second metallization levels exists. At least corresponding second and third conductive patterns may be positioned to cover the first via pattern. For example, for the first M0 pattern and the corresponding overlying first VIA0 pattern, if the first VIA0 pattern has multiple locations (where the corresponding M1 pattern can be positioned to cover the first VIA0 pattern) , the first M0 pattern is designated as the pinhole pattern. For example, for the first M1 pattern and the corresponding overlying first VIA1 pattern (also referred to as the V1 pattern), if the first VIA1 pattern has multiple locations at which the corresponding M2 pattern can be positioned to cover the first VIA1 pattern), the first M1 pattern is designated as the pinhole pattern. In some embodiments, the relationship of a given M0/M1 pattern relative to an overlying pattern is analyzed to decide whether to designate a given M0/M1 as a pinhole pattern. In some embodiments, referred to The state given as a pinhole pattern is a property associated with a given M0/M1 pattern such that examining the properties of a given M1 pattern reveals whether the given M1 pattern is a pinhole pattern.

在第2A圖中,指定M1圖案222(1)、222(2)及222(4)以及M0圖案218(5)及218(7)作為釘孔圖案。如此,關於M1圖案222(1),對應介層孔圖案VIA1(1)(圖中未示)有多個允許的上覆位置,在此些位置處,對應M2圖案(圖中未示)可被定位成覆蓋介層孔圖案VIA1(1)。關於M1圖案222(2),對應介層孔圖案VIA1(2)(圖中未示)有多個允許的上覆位置,在此些位置處,對應M2圖案(圖中未示)可被定位成覆蓋介層孔圖案VIA1(2)。關於M1圖案222(4),對應介層孔圖案VIA1(3)(圖中未示)有多個允許的上覆位置,此些位置可被定位成覆蓋介層孔圖案VIA1(3)。 In Figure 2A, M1 patterns 222(1), 222(2), and 222(4) and M0 patterns 218(5) and 218(7) are designated as pinhole patterns. Thus, regarding the M1 pattern 222(1), the corresponding via pattern VIA1(1) (not shown in the figure) has a plurality of allowable overlying positions, and at these positions, the corresponding M2 pattern (not shown in the figure) can be is positioned to cover the via pattern VIA1(1). Regarding the M1 pattern 222(2), the corresponding via pattern VIA1(2) (not shown) has a number of allowable overlying positions at which the corresponding M2 pattern (not shown) can be positioned A pattern VIA1(2) covering the via is formed. Regarding the M1 pattern 222(4), there are a number of allowable overlying positions corresponding to the via pattern VIA1(3) (not shown), which may be positioned to cover the via pattern VIA1(3).

在佈局圖200A中,在被指定作為釘孔圖案之M1圖案當中,M1圖案222(1)、222(2)及222(4)中之每一者僅覆蓋一個VIA0圖案(亦即,對應的VIA0圖案220(1)、220(4)及220(3))。因此,設計規則1應用於M1圖案222(1)、222(2)及222(4)中之每一者。 In layout diagram 200A, among the M1 patterns designated as pinhole patterns, each of M1 patterns 222(1), 222(2), and 222(4) covers only one VIA0 pattern (ie, the corresponding VIA0 patterns 220(1), 220(4) and 220(3)). Thus, Design Rule 1 applies to each of M1 patterns 222(1), 222(2), and 222(4).

在第2B圖中示出已將設計規則1應用於第2A圖之結果。佈局圖200B之單元204(1)(B)為將包括設計規則1之方法應用於佈局圖200A之結果,且更特定言之是應用於M1圖案222(1)、222(2)及222(4)。將設計規則1應用於第2A圖之結果包括:已自第2B圖移除VIA0圖案220(1)、 220(4)及220(3)以及M1圖案222(1)、222(2)及222(4),如由對應的虛線形狀220(1)'、220(4)'、220(3)'、222(1)'、222(2)'及222(4)'所指示;且已指定M0圖案218(4)、218(6)、218(5)、218(7)及218(3)作為釘孔圖案。 The result of applying Design Rule 1 to Figure 2A is shown in Figure 2B. Cell 204(1)(B) of floorplan 200B is the result of applying the method including Design Rule 1 to floorplan 200A, and more specifically to M1 patterns 222(1), 222(2), and 222( 4). The results of applying Design Rule 1 to Figure 2A include: VIA0 pattern 220(1) has been removed from Figure 2B, 220(4) and 220(3) and M1 patterns 222(1), 222(2) and 222(4), as indicated by the corresponding dashed shapes 220(1)', 220(4)', 220(3)' , 222(1)', 222(2)' and 222(4)'; and M0 patterns 218(4), 218(6), 218(5), 218(7) and 218(3) have been designated As a nail hole pattern.

在第2B圖中,指定M0圖案218(4)作為釘孔圖案指示出對應介層孔圖案220(1)"(圖中未示)有多個允許的上覆位置,在此些位置處,對應M1圖案(例如,220(1)")(圖中未示)可被定位成覆蓋介層孔圖案220(1)"。在第2B圖中,指定M0圖案218(6)作為釘孔圖案指示出對應介層孔圖案220(4)"(圖中未示)有多個允許的上覆位置,在此些位置處,對應M1圖案(例如,222(2)")(圖中未示)可被定位成覆蓋介層孔圖案220(4)"。在第2B圖中,指定M0圖案218(5)作為釘孔圖案指示出對應介層孔圖案VIA(4)(圖中未示)有多個允許的上覆位置,在此些位置處,對應M1圖案(圖中未示)可被定位成覆蓋介層孔圖案VIA(4)。在第2B圖中,指定M0圖案218(7)作為釘孔圖案指示出對應介層孔圖案VIA(5)(圖中未示)有多個允許的上覆位置,在此些位置處,對應M1圖案(圖中未示)可被定位成覆蓋介層孔圖案VIA(5)。在第2B圖中,指定M0圖案218(3)作為釘孔圖案指示出對應介層孔圖案220(3)"(圖中未示)有多個允許的上覆位置,在此些位置處,對應M1圖案(例如,222(4)")(圖中未示)可被定位成覆蓋介層孔圖案220(4)"。 In Figure 2B, designation of M0 pattern 218(4) as the pinhole pattern indicates that there are multiple permissible overlying locations corresponding to via pattern 220(1)" (not shown), at which locations, A corresponding M1 pattern (eg, 220(1)") (not shown) may be positioned to cover the via pattern 220(1)". In Figure 2B, the M0 pattern 218(6) is designated as the pinhole pattern Indicates that the corresponding via pattern 220(4)" (not shown) has a number of allowable overlying positions at which the corresponding M1 pattern (eg, 222(2)") (not shown) ) may be positioned to cover the via pattern 220(4)". In Figure 2B, the designation of the M0 pattern 218(5) as the pinhole pattern indicates that the corresponding via pattern VIA(4) (not shown) has a number of allowable overlying positions at which the corresponding The M1 pattern (not shown) may be positioned to cover the via pattern VIA(4). In Figure 2B, the designation of the M0 pattern 218(7) as the pinhole pattern indicates that the corresponding via pattern VIA(5) (not shown) has a number of allowable overlying positions at which the corresponding The M1 pattern (not shown) may be positioned to cover the via pattern VIA(5). In Figure 2B, the designation of the M0 pattern 218(3) as the pinhole pattern indicates that the corresponding via pattern 220(3)" (not shown) has a number of allowable overlying locations, at which locations, A corresponding M1 pattern (eg, 222(4)") (not shown) may be positioned to cover the via pattern 220(4)".

藉由已移除M1圖案222(1)、222(2)及222(4)以及VIA0圖案220(1)、220(4)及220(3),與佈局圖200A相比較,佈局圖200B較不擁塞。藉由已移除M1圖案222(1)、222(2)及222(4)以及VIA0圖案220(1)、220(4)及220(3),與佈局圖200A相比較,佈局圖200B具有改善的M1佈線資源。在一些實施例中,因為佈局圖200B具有比佈局圖200A少之M1圖案,所以佈局圖200B被視為相對於佈局圖200A而言具有改善的佈線資源。在一些實施例中,層級M1中擁塞減少導致層級M2中擁塞減少。在一些實施例中,層級M2中擁塞減少了(

Figure 108135327-A0305-02-0020-2
3%)至(
Figure 108135327-A0305-02-0020-3
4%)。 With M1 patterns 222(1), 222(2), and 222(4) and VIA0 patterns 220(1), 220(4), and 220(3) removed, layout 200B is compared to layout 200A. Not congested. Layout 200B has Improved M1 routing resources. In some embodiments, because floorplan 200B has fewer M1 patterns than floorplan 200A, floorplan 200B is considered to have improved routing resources relative to floorplan 200A. In some embodiments, reduced congestion in level M1 results in reduced congestion in level M2. In some embodiments, congestion is reduced in level M2 (
Figure 108135327-A0305-02-0020-2
3%) to (
Figure 108135327-A0305-02-0020-3
4%).

第2C圖至第2D圖為根據一些實施例之對應佈局圖200C至200D。 Figures 2C to 2D are corresponding layout views 200C to 200D according to some embodiments.

佈局圖200C表示初始佈局圖,且佈局圖200D表示根據一些實施例的由本文所揭示之實施例之一或多個方法產生的對應佈局圖。更特定而言,佈局圖200D之單元204(2)(D)表示已根據一些實施例將包括第二設計規則(設計規則2)(以下論述)之方法應用於佈局圖200C。對應於單元204(2)(D)之單元區域的實例為第1圖之單元區域104。 Layout 200C represents an initial layout, and layout 200D represents a corresponding layout produced by one or more of the methods disclosed herein, according to some embodiments. More specifically, cell 204(2)(D) of floorplan 200D represents that a method including a second design rule (Design Rule 2) (discussed below) has been applied to floorplan 200C in accordance with some embodiments. An example of a cell region corresponding to cell 204(2)(D) is cell region 104 of FIG. 1 .

佈局圖200C至200D類似於對應的第2A圖至第2B圖之佈局圖200A至200B。第2C圖至第2D圖遵循與第2A圖至第2B圖之編號慣例類似的編號慣例。儘管相對應,但一些部件仍不同。為了幫助識別相對應但仍具有差別之部件,編號慣例使用括號編號。舉例而言,第2C圖中之圖案 218(10)及第2B圖中之圖案218(1)均為M0圖案,其中類似之處反映在共同根218(_)中,且其中差別反映在括號_(10)及_(1)中。為了簡要起見,相比於類似之處,論述將更多地聚焦於第2C圖至第2D圖與第2A圖至第2B圖之間的差別。 The layout diagrams 200C to 200D are similar to the layout diagrams 200A to 200B of the corresponding FIGS. 2A to 2B . Figures 2C-2D follow a similar numbering convention to that of Figures 2A-2B. Although corresponding, some parts are different. To help identify corresponding but still different parts, the numbering convention uses bracket numbers. For example, the pattern in Figure 2C 218(10) and pattern 218(1) in Figure 2B are both M0 patterns, where the similarities are reflected in the common root 218(_), and where the differences are reflected in the brackets _(10) and _(1) . For the sake of brevity, the discussion will focus more on the differences between Figures 2C-2D and Figures 2A-2B than on the similarities.

在第2C圖中,佈局圖200C包括單元204(2)(C)。佈局圖200C進一步包括:MD圖案210(10)、210(11)、210(12)、210(13)、210(14)及210(15);閘極圖案212(6)、214(5)、214(6)、214(7)及214(8);VGD圖案216(3)、216(14)、216(15)及216(16);及M0圖案218(10)、218(11)、218(12)、218(13)、218(14)及218(15)。為了說明的簡單,(除了其他圖案以外),自第2C圖至第2D圖省略鰭片圖案及M1圖案。在一些實施例中,單元204(2)(C)包括:MD圖案210(10)至210(15);閘極圖案212(6)及214(5)至214(8);VGD圖案216(13)至216(16):M0圖案218(11)至218(14):及M0圖案之部分218(10)及218(15)。 In Figure 2C, floorplan 200C includes cell 204(2)(C). The layout diagram 200C further includes: MD patterns 210(10), 210(11), 210(12), 210(13), 210(14) and 210(15); gate patterns 212(6), 214(5) , 214(6), 214(7), and 214(8); VGD patterns 216(3), 216(14), 216(15), and 216(16); and M0 patterns 218(10), 218(11) , 218(12), 218(13), 218(14) and 218(15). For simplicity of description, (except for other patterns), the fin pattern and the M1 pattern are omitted from FIGS. 2C to 2D. In some embodiments, cell 204(2)(C) includes: MD patterns 210(10)-210(15); gate patterns 212(6) and 214(5)-214(8); VGD patterns 216( 13) to 216(16): M0 pattern 218(11) to 218(14): and portions 218(10) and 218(15) of the M0 pattern.

在一些實施例中,對應第2C圖及第2D圖之單元204(2)(C)及204(2)(D)為表示對應反轉電路之反轉單元。 In some embodiments, cells 204(2)(C) and 204(2)(D) corresponding to Figures 2C and 2D are inversion cells representing corresponding inversion circuits.

在第2D圖中,與第2C圖相比較,已移除一些圖案。特定而言,在第2D圖中已移除了第2C圖之M0圖案218(12)及218(14),如由第2D圖中之對應虛線形狀218(12)'及218(14)'所指示。 In Figure 2D, compared to Figure 2C, some patterns have been removed. In particular, the M0 patterns 218(12) and 218(14) of Fig. 2C have been removed in Fig. 2D, as shown by the corresponding dashed shapes 218(12)' and 218(14)' in Fig. 2D indicated.

在一些實施例中,設計規則2如下:若給定M0圖案不覆蓋一或多個VGD觸點圖案且若給定M0圖案未被一或多個V0觸點圖案覆蓋,則移除給定M0圖案。更特地而言,給定M0圖案並非包括給定M0圖案及一或多個VGD圖案之圖解路徑的一部分,給定M0圖案亦不是包括給定M0圖案及一或多個VIA0圖案之圖解路徑的一部分。 In some embodiments, design rule 2 is as follows: if a given M0 pattern does not cover one or more VGD contact patterns and if a given M0 pattern does not cover one or more V0 contact patterns, remove a given M0 pattern. More specifically, a given M0 pattern is not part of a diagrammatic path that includes a given M0 pattern and one or more VGD patterns, nor is a given M0 pattern part of a diagrammatic path that includes a given M0 pattern and one or more VIA0 patterns. part.

在第2C圖中,M0圖案218(12)不覆蓋一或多個VGD觸點圖案,且M0圖案218(12)亦不被一或多個V0觸點圖案覆蓋。因此,設計規則2適用於M0圖案218(12)。類似地,在第2C圖中,M0圖案218(14)不覆蓋一或多個VGD觸點圖案,且M0圖案218(14)亦不被一或多個V0觸點圖案覆蓋。因此,設計規則2適用於M0圖案218(14)。 In Figure 2C, M0 pattern 218(12) is not covered by one or more VGD contact patterns, and M0 pattern 218(12) is not covered by one or more V0 contact patterns. Therefore, Design Rule 2 applies to the M0 pattern 218(12). Similarly, in Figure 2C, M0 pattern 218(14) is not covered by one or more VGD contact patterns, and M0 pattern 218(14) is not covered by one or more V0 contact patterns. Therefore, Design Rule 2 applies to the M0 pattern 218(14).

在第2D圖中示出已將設計規則2應用於第2C圖之結果。佈局圖200D之單元204(2)(D)為將包括設計規則2之方法應用於佈局圖200C之結果,且更特定言之是應用於M0圖案218(12)及218(14)。將設計規則2應用於第2C圖之結果包括:已自第2D圖移除M0圖案218(12),如由第2D圖中之對應虛線形狀218(12)'所指示;且已自第2D圖移除M0圖案218(14),如由第2D圖中之對應虛線形狀218(14)'所指示。 The result of applying Design Rule 2 to Figure 2C is shown in Figure 2D. Cell 204(2)(D) of floorplan 200D is the result of applying the method including Design Rule 2 to floorplan 200C, and more specifically to M0 patterns 218(12) and 218(14). The results of applying Design Rule 2 to Figure 2C include: the M0 pattern 218(12) has been removed from Figure 2D, as indicated by the corresponding dashed shape 218(12)' in Figure 2D; The figure removes the M0 pattern 218(14), as indicated by the corresponding dashed shape 218(14)' in the 2D figure.

藉由已移除M0圖案218(12)及218(4),與佈局圖200C相比較,佈局圖200D較不擁塞。藉由已移除M0圖案218(12)及218(4),與佈局圖200C相比較,佈局圖200D具有改善的M0佈線資源。在一些實施例中,因為佈局圖 200D具有比佈局圖200C少之M0圖案,所以佈局圖200D被視為相對於佈局圖200C而言具有改善的M0佈線資源。 With M0 patterns 218(12) and 218(4) removed, layout 200D is less congested than layout 200C. With M0 patterns 218(12) and 218(4) removed, floorplan 200D has improved M0 routing resources compared to floorplan 200C. In some embodiments, because the layout 200D has fewer M0 patterns than floorplan 200C, so floorplan 200D is considered to have improved M0 routing resources relative to floorplan 200C.

第2E圖至第2F圖為根據一些實施例之對應佈局圖200E至200F。 Figures 2E-2F are corresponding layout views 200E-200F according to some embodiments.

佈局圖200E表示初始佈局圖,且佈局圖200F表示根據一些實施例的由本文所揭示之一或多個方法產生的對應佈局圖。更特定而言,佈局圖200F之單元204(3)(F)表示已根據一些實施例將包括第三設計規則(設計規則3)(以下論述)之方法應用於佈局圖200E。對應於單元204(3)(F)之單元區域的實例為第1圖之單元區域104。 Floorplan 200E represents an initial floorplan, and floorplan 200F represents a corresponding floorplan generated by one or more of the methods disclosed herein, in accordance with some embodiments. More specifically, cell 204(3)(F) of floorplan 200F represents that a method including a third design rule (Design Rule 3) (discussed below) has been applied to floorplan 200E in accordance with some embodiments. An example of a cell region corresponding to cell 204(3)(F) is cell region 104 of FIG. 1 .

佈局圖200E至200F類似於對應的第2A圖至第2D圖之佈局圖200A至200D。第2E圖至第2F圖遵循與第2A圖至第2D圖之編號慣例類似的編號慣例。儘管相對應,但一些部件仍不同。為了幫助識別相對應但仍具有差別之部件,編號慣例使用括號編號。舉例而言,第2E圖中之圖案218(16)及第2D圖中之圖案218(10)均為M0圖案,其中類似之處反映在共同根218(_)中,且其中差別反映在括號_(16)及_(10)中。為了簡要起見,相比於類似之處,論述將更多地聚焦於第2E圖至第2F圖與第2A圖至第2D圖之間的差別。 The layout diagrams 200E to 200F are similar to the layout diagrams 200A to 200D of the corresponding Figures 2A to 2D. Figures 2E-2F follow a similar numbering convention to that of Figures 2A-2D. Although corresponding, some parts are different. To help identify corresponding but still different parts, the numbering convention uses bracket numbers. For example, pattern 218(16) in Fig. 2E and pattern 218(10) in Fig. 2D are both M0 patterns, where the similarities are reflected in the common root 218(_), and where the differences are reflected in parentheses _(16) and _(10). For the sake of brevity, the discussion will focus more on the differences between Figures 2E-2F and Figures 2A-2D than on the similarities.

在第2E圖中,佈局圖200E包括單元204(3)(E)。佈局圖200E進一步包括:MD圖案210(17)、210(18)、210(19)、210(20)及210(21);閘極圖案212(7)、212(8)、214(9)、214(10)、214(11)及214(12); VGD圖案216(17)、216(18)、216(19)、216(20)、216(21)、216(22)及216(23);及M0圖案218(16)、218(17)、218(18)、218(19)、218(20)及218(21)。為了說明的簡單,(除了其他圖案以外),自第2C圖至第2D圖省略鰭片圖案、VIA0圖案及M1圖案。在一些實施例中,單元204(3)(E)包括:MD圖案210(17)至210(21);閘極圖案212(7)至212(8)及214(9)至214(12):VGD圖案216(17)至216(23);M0圖案218(17)至218(20):及M0圖案之部分218(16)及218(21)。 In Figure 2E, floorplan 200E includes cell 204(3)(E). The layout diagram 200E further includes: MD patterns 210(17), 210(18), 210(19), 210(20) and 210(21); gate patterns 212(7), 212(8), 214(9) , 214(10), 214(11) and 214(12); VGD patterns 216(17), 216(18), 216(19), 216(20), 216(21), 216(22) and 216(23); and M0 patterns 218(16), 218(17), 218(18), 218(19), 218(20) and 218(21). For simplicity of description, (except for other patterns), the fin pattern, the VIA0 pattern, and the M1 pattern are omitted from FIGS. 2C to 2D. In some embodiments, cell 204(3)(E) includes: MD patterns 210(17)-210(21); gate patterns 212(7)-212(8) and 214(9)-214(12) : VGD patterns 216(17) to 216(23); M0 patterns 218(17) to 218(20): and portions of M0 patterns 218(16) and 218(21).

單元204(3)(E)表示電路。在一些實施例中,單元204(3)(E)表示提供功能的電路。在一些實施例中,單元204(3)(E)表示提供邏輯功能的電路,且因此稱作邏輯單元。在一些實施例中,單元204(3)(E)表示邏輯功能NAND,例如,二輸入NAND(NAND2)。 Element 204(3)(E) represents a circuit. In some embodiments, unit 204(3)(E) represents a circuit that provides functionality. In some embodiments, cell 204(3)(E) represents a circuit that provides logic functionality, and is thus referred to as a logic cell. In some embodiments, cell 204(3)(E) represents a logical function NAND, eg, a two-input NAND (NAND2).

在佈局圖200E中,相對於X軸,根據大體上平行於Y軸之虛擬軌道的網格來佈置MD圖案210(17)至210(21)。在一些實施例中,相對於X軸,軌道間距(pitch of tracks;PT)為PT

Figure 108135327-A0305-02-0024-4
CPP,且因此緊鄰之MD圖案彼此相距一個軌道。在一些實施例中,軌道間距(PT)為PT
Figure 108135327-A0305-02-0024-6
½CPP,且因此緊鄰之MD圖案彼此相距兩個軌道。在一些實施例中,相對於X軸,每一MD圖案(例如,MD圖案210(1))之寬度為WMD
Figure 108135327-A0305-02-0024-7
CPP。 In the layout diagram 200E, the MD patterns 210(17) to 210(21) are arranged relative to the X-axis according to a grid of virtual tracks substantially parallel to the Y-axis. In some embodiments, the pitch of tracks (PT) is PT with respect to the X-axis
Figure 108135327-A0305-02-0024-4
The CPP, and thus the immediately adjacent MD patterns, are one track apart from each other. In some embodiments, the track pitch (PT) is PT
Figure 108135327-A0305-02-0024-6
½ CPP, and therefore immediately adjacent MD patterns are two tracks apart from each other. In some embodiments, the width of each MD pattern (eg, MD pattern 210(1)) is WMD relative to the X-axis
Figure 108135327-A0305-02-0024-7
CPP.

相對於X軸,在其中軌道間距(PT)為PT

Figure 108135327-A0305-02-0024-8
CPP之一些實施例中,對應MD圖案210(15)及210(18)之長軸 大體上與第一軌道共線,MD圖案210(16)及210(19)之長軸大體上與第二軌道共線,且對應MD圖案210(17)及210(19)之長軸大體上與第三(且最後)軌道共線。 Relative to the X-axis, where the track pitch (PT) is PT
Figure 108135327-A0305-02-0024-8
In some embodiments of the CPP, the long axes of the corresponding MD patterns 210(15) and 210(18) are substantially collinear with the first track, and the long axes of the MD patterns 210(16) and 210(19) are substantially in line with the second track. The tracks are collinear, and the major axes of the corresponding MD patterns 210(17) and 210(19) are generally collinear with the third (and last) track.

相對於X軸,在其中軌道間距(PT)為PT

Figure 108135327-A0305-02-0025-9
CPP之一些實施例中,軌道界定MD行(MD-column)。如此,MD圖案210(15)及210(18)位於第一MD行中,MD圖案210(16)及210(19)位於第二MD行中,且MD圖案210(17)及210(19)位於第三(且最後)MD行中。 Relative to the X-axis, where the track pitch (PT) is PT
Figure 108135327-A0305-02-0025-9
In some embodiments of the CPP, the tracks define MD-columns. As such, MD patterns 210(15) and 210(18) are located in the first MD row, MD patterns 210(16) and 210(19) are located in the second MD row, and MD patterns 210(17) and 210(19) in the third (and last) MD row.

在第2E圖中,M0圖案218(17)至218(20)在單元204(3)(E)之內。相對於X軸,M0圖案218(17)及218(20)中每一者的一個末端被定位成接近單元204(3)(E)之側邊界230。 In Figure 2E, M0 patterns 218(17)-218(20) are within cell 204(3)(E). One end of each of M0 patterns 218(17) and 218(20) is positioned proximate the side boundary 230 of cell 204(3)(E) with respect to the X-axis.

在一些實施例中,相對於X軸,為了幫助提供對應於單元204(3)(E)之第一單元區域與安置在第一單元區域之側邊界230右邊的相鄰(例如,鄰接)第二單元區域(未示出)之間的隔離,在M0圖案218(17)及218(20)中每一者的右端與單元204(3)(E)之側邊界230之間提供縫隙232。在一些實施例中,縫隙232之長度為L232,其中L232

Figure 108135327-A0305-02-0025-11
(1/6)CPP。 In some embodiments, relative to the X-axis, to help provide a first cell region corresponding to cell 204(3)(E) and an adjacent (eg, contiguous) first cell region disposed to the right of side boundary 230 of the first cell region Isolation between two cell regions (not shown) provides a gap 232 between the right end of each of M0 patterns 218(17) and 218(20) and the side boundary 230 of cell 204(3)(E). In some embodiments, the length of the slit 232 is L232, where L232
Figure 108135327-A0305-02-0025-11
(1/6) CPP.

在佈局圖200E中,相對於X軸,M0圖案218(18)、218(19)及218(20)各自具有大體上等於層級M0之最小寬度Lmin的寬度。相對於產生半導體元件之半導體技術製程世代的典型製造容限,最小寬度Lmin表示半導體元件中之層M0中之導電區段的最小長度。最小寬度Lmin 小於CPP,Lmin<CPP。在一些實施例中,Lmin基於切割M0(CM0)圖案(圖中未示)之間距。在一些實施例中,Lmin

Figure 108135327-A0305-02-0026-30
CPP。 In the layout diagram 200E, with respect to the X-axis, the M0 patterns 218(18), 218(19), and 218(20) each have a width substantially equal to the minimum width Lmin of the level M0. The minimum width Lmin represents the minimum length of a conductive segment in layer M0 in a semiconductor element relative to typical manufacturing tolerances of the semiconductor technology process generation from which the semiconductor element is produced. The minimum width Lmin is less than CPP, Lmin<CPP. In some embodiments, Lmin is based on the spacing between cut M0 (CM0) patterns (not shown). In some embodiments, Lmin
Figure 108135327-A0305-02-0026-30
CPP.

在一些實施例中,設計規則3如下:若給定MD圖案位於單元之第一MD行或最後MD行中,且若給定MD圖案被對應VGD圖案覆蓋,且若覆蓋對應VGD圖案之對應M0圖案並非PG圖案,則對應M0圖案之寬度(相對於X軸)經設定而為至少L2,其中CPP<L2。在一些實施例中,L2

Figure 108135327-A0305-02-0026-14
1.5CPP。 In some embodiments, design rule 3 is as follows: if a given MD pattern is in the first or last MD row of the cell, and if the given MD pattern is covered by the corresponding VGD pattern, and if the corresponding M0 of the corresponding VGD pattern is covered If the pattern is not a PG pattern, the width (relative to the X axis) of the corresponding M0 pattern is set to be at least L2, where CPP<L2. In some embodiments, L2
Figure 108135327-A0305-02-0026-14
1.5CPP.

在第2E圖中,MD圖案210(15)及210(18)位於第一MD行中,且MD圖案210(17)及210(20)位於最後MD行中。MD圖案210(15)、210(17)、210(18)及210(20)中之每一者皆被VD圖案(亦即,對應VD圖案216(17)、216(18)、216(23)及216(22))覆蓋。 In Figure 2E, MD patterns 210(15) and 210(18) are in the first MD row, and MD patterns 210(17) and 210(20) are in the last MD row. Each of MD patterns 210(15), 210(17), 210(18), and 210(20) are VD patterns (ie, corresponding to VD patterns 216(17), 216(18), 216(23) ) and 216(22)) coverage.

在佈局圖200E中,MD圖案210(20)被M0圖案218(20)覆蓋,後者並非PG圖案。因此,設計規則3適用於MD圖案210(20)。 In layout 200E, MD pattern 210(20) is covered by M0 pattern 218(20), which is not a PG pattern. Therefore, Design Rule 3 applies to the MD pattern 210 (20).

在第2F圖中示出將設計規則3應用於第2E圖之結果。佈局圖200F之單元204(3)(F)為將包括設計規則3之方法應用於佈局圖200E之結果,且更特定言之是應用於M0圖案218(20)。將設計規則3應用於第2E圖之結果包括將第2E圖之M0圖案218(20)改變成第2F圖中之M0圖案218(20)'。在第2F圖中將M0圖案218(20)'之寬度的增加△W,△W示為元件符號234。在一些實施例中,相對於X軸, L2表示對應半導體技術製程世代的CM0圖案(圖中未示)之間的最小相隔距離。藉由將M0圖案218(20)'之寬度增大至足以被指定作為釘孔圖案,與佈局圖200E相比較,佈局圖200F具有改善的M0佈線資源。在一些實施例中,因為指定給定M0圖案作為釘孔圖案,且因為佈局圖200F與佈局圖200E相比較而言具有可指定作為釘孔圖案之一個額外M0圖案,所以佈局圖200F被視為相對於佈局圖200E而言具有改善的M0佈線資源。 The result of applying Design Rule 3 to Figure 2E is shown in Figure 2F. Cell 204(3)(F) of floorplan 200F is the result of applying the method including design rule 3 to floorplan 200E, and more specifically to M0 pattern 218(20). The result of applying Design Rule 3 to Figure 2E includes changing the M0 pattern 218(20) of Figure 2E to the M0 pattern 218(20)' of Figure 2F. An increase ΔW, ΔW in the width of the M0 pattern 218 ( 20 )' is shown as reference numeral 234 in FIG. 2F . In some embodiments, with respect to the X-axis, L2 represents the minimum separation distance between CM0 patterns (not shown) corresponding to the semiconductor technology process generation. By increasing the width of M0 pattern 218(20)' enough to be designated as a pinhole pattern, floorplan 200F has improved M0 routing resources compared to floorplan 200E. In some embodiments, layout 200F is considered to be a given M0 pattern designated as a pinhole pattern, and because layout 200F compared to layout 200E has one additional M0 pattern that can be designated as a pinhole pattern There are improved M0 routing resources relative to floorplan 200E.

第3A圖至第3H圖為根據一些實施例之對應佈局圖300A至300H。 3A-3H are corresponding layout diagrams 300A- 300H according to some embodiments.

佈局圖300A、300C、300E及300G表示初始佈局圖,且佈局圖300B、300D、300F及300H表示根據一些實施例的由本文所揭示之一或多個方法產生的對應佈局圖(方法後(post-method)佈局圖)。舉例而言,佈局圖300A表示初始佈局圖,且佈局圖300B表示根據一些實施例的由本文所揭示之實施例之一或多個方法產生的對應之方法後佈局圖。更特定而言,佈局圖300B之單元304(1)(B)表示已根據一些實施例將包括第四設計規則(設計規則4)(以下論述)之方法應用於第3A圖之佈局圖300A。對應於單元304(1)(B)、304(2)(D)、304(3)(F)及304(4)(H)之單元區域的實例為第1圖之單元區域104。 Layouts 300A, 300C, 300E, and 300G represent initial layouts, and layouts 300B, 300D, 300F, and 300H represent corresponding layouts (post-method) generated by one or more of the methods disclosed herein in accordance with some embodiments. -method) layout diagram). For example, floor plan 300A represents an initial floor plan, and floor plan 300B represents a corresponding post-method floor plan resulting from one or more of the methods disclosed herein, according to some embodiments. More specifically, cell 304(1)(B) of floorplan 300B represents that a method including a fourth design rule (Design Rule 4) (discussed below) has been applied to floorplan 300A of Figure 3A in accordance with some embodiments. An example of a cell region corresponding to cells 304(1)(B), 304(2)(D), 304(3)(F), and 304(4)(H) is cell region 104 of FIG. 1 .

佈局圖300A至300H類似於對應的第2A圖至第2F圖之佈局圖200A至200F。第3A圖至第3H圖遵循與第2A圖至第2F圖之編號慣例類似的編號慣例。儘管相對應, 但一些部件仍不同。第2A圖至第2F圖使用2序列編號,而第3A圖至第3H圖使用3序列編號。為了幫助識別相對應但仍具有差別之部件,編號慣例使用括號編號。舉例而言,第3A圖中之圖案318(1)(A)及第2C圖中之圖案218(11)均為M0圖案,其中類似之處反映在共同根_18(_)中,且其中差別反映在序列號3_(_)(_)及2_(_)中及括號_(1)(_)及_(11)中。為了幫助反映對應的初始佈局圖與方法後佈局圖之間的差別,一些元件包括第二括號。舉例而言,第3A圖中之圖案318(1)(A)及第3B圖中之圖案318(1)(B)均為M0圖案,其中差別反映在第二括號_(_)(A)及_(_)(B)中。為了簡要起見,相比於類似之處,論述將更多地聚焦於第3A圖至第3H圖與第2A圖至第2F圖之間的差別。 The layout diagrams 300A to 300H are similar to the layout diagrams 200A to 200F of the corresponding Figures 2A to 2F. Figures 3A-3H follow a similar numbering convention to that of Figures 2A-2F. Although correspondingly, But some parts are still different. Figures 2A-2F use 2-sequence numbering, while Figures 3A-3H use 3-sequence numbering. To help identify corresponding but still different parts, the numbering convention uses bracket numbers. For example, pattern 318(1)(A) in Figure 3A and pattern 218(11) in Figure 2C are both M0 patterns, with similarities reflected in common root_18(_), and where The differences are reflected in sequence numbers 3_(_)(_) and 2_(_) and in brackets _(1)(_) and _(11). To help reflect the difference between the corresponding initial layout and post-method layout, some elements include second brackets. For example, pattern 318(1)(A) in Figure 3A and pattern 318(1)(B) in Figure 3B are both M0 patterns, with the difference reflected in the second bracket _(_)(A) and _(_)(B). For the sake of brevity, the discussion will focus more on the differences between Figures 3A-3H and Figures 2A-2F than on similarities.

在第3A圖中,佈局圖300A包括單元304(1)(A)之部分。佈局圖300A進一步包括:閘極圖案312(1)、312(2)、314(1)及314(2);VGD圖案316(1):M0圖案318(1)(A);及VIA0圖案320(1)。為了說明的簡單,(除了其他圖案以外),自第2C圖至第2D圖省略鰭片圖案、MD圖案及M1圖案。在一些實施例中,單元304(1)(A)包括:閘極圖案312(1)至312(2)及314(1)至314(2):VGD圖案316(1);及M0圖案318(1)(A)。 In Figure 3A, floorplan 300A includes portions of cell 304(1)(A). Layout diagram 300A further includes: gate patterns 312(1), 312(2), 314(1), and 314(2); VGD pattern 316(1): M0 pattern 318(1)(A); and VIA0 pattern 320 (1). For simplicity of description, (except for other patterns), the fin pattern, the MD pattern, and the M1 pattern are omitted from FIGS. 2C to 2D. In some embodiments, cell 304(1)(A) includes: gate patterns 312(1)-312(2) and 314(1)-314(2): VGD pattern 316(1); and M0 pattern 318 (1)(A).

在佈局圖300A中,VGD圖案316(1)被M0圖案318(1)(A)覆蓋,且M0圖案318(1)(A)被VIA0圖案320(1)覆蓋。相對於水平方向,M0圖案318(1)(A)之第一部分延伸至VIA0圖案320(1)的右邊達寬度336(2)。 In layout diagram 300A, VGD pattern 316(1) is covered by M0 pattern 318(1)(A), and M0 pattern 318(1)(A) is covered by VIA0 pattern 320(1). The first portion of the M0 pattern 318(1)(A) extends to the right of the VIA0 pattern 320(1) by a width 336(2) relative to the horizontal.

M0圖案318(1)(A)之第一部分突出於VIA0圖案320(1)的右側達寬度336(2),且因此寬度336(2)稱作突出量(overhang;OH)336(2)。在一些實施例中,OH 336(_)(例如,OH 336(1)、OH 336(2)或其類似者)表示半導體元件中可由對應半導體技術製程世代在典型製造容限內產生的最小突出寬度WHO(相對於X軸),例如,層M0中之對應第一導電區段突出於第一VIA0結構,其中第一VIA0結構由VIA0圖案320(1)表示,且層M0中之第一導電區段由M0圖案318(1)(A)表示。在一些實施例中,(

Figure 108135327-A0305-02-0029-15
0.2CPP)
Figure 108135327-A0305-02-0029-16
WOH
Figure 108135327-A0305-02-0029-17
(
Figure 108135327-A0305-02-0029-18
0.3CPP)。在一些實施例中,相對於產生半導體元件之半導體技術製程世代的典型製造容限,若半導體中之M0區段的最小高度Hmin(相對於Y軸)為(
Figure 108135327-A0305-02-0029-19
20nm)<Hmin,則WOH
Figure 108135327-A0305-02-0029-20
0.2CPP。在一些實施例中,若對應半導體技術製程世代之最小高度Hmin為(
Figure 108135327-A0305-02-0029-21
9nm)
Figure 108135327-A0305-02-0029-24
Hmin
Figure 108135327-A0305-02-0029-25
(
Figure 108135327-A0305-02-0029-26
20nm),則WOH
Figure 108135327-A0305-02-0029-27
0.3CPP。在一些實施例中,在M0圖案突出於對應VIA0圖案且M0圖案之突出部分的寬度為大致OH 336(_)的情況下,則將突出部分稱作短節部分。 The first portion of M0 pattern 318(1)(A) protrudes to the right of VIA0 pattern 320(1) by width 336(2), and thus width 336(2) is referred to as overhang (OH) 336(2). In some embodiments, OH 336(_) (eg, OH 336(1), OH 336(2), or the like) represents the smallest protrusion in a semiconductor element that can be produced within typical manufacturing tolerances by the corresponding semiconductor technology process generation Width WHO (relative to the X-axis), eg, the corresponding first conductive segment in layer M0 protrudes beyond the first VIA0 structure, where the first VIA0 structure is represented by VIA0 pattern 320(1), and the first conductive segment in layer M0 is The segment is represented by the M0 pattern 318(1)(A). In some embodiments, (
Figure 108135327-A0305-02-0029-15
0.2CPP)
Figure 108135327-A0305-02-0029-16
WOH
Figure 108135327-A0305-02-0029-17
(
Figure 108135327-A0305-02-0029-18
0.3CPP). In some embodiments, relative to the typical manufacturing tolerances of the semiconductor technology process generation in which the semiconductor device is produced, if the minimum height Hmin (relative to the Y-axis) of the M0 segment in the semiconductor is (
Figure 108135327-A0305-02-0029-19
20nm)<Hmin, then WOH
Figure 108135327-A0305-02-0029-20
0.2CPP. In some embodiments, if the minimum height Hmin corresponding to the semiconductor technology process generation is (
Figure 108135327-A0305-02-0029-21
9nm)
Figure 108135327-A0305-02-0029-24
Hmin
Figure 108135327-A0305-02-0029-25
(
Figure 108135327-A0305-02-0029-26
20nm), then WOH
Figure 108135327-A0305-02-0029-27
0.3CPP. In some embodiments, where the M0 pattern protrudes beyond the corresponding VIA0 pattern and the width of the protruding portion of the M0 pattern is approximately OH 336(_), the protruding portion is referred to as a subsection.

相對於水平方向,M0圖案318(1)(A)之第二部分延伸至VGD圖案316(1)的左邊達寬度336(1),且M0圖案318(1)(A)之第三部分338(1)延伸至M0圖案318(1)(A)之第二部分的左邊。 The second portion of the M0 pattern 318(1)(A) extends to the left of the VGD pattern 316(1) by a width 336(1) relative to the horizontal, and the third portion 338 of the M0 pattern 318(1)(A) (1) extends to the left of the second portion of the M0 pattern 318(1)(A).

在一些實施例中,設計規則4如下:相對於X軸,若給定M0圖案覆蓋給定VGD圖案或被給定VIA0圖案 覆蓋,則給定M0圖案之第一及第二翼部分(在目前範圍內)被減小至對應的第一及第二短節部分,其中(A)第一翼部分延伸至最左介層孔圖案(其為VG圖案或VIA0圖案)的左邊達大於OH 336(_)之量,(B)第二翼部分延伸至最右介層孔圖案(其為VG圖案或VIA0圖案)的右邊達大於OH 336(_)之量,(C)第一短節部分延伸至最左介層孔圖案(其為VG圖案或VIA0圖案)的左邊且具有大體上等於OH 336(_)之寬度,以及(D)第二短節部分延伸至最右介層孔圖案(其為VG圖案或VIA0圖案)的右邊且具有大體上等於OH 336(_)之寬度。在一些實施例中,將給定M0圖案之翼部分減小至短節部分稱作修整翼部分。 In some embodiments, Design Rule 4 is as follows: With respect to the X-axis, if a given M0 pattern covers a given VGD pattern or is given a VIA0 pattern Overlay, then the first and second wing portions of a given M0 pattern (in the present range) are reduced to the corresponding first and second puppet portions, where (A) the first wing portion extends to the leftmost via The left side of the hole pattern (which is a VG pattern or a VIA0 pattern) by an amount greater than OH 336(_), (B) the second wing portion extends to the right of the rightmost via pattern (which is a VG pattern or a VIA0 pattern) by up to an amount greater than OH 336(_), (C) the first subsection portion extends to the left of the leftmost via pattern (which is a VG pattern or a VIA0 pattern) and has a width substantially equal to OH 336(_), and (D) The second subsection extends to the right of the rightmost via pattern (which is the VG pattern or the VIAO pattern) and has a width substantially equal to OH 336(_). In some embodiments, reducing the wing portion of a given M0 pattern to a puppet portion is referred to as a trim wing portion.

在第3A圖中,相對於M0圖案318(1)(A)之突出,最左介層孔圖案為VGD圖案316(1)。M0圖案318(1)(A)之第一翼部分對應於M0圖案318(1)(A)之第三部分338(1)與M0圖案318(1)(A)之第二部分的組合,此第二部分延伸至VGD圖案316(1)的左邊達寬度336(1)。 In Figure 3A, the leftmost via pattern is VGD pattern 316(1) relative to the protrusion of M0 pattern 318(1)(A). The first wing portion of the M0 pattern 318(1)(A) corresponds to the combination of the third portion 338(1) of the M0 pattern 318(1)(A) and the second portion of the M0 pattern 318(1)(A), This second portion extends to the left of VGD pattern 316(1) by width 336(1).

第一翼部分延伸至VGD圖案316(1)的左邊達大於OH 336(2)之量。因此,設計規則4適用於M0圖案318(1)(A)之第一翼部分。特定而言,M0圖案318(1)(A)之第一翼部分延伸超過OH 336(2)的量等於M0圖案318(1)(A)之第三部分338(1)的寬度。 The first wing portion extends to the left of VGD pattern 316(1) by an amount greater than OH 336(2). Therefore, Design Rule 4 applies to the first wing portion of M0 pattern 318(1)(A). In particular, the first wing portion of M0 pattern 318(1)(A) extends beyond OH 336(2) by an amount equal to the width of the third portion 338(1) of M0 pattern 318(1)(A).

在佈局圖300A中,相對於M0圖案318(1)(A)之突出,最右介層孔圖案為VIA0圖案320(1)。M0圖案318(1)(A)之第二翼部分對應於M0圖案318(1)(A)之第二 部分。M0圖案318(1)(A)之第二翼部分延伸至VIA0圖案320(1)的右邊,但未達大於OH 336(2)的量。因此,設計規則4不適用於M0圖案318(1)(A)之第二翼部分。 In layout diagram 300A, with respect to the protrusion of M0 pattern 318(1)(A), the rightmost via pattern is VIA0 pattern 320(1). The second wing portion of M0 pattern 318(1)(A) corresponds to the second wing portion of M0 pattern 318(1)(A) part. The second wing portion of M0 pattern 318(1)(A) extends to the right of VIA0 pattern 320(1), but not by an amount greater than OH 336(2). Therefore, Design Rule 4 does not apply to the second wing portion of M0 pattern 318(1)(A).

在第3B圖中示出已將設計規則4應用於第3A圖之結果。佈局圖300B之單元304(1)(B)為將包括設計規則4之方法應用於佈局圖300A之結果,且更特定言之是應用於M0圖案318(1)(A)之第一翼部分。將設計規則4應用於第3A圖之結果包括:較窄(相對於X軸)的M0圖案318(1)(B)已替代了較寬的M0圖案318(1)(A)。M0圖案318(1)(B)比M0圖案318(1)(A)窄,因為已自第3A圖移除了M0圖案318(1)(A)之第三部分338(1),如由第3B圖中之對應虛線形狀338(1)'所指示。 The result of applying Design Rule 4 to Figure 3A is shown in Figure 3B. Cell 304(1)(B) of floorplan 300B is the result of applying the method including Design Rule 4 to floorplan 300A, and more specifically to the first wing portion of M0 pattern 318(1)(A) . The results of applying Design Rule 4 to Figure 3A include that the narrower (relative to the X-axis) M0 pattern 318(1)(B) has replaced the wider M0 pattern 318(1)(A). M0 pattern 318(1)(B) is narrower than M0 pattern 318(1)(A) because third portion 338(1) of M0 pattern 318(1)(A) has been removed from FIG. 3A, as shown by The corresponding dashed shape 338(1)' in Figure 3B is indicated.

藉由已移除M0圖案338(1)(A)之第三部分338(1),與佈局圖300A相比較,佈局圖300B較不擁塞。藉由已移除M0圖案338(1)(A)之第三部分338(1),與佈局圖300A相比較,佈局圖300B具有改善的M0佈線資源。在一些實施例中,因為佈局圖300B之M0圖案318(1)(B)比佈局圖300A之M0圖案318(1)(A)窄,所以佈局圖300B被視為相對於佈局圖300A而言具有改善的M0佈線資源。 With the third portion 338(1) of the M0 pattern 338(1)(A) removed, the floorplan 300B is less congested than the floorplan 300A. With the third portion 338(1) of the M0 pattern 338(1)(A) removed, the floorplan 300B has improved M0 routing resources compared to the floorplan 300A. In some embodiments, floorplan 300B is considered relative to floorplan 300A because M0 pattern 318(1)(B) of floorplan 300B is narrower than M0 pattern 318(1)(A) of floorplan 300A Features improved M0 routing resources.

關於第3C圖及第3D圖,應記住,佈局圖300D之單元304(2)(D)表示已根據一些實施例將包括設計規則4之方法應用於第3C圖之佈局圖300C。 With regard to Figures 3C and 3D, it should be remembered that cell 304(2)(D) of floorplan 300D represents that a method including design rule 4 has been applied to floorplan 300C of Figure 3C according to some embodiments.

在佈局圖300C中,相對於M0圖案318(2)(C)之突出,最左介層孔圖案為VIA0圖案316(1),使得M0圖 案318(2)(C)之第一翼部分與M0圖案318(1)(A)之第一翼部分相同。因此,設計規則4適用於M0圖案318(2)(C)之第一翼部分。 In layout diagram 300C, with respect to the protrusion of M0 pattern 318(2)(C), the leftmost via pattern is VIA0 pattern 316(1), such that M0 pattern 316(1) The first wing portion of pattern 318(2)(C) is the same as the first wing portion of M0 pattern 318(1)(A). Therefore, Design Rule 4 applies to the first wing portion of M0 pattern 318(2)(C).

在佈局圖300C中,相對於M0圖案318(2)(C)之突出,最右介層孔圖案為VGD圖案316(1)。關於M0圖案318(2)(C),M0圖案318(2)(C)之第四部分延伸至VGD圖案316(1)的右邊達寬度336(3),且M0圖案318(2)(C)之第五部分338(2)延伸至M0圖案318(2)(C)之第四部分的右邊。M0圖案318(2)(C)之第二翼部分對應於M0圖案318(2)(C)之第五部分338(2)與M0圖案318(2)(C)之第四部分的組合。第二翼部分延伸至VGD圖案316(1)的右邊達大於OH 336(3)之量。因此,設計規則4適用於M0圖案318(2)(C)之第二翼部分。特定而言,M0圖案318(2)(C)之第二翼部分延伸超過OH 336(3)的量等於M0圖案318(2)(C)之第五部分338(2)的寬度。 In layout diagram 300C, with respect to the protrusion of M0 pattern 318(2)(C), the rightmost via pattern is VGD pattern 316(1). With respect to M0 pattern 318(2)(C), the fourth portion of M0 pattern 318(2)(C) extends to the right of VGD pattern 316(1) by width 336(3), and M0 pattern 318(2)(C) ) of the fifth portion 338(2) extends to the right of the fourth portion of the M0 pattern 318(2)(C). The second wing portion of M0 pattern 318(2)(C) corresponds to the combination of fifth portion 338(2) of M0 pattern 318(2)(C) and the fourth portion of M0 pattern 318(2)(C). The second wing portion extends to the right of VGD pattern 316(1) by an amount greater than OH 336(3). Therefore, Design Rule 4 applies to the second wing portion of M0 pattern 318(2)(C). In particular, the second wing portion of M0 pattern 318(2)(C) extends beyond OH 336(3) by an amount equal to the width of fifth portion 338(2) of M0 pattern 318(2)(C).

在第3D圖中示出已將設計規則4應用於第3C圖之結果。佈局圖300D之單元304(2)(D)為將包括設計規則4之方法應用於佈局圖300C之結果,且更特定言之是應用於M0圖案318(2)(C)之第一及第二翼部分。已將設計規則4應用於第3C圖之結果包括:較窄(相對於X軸)的M0圖案318(2)(D)已替代了較寬的M0圖案318(2)(C)。M0圖案318(2)(D)比M0圖案318(1)(C)窄,因為已自第3C圖移除了M0圖案318(2)(C)之第三部分338(1)及第五部分338(2),如由第3D圖中之對應虛線形狀338(1)'及338(2)' 所指示。在一些實施例中,因為佈局圖300D之M0圖案318(2)(D)比佈局圖300C之M0圖案318(2)(C)窄,所以佈局圖300D被視為相對於佈局圖300C而言具有改善的M0佈線資源。 The result of applying Design Rule 4 to Figure 3C is shown in Figure 3D. Cell 304(2)(D) of floorplan 300D is the result of applying the method including design rule 4 to floorplan 300C, and more specifically to the first and second elements of M0 pattern 318(2)(C). Two wings. The results of having applied Design Rule 4 to Figure 3C include that the narrower (relative to the X-axis) M0 pattern 318(2)(D) has replaced the wider M0 pattern 318(2)(C). M0 pattern 318(2)(D) is narrower than M0 pattern 318(1)(C) because third portion 338(1) and fifth portion of M0 pattern 318(2)(C) have been removed from Figure 3C Portion 338(2), as indicated by the corresponding dashed shapes 338(1)' and 338(2)' in Figure 3D indicated. In some embodiments, floorplan 300D is considered relative to floorplan 300C because M0 pattern 318(2)(D) of floorplan 300D is narrower than M0 pattern 318(2)(C) of floorplan 300C Features improved M0 routing resources.

在第3F圖中示出將設計規則4應用於第3E圖之結果。佈局圖300F之單元304(3)(F)為將包括設計規則4之方法應用於佈局圖300E之結果,且更特定言之是應用於M0圖案318(3)(E)之第一翼部分。將設計規則4應用於第3E圖之結果包括:較窄(相對於X軸)的M0圖案318(3)(F)已替代了較寬的M0圖案318(3)(E)。M0圖案318(3)(F)比M0圖案318(3)(E)窄,因為已自第3E圖移除了M0圖案318(3)(E)之部分338(3),如由第3F圖中之對應虛線形狀338(3)'所指示。在一些實施例中,因為佈局圖300F之M0圖案318(3)(F)比佈局圖300E之M0圖案318(3)(E)窄,所以佈局圖300F被視為相對於佈局圖300E而言具有改善的M0佈線資源。 The result of applying Design Rule 4 to Figure 3E is shown in Figure 3F. Cell 304(3)(F) of floorplan 300F is the result of applying the method including design rule 4 to floorplan 300E, and more specifically to the first wing portion of M0 pattern 318(3)(E) . The results of applying Design Rule 4 to Figure 3E include that the narrower (relative to the X-axis) M0 pattern 318(3)(F) has replaced the wider M0 pattern 318(3)(E). M0 pattern 318(3)(F) is narrower than M0 pattern 318(3)(E) because portion 338(3) of M0 pattern 318(3)(E) has been removed from Fig. 3E, as shown by Fig. 3F The corresponding dashed shape 338(3)' in the figure is indicated. In some embodiments, floorplan 300F is considered relative to floorplan 300E because M0 pattern 318(3)(F) of floorplan 300F is narrower than M0 pattern 318(3)(E) of floorplan 300E Features improved M0 routing resources.

在第3H圖中示出將設計規則4應用於第3G圖之結果。佈局圖300H之單元304(4)(H)為將包括設計規則4之方法應用於佈局圖300G之結果,且更特定言之是應用於M0圖案318(4)(G)之第一及第二翼部分。將設計規則4應用於第3G圖之結果包括:較窄(相對於X軸)的M0圖案318(4)(H)已替代了較寬的M0圖案318(4)(G)。M0圖案318(4)(H)比M0圖案318(4)(G)窄,因為已自第3G圖移除了M0圖案318(4)(G)之部分338(3)及部分338(4),如由第 3H圖中之對應虛線形狀338(3)'及338(4)'所指示。在一些實施例中,因為佈局圖300H之M0圖案318(4)(H)比佈局圖300G之M0圖案318(4)(G)窄,所以佈局圖300H被視為相對於佈局圖300G而言具有改善的M0佈線資源。 The result of applying Design Rule 4 to Figure 3G is shown in Figure 3H. Cell 304(4)(H) of floorplan 300H is the result of applying the method including design rule 4 to floorplan 300G, and more specifically to the first and second of M0 pattern 318(4)(G) Two wings. The results of applying Design Rule 4 to Figure 3G include that the narrower (relative to the X-axis) M0 pattern 318(4)(H) has replaced the wider M0 pattern 318(4)(G). M0 pattern 318(4)(H) is narrower than M0 pattern 318(4)(G) because portions 338(3) and 338(4) of M0 pattern 318(4)(G) have been removed from Figure 3G ), as indicated by the Corresponding dashed shapes 338(3)' and 338(4)' in Figure 3H are indicated. In some embodiments, floorplan 300H is considered relative to floorplan 300G because M0 pattern 318(4)(H) of floorplan 300H is narrower than M0 pattern 318(4)(G) of floorplan 300G Features improved M0 routing resources.

第4A圖至第4C圖為根據一些實施例的對應半導體元件之對應部分的對應橫截面圖400A至400C。 FIGS. 4A-4C are corresponding cross-sectional views 400A-400C of corresponding portions of corresponding semiconductor elements according to some embodiments.

更特定而言,橫截面圖400A至400B示出基於第2A圖之佈局圖200A之半導體元件的對應部分。橫截面圖400C至400D示出基於第2B圖之佈局圖200之半導體元件的對應部分。對應於橫截面圖400C至400D之部分以及包括此些部分之半導體元件為第1圖之單元區域104及半導體元件100的對應實例。 More specifically, the cross-sectional views 400A-400B illustrate corresponding portions of the semiconductor device based on the layout diagram 200A of FIG. 2A. Cross-sectional views 400C to 400D show corresponding portions of the semiconductor device based on the layout diagram 200 of FIG. 2B. Portions corresponding to cross-sectional views 400C to 400D and semiconductor elements including such portions are corresponding examples of cell region 104 and semiconductor element 100 of FIG. 1 .

第4A圖至第4C圖假設正交XYZ座標系統,其中X軸、Y軸及Z軸表示對應的第一、第二及第三方向。在一些實施例中,第一、第二及第三方向對應於與XYZ座標系統不同的正交座標系統。 FIGS. 4A to 4C assume an orthogonal XYZ coordinate system, wherein the X-axis, the Y-axis and the Z-axis represent the corresponding first, second and third directions. In some embodiments, the first, second and third directions correspond to a different orthogonal coordinate system than the XYZ coordinate system.

橫截面圖400A至400D遵循與第2A圖至第2F圖之編號慣例類似的編號慣例。第2A圖至第2F圖使用2序列編號,而第4A圖至第4D圖使用4序列編號。舉例而言,第4A圖之鰭片408P對應於第2A圖之鰭片圖案208P。 Cross-sectional views 400A-400D follow a similar numbering convention to that of Figures 2A-2F. Figures 2A-2F use 2-sequence numbering, while Figures 4A-4D use 4-sequence numbering. For example, the fin 408P of FIG. 4A corresponds to the fin pattern 208P of FIG. 2A.

在第4A圖中,對應於橫截面圖400A之部分包括電晶體層452;在電晶體層452之上的M0金屬化層;在M0層454之上的V0層456:及在V0層456之上的M1層458。 In FIG. 4A, the portion corresponding to cross-sectional view 400A includes transistor layer 452; M0 metallization layer over transistor layer 452; V0 layer 456 over M0 layer 454: and between V0 layer 456 458 on the M1 floor.

電晶體層452包括:鰭片408P;在對應於鰭片408P之子層中的層間介電層(ILD)460;在鰭片408P上之MD結構410(2);在鰭片408P上之閘極結構412(2);在對應於MD結構410(2)及閘極結構412(2)之子層中的ILD 462;在MD結構410(2)上之VGD結構416(3);及在對應於VGD結構416(3)之子層中的ILD 464。M0層454包括導電M0區段418(2)(其覆蓋在VGD結構416(3)上)及ILD 466。V0層456包括VIA0結構420(2)(其在M0區段418(2)上)及ILD 468。M1層458包括M1區段422(2)及422(3)(後者覆蓋在V0 420(2)上)及ILD 450。 Transistor layer 452 includes: fin 408P; interlayer dielectric (ILD) 460 in a sublayer corresponding to fin 408P; MD structure 410(2) on fin 408P; gate on fin 408P structure 412(2); ILD 462 in the sublayer corresponding to MD structure 410(2) and gate structure 412(2); VGD structure 416(3) on MD structure 410(2); ILD 464 in a sublayer of VGD structure 416(3). M0 layer 454 includes conductive M0 segment 418( 2 ) overlying VGD structure 416( 3 ) and ILD 466 . VO layer 456 includes VIA0 structure 420( 2 ) (which is on M0 section 418( 2 )) and ILD 468 . M1 layer 458 includes M1 sections 422( 2 ) and 422( 3 ) (the latter overlying V0 420( 2 )) and ILD 450 .

鰭片408P之長軸在大體上平行於X軸之方向上延伸。MD結構410(2)及閘極結構412(2)之長軸在Y方向上(圖4A中未示)延伸。相對於Z軸,MD結構410(2)及閘極結構412(2)安置在鰭片408P上。 The long axis of the fin 408P extends in a direction generally parallel to the X axis. The long axes of MD structure 410(2) and gate structure 412(2) extend in the Y direction (not shown in FIG. 4A). With respect to the Z-axis, MD structure 410(2) and gate structure 412(2) are disposed on fin 408P.

VGD結構(例如,VGD結構416(3))為接觸結構,其電耦接層M0中之上覆導電區段(例如,M0區段418(2))與下伏MD結構(例如,MD結構410(2))或下伏閘極結構。在一些實施例中,VGD為短語介層孔閘極或介層孔汲極/源極的縮寫。 A VGD structure (eg, VGD structure 416(3)) is a contact structure that electrically couples an overlying conductive segment (eg, M0 segment 418(2)) and an underlying MD structure (eg, MD structure) in layer M0 410(2)) or the underlying gate structure. In some embodiments, VGD is an acronym for the phrase Via Gate or Via Drain/Source.

在第4B圖中,關於對應於橫截面圖400B之部分,電晶體層452包括:在對應於鰭片408P(第4B圖中未示)之子層中的層間介電層(ILD)460;閘極結構412(1)及412(2);ILD 462;在閘極結構412(1)上之VGD結構416(3);及ILD 464。M0層454包括導電M0區段418(4) (其覆蓋在VGD結構416(3)上)及418(5)及ILD 466。V0層456包括VIA0結構420(1)(其在M0區段418(4)上)及ILD 468。M1層458包括導電M1區段422(1)(其在VIA0結構420(1)上)、422(2)及422(3)以及ILD 450。 In FIG. 4B, with respect to the portion corresponding to the cross-sectional view 400B, the transistor layer 452 includes: an interlayer dielectric (ILD) 460 in a sublayer corresponding to the fin 408P (not shown in FIG. 4B); a gate Pole structures 412(1) and 412(2); ILD 462; VGD structure 416(3) on gate structure 412(1); and ILD 464. M0 layer 454 includes conductive M0 segment 418(4) (which overlies VGD structure 416(3)) and 418(5) and ILD 466. VO layer 456 includes VIA0 structure 420( 1 ) (which is on M0 section 418( 4 )) and ILD 468 . M1 layer 458 includes conductive M1 segment 422( 1 ) (which is on VIA0 structure 420( 1 )), 422( 2 ) and 422( 3 ), and ILD 450 .

在第4C圖中,與第4A圖相比較,已移除一些結構。特定而言,在第4C圖中已移除第4A圖之M1圖案422(2),如由對應虛線形狀422(2)'所指示。 In Figure 4C, compared to Figure 4A, some structures have been removed. In particular, the M1 pattern 422(2) of Figure 4A has been removed in Figure 4C, as indicated by the corresponding dashed shape 422(2)'.

在第4D圖中,與第4B圖相比較,已移除一些結構。特定而言,在第4D圖中已移除第4B圖之VIA0圖案420(1),如由對應虛線形狀420(1)'所指示。又,在第4D圖中已移除第4B圖之M1圖案422(1)及422(2),如藉由對應的虛線形狀422(1)'及422(2)'所指示。 In Figure 4D, compared to Figure 4B, some structures have been removed. In particular, VIA0 pattern 420(1) of FIG. 4B has been removed in FIG. 4D, as indicated by the corresponding dashed shape 420(1)'. Also, the M1 patterns 422(1) and 422(2) of Fig. 4B have been removed in Fig. 4D, as indicated by the corresponding dashed shapes 422(1)' and 422(2)'.

第5圖為根據一些實施例的製造半導體元件之方法500的流程圖。 FIG. 5 is a flowchart of a method 500 of fabricating a semiconductor device in accordance with some embodiments.

可根據方法500製造之半導體元件的實例包括第1圖之半導體元件100。 Examples of semiconductor devices that may be fabricated according to method 500 include semiconductor device 100 of FIG. 1 .

在第5圖中,方法500包括方塊502至504。在方塊504處,產生佈局圖,此佈局圖包括(除了其他以外)改善了M0佈線資源之佈置。包括具有改善的M0佈線資源(其對應於藉由方法500產生之佈局)之單元區域之半導體元件的實例包括第1圖之半導體元件100。以下參考第6A圖更詳細地論述方塊502。自方塊502,流程進行至方塊504。 In FIG. 5, method 500 includes blocks 502-504. At block 504, a floorplan is generated that includes, among other things, improved placement of M0 routing resources. Examples of semiconductor devices that include cell regions with improved M0 routing resources that correspond to the layout produced by method 500 include semiconductor device 100 of FIG. 1 . Block 502 is discussed in more detail below with reference to FIG. 6A. From block 502, flow proceeds to block 504.

在方塊504處,基於佈局圖,進行如下各者中之至少一者:(A)進行一或多次光微影曝光;或(B)製造一 或多個半導體遮罩;或(C)製造半導體元件之層中的一或多個部件。參見以下第8圖之描述。 At block 504, based on the layout, at least one of: (A) performing one or more photolithography exposures; or (B) fabricating a or a plurality of semiconductor masks; or (C) one or more components in a layer in which a semiconductor element is fabricated. See the description of Figure 8 below.

第6A圖為根據一些實施例之產生佈局圖之方法的流程圖。 FIG. 6A is a flowchart of a method of generating a layout map according to some embodiments.

更特定而言,根據一或多個實施例,第6A圖之方法更詳細地示出第5圖之方塊502。 More particularly, the method of FIG. 6A illustrates block 502 of FIG. 5 in greater detail, in accordance with one or more embodiments.

可根據第6A圖之方法產生之佈局圖的實例包括本文所揭示之實施例之佈局圖,或其類似者。在一些實施例中,佈局圖及其對應版本儲存在非暫時性電腦可讀媒體上,例如,經儲存作為第7圖(以下論述)之電腦可讀媒體704中的(若干)佈局圖708。根據一些實施例,(例如)可使用EDA系統700(第7圖,以下論述)實施第6A圖之方法。可基於根據第6A圖之方法產生之佈局圖製造的半導體元件的實例包括第1圖之半導體元件100,以及基於佈局圖200B、200D、200F、300B、300D、300F、300G或其類似者的半導體元件。 Examples of layouts that can be generated according to the method of FIG. 6A include layouts of the embodiments disclosed herein, or the like. In some embodiments, the layouts and their corresponding versions are stored on a non-transitory computer-readable medium, eg, layout(s) 708 stored as computer-readable medium 704 of Figure 7 (discussed below). According to some embodiments, the method of FIG. 6A may be implemented, for example, using EDA system 700 (FIG. 7, discussed below). Examples of semiconductor elements that can be fabricated based on the layout produced according to the method of FIG. 6A include the semiconductor element 100 of FIG. 1, and semiconductors based on layouts 200B, 200D, 200F, 300B, 300D, 300F, 300G, or the like element.

在第6A圖中,方塊502包括方塊602至606。在方塊602處,選定候選圖案,此候選圖案為佈局圖之M_2nd層級或M_1st層級中的第一導電圖案。在一些實施例中,M_2nd層級為M1層級,且M_1st層級為M0層級。M_2nd層級中之圖案的實例包括第2A圖之M1層級中的M1圖案222(1)、222(2)及222(4),或其類似者。M_1st層級中之圖案的實例包括第2C圖之M0層級中的M0圖案218(12)及218(14)、第2F圖之M0層級中的M0圖案218(20)、第3A 圖之M0層級中的M0圖案318(1)(A)、第3C圖之M0層級中的M0圖案318(2)(C)、第3E圖之M0層級中的M0圖案318(3)(E)、第3G圖之M0層級中的M0圖案318(4)(G),或其類似者。自方塊602,流程進行至方塊604。 In Figure 6A, block 502 includes blocks 602-606. At block 602, a candidate pattern is selected, the candidate pattern being the first conductive pattern in the M_2nd level or the M_1st level of the layout. In some embodiments, the M_2nd level is the M1 level, and the M_1st level is the M0 level. Examples of patterns in the M_2nd level include M1 patterns 222(1), 222(2), and 222(4) in the M1 level of Figure 2A, or the like. Examples of patterns in the M_1st level include M0 patterns 218(12) and 218(14) in the M0 level of Fig. 2C, M0 pattern 218(20) in the M0 level of Fig. 2F, 3A The M0 pattern 318(1)(A) in the M0 level of Fig. 3C, the M0 pattern 318(2)(C) in the M0 level of Fig. 3C, the M0 pattern 318(3)(E) of the M0 level of Fig. 3E ), the M0 pattern 318(4)(G) in the M0 level of Figure 3G, or the like. From block 602, flow proceeds to block 604.

在方塊604處,決定候選圖案滿足一或多個準則。準則之實例為對應設計規則1、2、3或4之準則,或其類似者。自方塊604,流程進行至方塊606。 At block 604, it is determined that the candidate pattern satisfies one or more criteria. Examples of criteria are those corresponding to Design Rules 1, 2, 3, or 4, or the like. From block 604, flow proceeds to block 606.

在方塊606處,改變候選圖案之大小。在一些實施例中,藉由減小來改變候選圖案之大小,例如,如第3B圖、第3D圖、第3F圖或第3H圖或其類似者中所示。在一些實施例中,藉由自佈局圖移除候選圖案來改變候選圖案之大小,例如,如第2B圖、第2D圖或其類似者中所示。在一些實施例中,藉由增大來改變候選圖案之大小,例如,如第2F圖或其類似者中所示。 At block 606, the size of the candidate pattern is changed. In some embodiments, the size of the candidate pattern is changed by reduction, eg, as shown in Figure 3B, Figure 3D, Figure 3F, or Figure 3H, or the like. In some embodiments, the size of the candidate pattern is changed by removing the candidate pattern from the layout, eg, as shown in Figure 2B, Figure 2D, or the like. In some embodiments, the size of the candidate pattern is changed by increasing, eg, as shown in Figure 2F or the like.

第6B圖為根據一些實施例之產生佈局圖之方法的流程圖。 6B is a flowchart of a method of generating a layout map according to some embodiments.

更特定而言,根據一或多個實施例,第6B圖之方法對應地更詳細地示出第6A圖之方塊604及606。第6B圖之上下文為設計規則1。 More particularly, the method of FIG. 6B illustrates blocks 604 and 606 of FIG. 6A in greater detail, respectively, in accordance with one or more embodiments. The context of Figure 6B is Design Rule 1.

可根據第6B圖之方法產生之佈局圖的實例為佈局圖200B,或其類似者。可基於根據第6B圖之方法產生之佈局圖製造的半導體元件的實例包括第1圖之半導體元件100、基於佈局圖200B之半導體元件,或其類似者。 An example of a floor plan that can be generated according to the method of FIG. 6B is floor plan 200B, or the like. Examples of semiconductor elements that can be fabricated based on the layout produced according to the method of FIG. 6B include the semiconductor element 100 of FIG. 1, the semiconductor element based on the layout 200B, or the like.

在第6B圖中,方塊604包括方塊620至622。在方塊620至622中,候選圖案為第一M_2nd圖案。在方塊620處,決定第一M_2nd圖案被指定作為釘孔圖案。指定作為釘孔圖案之M_2nd圖案的實例包括M1圖案222(1)、222(2)及222(4)。 In Figure 6B, block 604 includes blocks 620-622. In blocks 620-622, the candidate pattern is the first M_2nd pattern. At block 620, it is determined that the first M_2nd pattern is designated as the pinhole pattern. Examples of M_2nd patterns designated as pinhole patterns include M1 patterns 222(1), 222(2), and 222(4).

在一些實施例中,分析給定M1圖案相對於上覆圖案的關係以便決定是否將指定給定M1作為釘孔圖案。在一些實施例中,被指定作為釘孔圖案之狀態為與給定M1圖案相關聯之性質,使得檢查給定M1圖案之屬性揭示出給定M1圖案是否為釘孔圖案。自方塊620,流程進行至方塊622。 In some embodiments, the relationship of a given M1 pattern relative to the overlying pattern is analyzed in order to decide whether to designate a given M1 as a pinhole pattern. In some embodiments, the state designated as a pinhole pattern is a property associated with a given M1 pattern, such that examining the properties of a given M1 pattern reveals whether the given M1 pattern is a pinhole pattern. From block 620, flow proceeds to block 622.

在方塊622處,決定在第一互連層級中的第一介層孔圖案(第一VIA_1st圖案)為被第一M_2nd圖案所覆蓋之唯一VIA_1st圖案。繼續作為釘孔圖案之M1圖案222(1)的實例,VIA0圖案222(1)為被M1圖案222(2)所覆蓋的唯一VIA0圖案。自方塊622,流程離開方塊604並進行至方塊606。 At block 622, the first via pattern in the first interconnect level (the first VIA_1st pattern) is determined to be the only VIA_1st pattern covered by the first M_2nd pattern. Continuing with the example of the M1 pattern 222(1) of the pinhole pattern, the VIA0 pattern 222(1) is the only VIA0 pattern covered by the M1 pattern 222(2). From block 622, flow leaves block 604 and proceeds to block 606.

在第6B圖中,方塊606包括方塊610。在方塊610處,至少減小候選圖案之大小。方塊610包括方塊612。在方塊612處,自佈局圖移除候選圖案。移除候選圖案之實例為自第2B圖移除M1圖案222(1),如由第2B圖中之對應虛線形狀222(1)'所指示。在一些實施例中,亦移除對應介層孔圖案,例如,VIA0圖案220(1),如由第2B圖中之對應虛線形狀222(1)'所指示。 In Figure 6B, block 606 includes block 610. At block 610, at least the size of the candidate pattern is reduced. Block 610 includes block 612 . At block 612, candidate patterns are removed from the layout map. An example of removing a candidate pattern is removing the M1 pattern 222(1) from Figure 2B, as indicated by the corresponding dashed shape 222(1)' in Figure 2B. In some embodiments, the corresponding via pattern, eg, VIA0 pattern 220(1), is also removed, as indicated by the corresponding dashed shape 222(1)' in Figure 2B.

在一些實施例中,在已移除候選圖案之後,方法進一步包括替代地指定第一層級中之對應下伏第一圖案(第一M_1st圖案)作為釘孔圖案。替代地指定M_1st圖案作為釘孔圖案的實例為在移除了對應M1圖案222(1)之後指定第2B圖之M0圖案218(4)作為釘孔圖案。 In some embodiments, after the candidate patterns have been removed, the method further includes alternatively designating a corresponding underlying first pattern in the first level (the first M_1st pattern) as the pinhole pattern. An example of an alternative designation of the M_1st pattern as the pinhole pattern is to designate the M0 pattern 218(4) of Figure 2B as the pinhole pattern after the corresponding M1 pattern 222(1) has been removed.

第6C圖為根據一些實施例之產生佈局圖之方法的流程圖。 FIG. 6C is a flowchart of a method of generating a layout map according to some embodiments.

更特定而言,根據一或多個實施例,第6C圖之方法對應地更詳細地示出第6A圖之方塊604及606。第6C圖之上下文為設計規則2。 More particularly, the method of FIG. 6C illustrates blocks 604 and 606 of FIG. 6A in greater detail, respectively, in accordance with one or more embodiments. The context of Figure 6C is Design Rule 2.

可根據第6C圖之方法產生之佈局圖的實例為佈局圖200D,或其類似者。可基於根據第6C圖之方法產生之佈局圖製造的半導體元件的實例包括第1圖之半導體元件100、基於佈局圖200D之半導體元件,或其類似者。 An example of a layout that can be generated according to the method of FIG. 6C is layout 200D, or the like. Examples of semiconductor elements that can be fabricated based on the layout generated according to the method of FIG. 6C include the semiconductor element 100 of FIG. 1, the semiconductor element based on the layout 200D, or the like.

在第6C圖中,方塊604包括方塊630至632。在方塊630至632中,候選圖案為第一M_1st圖案。在方塊630處,決定第一M_1st圖案不覆蓋至少VIA_1st層級中之第一介層孔圖案(第一VIA_1st圖案)。不覆蓋至少第一VIA_1st圖案之M_1st圖案的實例包括第2C圖之M0圖案218(12)及218(14),或其類似者。自方塊630,流程進行至方塊632。 In Figure 6C, block 604 includes blocks 630-632. In blocks 630-632, the candidate pattern is the first M_1st pattern. At block 630, it is determined that the first M_1st pattern does not cover at least the first via pattern in the VIA_1st level (the first VIA_1st pattern). Examples of M_1st patterns that do not cover at least the first VIA_1st pattern include M0 patterns 218(12) and 218(14) of Figure 2C, or the like. From block 630, flow proceeds to block 632.

在方塊632處,決定第一M_1st圖案不被至少第一VIA_2nd圖案覆蓋。未被至少第一VIA_1st圖案覆蓋之M_1st圖案的實例包括第2C圖之M0圖案218(12)及218(14),或其類似者。 At block 632, it is determined that the first M_1st pattern is not covered by at least the first VIA_2nd pattern. Examples of M_1st patterns not covered by at least the first VIA_1st pattern include M0 patterns 218(12) and 218(14) of Figure 2C, or the like.

在第6C圖中,方塊606包括方塊640。在方塊640處,至少減小候選圖案之大小。方塊640包括方塊642。在方塊642處,自佈局圖移除候選圖案。移除候選圖案之實例為自第2C圖移除M0圖案218(12),如由第2D圖中之對應虛線形狀218(12)'所指示。 In Figure 6C, block 606 includes block 640. At block 640, at least the size of the candidate pattern is reduced. Block 640 includes block 642 . At block 642, candidate patterns are removed from the layout map. An example of removing a candidate pattern is the removal of the M0 pattern 218(12) from Figure 2C, as indicated by the corresponding dashed shape 218(12)' in Figure 2D.

第6D圖為根據一些實施例之產生佈局圖之方法的流程圖。 Figure 6D is a flowchart of a method of generating a layout according to some embodiments.

更特定而言,根據一或多個實施例,第6D圖之方法對應地更詳細地示出第6A圖之方塊604及606。第6D圖之上下文為設計規則4。 More particularly, the method of FIG. 6D illustrates blocks 604 and 606 of FIG. 6A in greater detail, respectively, in accordance with one or more embodiments. The context of Figure 6D is Design Rule 4.

可根據第6D圖之方法產生之佈局圖的實例為佈局圖300B,300D,300F,300H,或其類似者。可基於根據第6D圖之方法產生之佈局圖製造的半導體元件的實例包括第1圖之半導體元件100、基於佈局圖300B,300D,300F,300H之半導體元件,或其類似者。 Examples of floor plans that can be generated according to the method of FIG. 6D are floor plans 300B, 300D, 300F, 300H, or the like. Examples of semiconductor elements that can be fabricated based on the layout produced according to the method of FIG. 6D include semiconductor element 100 of FIG. 1 , semiconductor elements based on layouts 300B, 300D, 300F, 300H, or the like.

在第6D圖中,方塊604包括方塊650至652。在方塊650至652中,候選圖案為第一M_1st圖案。如第6D圖中所示,流程進行至方塊650或方塊652。在方塊650處,決定第一M_1st圖案覆蓋至少VIA_1st層級中之第一介層孔圖案(第一VIA_1st圖案)。覆蓋至少第一VIA_1st圖案之M_1st圖案的實例包括對應第3A圖、第3C圖、第3E圖及第3G圖之M0圖案318(1)(A)、318(2)(C)、318(3)(E)及318(4)(G),或其類似者。 In Figure 6D, block 604 includes blocks 650-652. In blocks 650-652, the candidate pattern is the first M_1st pattern. As shown in Figure 6D, flow proceeds to either block 650 or block 652. At block 650, it is determined that the first M_1st pattern covers at least the first via pattern in the VIA_1st level (the first VIA_1st pattern). Examples of M_1st patterns covering at least the first VIA_1st pattern include M0 patterns 318(1)(A), 318(2)(C), 318(3 corresponding to Figures 3A, 3C, 3E, and 3G )(E) and 318(4)(G), or the like.

在方塊652處,決定第一M_1st圖案被至少VIA_2nd層級中之第一介層孔圖案(第一VIA_2nd圖案)覆蓋。被至少第一VIA_2nd圖案覆蓋之M_1st圖案的實例包括對應第3A圖及第3E圖之M0圖案318(1)(A)及318(3)(E),或其類似者。 At block 652, it is determined that the first M_1st pattern is covered by at least the first via pattern in the VIA_2nd level (the first VIA_2nd pattern). Examples of M_1st patterns covered by at least the first VIA_2nd pattern include M0 patterns 318(1)(A) and 318(3)(E) corresponding to Figures 3A and 3E, or the like.

在第6D圖中,方塊606包括方塊660。在方塊660處,至少減小候選圖案之大小。方塊660包括方塊662。在方塊662處,修整候選圖案之翼部分的大小以導致更小的短節部分。翼部分及對應翼部分之實例為如下。翼部分之實例為M0圖案318(1)(A)之第一翼部分,其對應於M0圖案318(1)(A)之第三部分338(1)與M0圖案318(1)(A)之第二部分的組合,此第二部分延伸至VGD圖案316(1)的左邊達第3A圖中之寬度336(1)。對應短節部分之實例為M0圖案318(1)(B)之第二部分,此第二部分延伸至VGD圖案316(1)的左邊達第3B圖中之寬度336(1)。 In Figure 6D, block 606 includes block 660. At block 660, at least the size of the candidate pattern is reduced. Block 660 includes block 662 . At block 662, the size of the wing portion of the candidate pattern is trimmed to result in a smaller nub portion. Examples of wing portions and corresponding wing portions are as follows. An example of a wing portion is the first wing portion of the M0 pattern 318(1)(A), which corresponds to the third portion 338(1) of the M0 pattern 318(1)(A) and the M0 pattern 318(1)(A) A combination of the second portion extending to the left of the VGD pattern 316(1) by the width 336(1) in Figure 3A. An example of a corresponding pup portion is the second portion of M0 pattern 318(1)(B), which extends to the left of VGD pattern 316(1) by width 336(1) in Figure 3B.

第6E圖為根據一些實施例之產生佈局圖之方法的流程圖。 Figure 6E is a flow diagram of a method of generating a layout map according to some embodiments.

更特定而言,根據一或多個實施例,第6E圖之方法對應地更詳細地示出第6A圖之方塊604及606。第6E圖之上下文為設計規則3。 More particularly, the method of FIG. 6E illustrates blocks 604 and 606 of FIG. 6A in greater detail, respectively, in accordance with one or more embodiments. The context of Figure 6E is Design Rule 3.

可根據第6E圖之方法產生之佈局圖的實例為佈局圖200F,或其類似者。可基於根據第6E圖之方法產生之佈局圖製造的半導體元件的實例包括第1圖之半導體元件100、基於佈局圖200F之半導體元件,或其類似者。 An example of a floor plan that can be generated according to the method of FIG. 6E is floor plan 200F, or the like. Examples of semiconductor elements that can be fabricated based on the layout produced according to the method of FIG. 6E include the semiconductor element 100 of FIG. 1, the semiconductor element based on the layout 200F, or the like.

在第6E圖中,方塊604包括方塊670至678。在方塊670至678中,候選圖案為第一M_1st圖案。在方塊670中,決定第一MD圖案位於第一或最後MD行中。位於第一MD行中之MD圖案的實例包括第2E圖之MD圖案210(15)及210(18),或其類似者。位於最後MD行中之MD圖案的實例包括第2E圖之MD圖案210(17)及210(20),或其類似者。自方塊670,流程進行至方塊672。 In Figure 6E, block 604 includes blocks 670-678. In blocks 670-678, the candidate pattern is the first M_1st pattern. In block 670, it is determined that the first MD pattern is in the first or last MD row. Examples of MD patterns in the first MD row include MD patterns 210(15) and 210(18) of Figure 2E, or the like. Examples of MD patterns in the last MD row include MD patterns 210(17) and 210(20) of Figure 2E, or the like. From block 670, flow proceeds to block 672.

在方塊672處,決定第一MD圖案被VIA_1st層級中之第一介層孔圖案(第一VIA_1st圖案)(在此指第一VGD圖案)覆蓋。被第一VIA_1st圖案覆蓋之MD圖案的實例包括第2E圖之MD圖案210(15)、210(17)、210(18)、210(20),或其類似者。自方塊672,流程進行至方塊674。 At block 672, it is determined that the first MD pattern is covered by the first via pattern in the VIA_1st level (the first VIA_1st pattern) (referred to herein as the first VGD pattern). Examples of MD patterns covered by the first VIA_1st pattern include MD patterns 210(15), 210(17), 210(18), 210(20) of Figure 2E, or the like. From block 672, flow proceeds to block 674.

在方塊674處,決定第一VIA_1st圖案亦被第一M_1st圖案覆蓋。亦被第一M_1st圖案覆蓋之VIA_1st圖案的實例包括第2E圖之VIA0圖案216(17)、216(18)、216(23)及216(22),或其類似者。自方塊674,流程進行至方塊676。 At block 674, it is determined that the first VIA_1st pattern is also covered by the first M_1st pattern. Examples of VIA_1st patterns that are also covered by the first M_1st pattern include VIA0 patterns 216(17), 216(18), 216(23), and 216(22) of Figure 2E, or the like. From block 674, flow proceeds to block 676.

在方塊676處,決定第一M_1st圖案亦非PG圖案。亦非PG圖案之第一M_1st圖案的實例為第2E圖之M0圖案218(20),或其類似者。自方塊676,流程進行至方塊678。 At block 676, it is determined that the first M_1st pattern is also not a PG pattern. An example of a first M_1st pattern that is also not a PG pattern is the M0 pattern 218(20) of Figure 2E, or the like. From block 676, flow proceeds to block 678.

在方塊678處,決定M_1st圖案之長度小於第一參考距離。第一參考距離之實例為L2(參見第2E圖至第2F圖)。 At block 678, it is determined that the length of the M_1st pattern is less than the first reference distance. An example of the first reference distance is L2 (see Figures 2E-2F).

在第6E圖中,方塊606包括方塊680。在方塊680處,增大候選圖案之大小。方塊680包括方塊682。在方塊682處,將候選圖案之大小增大至至少大體上等於第一參考距離。增大候選圖案之大小的實例為已將第2F圖之M0圖案218(20)'的大小增大了量△W,如在第2F圖中藉由元件符號234所示。 In Figure 6E, block 606 includes block 680. At block 680, the size of the candidate pattern is increased. Block 680 includes block 682 . At block 682, the size of the candidate pattern is increased to be at least substantially equal to the first reference distance. An example of increasing the size of the candidate pattern is that the size of the M0 pattern 218(20)' of Figure 2F has been increased by the amount ΔW, as indicated by reference numeral 234 in Figure 2F.

第7圖為根據一些實施例之電子設計自動化(EDA)系統700的方塊圖。 FIG. 7 is a block diagram of an electronic design automation (EDA) system 700 in accordance with some embodiments.

在一些實施例中,EDA系統700包括自動放置與佈線(APR)系統。根據一些實施例,例如,可使用EDA系統700來實施根據一或多個實施例的產生PG佈局圖之本文所述方法。 In some embodiments, EDA system 700 includes an automated place and route (APR) system. According to some embodiments, for example, the EDA system 700 may be used to implement the methods described herein for generating a PG floorplan in accordance with one or more embodiments.

在一些實施例中,EDA系統為通用計算設備,此通用計算設備包括硬體處理器702及非暫時性的電腦可讀儲存媒體(亦指記憶體、儲存媒體或其他相似者)704。儲存媒體704(除了其他以外)編碼有(亦即,儲存)電腦程式碼,亦即,一組可執行指令706。硬體處理器702對指令706的執行表示(至少部分地)EDA工具,此EDA工具實施根據實施例之方法的一部分或全部,例如,根據一或多個實施例的本文所述方法(後文中,為所述製程及/或方法)。 In some embodiments, the EDA system is a general-purpose computing device that includes a hardware processor 702 and a non-transitory computer-readable storage medium (also referred to as memory, storage medium, or the like) 704 . Storage medium 704 is encoded with (ie, stores) computer code, ie, a set of executable instructions 706, among other things. Execution of instructions 706 by hardware processor 702 represents (at least in part) an EDA tool that implements some or all of a method according to an embodiment, eg, a method described herein (hereinafter, in accordance with one or more embodiments) , the process and/or method).

處理器702經由匯流排708電耦接至電腦可讀儲存媒體704。處理器702亦經由匯流排708電耦接至I/O介面710。網路介面712亦經由匯流排708電連接至處理器702。網路介面712連接至網路714,使得處理器702及電腦 可讀儲存媒體704能夠經由網路714連接至外部元件。處理器702用以執行編碼於電腦可讀儲存媒體704中之電腦程式碼706,以便使系統700可用於執行所述製程及/或方法之一部分或全部。在一或多個實施例中,處理器702為中央處理單元(CPU)、多處理器、分散式處理系統、特殊應用積體電路(ASIC)及/或適當的處理單元。 Processor 702 is electrically coupled to computer-readable storage medium 704 via bus 708 . Processor 702 is also electrically coupled to I/O interface 710 via bus 708 . The network interface 712 is also electrically connected to the processor 702 via the bus bar 708 . The network interface 712 is connected to the network 714 so that the processor 702 and the computer The readable storage medium 704 can be connected to external components via a network 714 . Processor 702 is used to execute computer code 706 encoded in computer-readable storage medium 704 so that system 700 can be used to perform some or all of the processes and/or methods. In one or more embodiments, processor 702 is a central processing unit (CPU), a multiprocessor, a distributed processing system, an application specific integrated circuit (ASIC), and/or a suitable processing unit.

在一或多個實施例中,電腦可讀儲存媒體704為電子的、磁性的、光學的、電磁的、紅外的及/或半導體系統(或裝置或設備)。舉例而言,電腦可讀儲存媒體704包括半導體或固態之記憶體、磁帶、可移除電腦磁碟、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁碟及/或光碟。在使用光碟之一或多個實施例中,電腦可讀儲存媒體704包括壓縮光碟唯讀記憶體(CD-ROM)、壓縮光碟-讀取/寫入(CD-R/W)及/或數位視訊光碟(DVD)。 In one or more embodiments, computer-readable storage medium 704 is an electronic, magnetic, optical, electromagnetic, infrared, and/or semiconductor system (or device or apparatus). For example, computer readable storage medium 704 includes semiconductor or solid state memory, magnetic tape, removable computer disk, random access memory (RAM), read only memory (ROM), rigid disk and/or CD. In one or more embodiments using optical disks, the computer-readable storage medium 704 includes compact disk-read only memory (CD-ROM), compact disk-read/write (CD-R/W), and/or digital Video Disc (DVD).

在一或多個實施例中,電腦可讀存儲存媒體704儲存電腦程式碼(指令)706,其用以使系統700(其中此執行表示(至少部分地)EDA工具)可用於執行所述製程及/或方法之一部分或全部。在一或多個實施例中,電腦可讀存儲存媒體704亦儲存資訊,所述資訊促進執行所述製程及/或方法之一部分或全部。在一或多個實施例中,電腦可讀存儲存媒體704儲存標準單元之庫707(即標準單元庫),此庫707包括如本文所揭示之此些標準單元以及諸如本文所揭示之一或多個佈局圖708。 In one or more embodiments, computer-readable storage medium 704 stores computer code (instructions) 706 for enabling system 700 (wherein the execution represents (at least in part) an EDA tool) to perform the process and/or some or all of the methods. In one or more embodiments, the computer-readable storage medium 704 also stores information that facilitates performing some or all of the processes and/or methods. In one or more embodiments, computer-readable storage medium 704 stores a library 707 of standard cells (ie, standard cell library), which library 707 includes such standard cells as disclosed herein and a A plurality of floorplans 708 .

EDA系統700包括I/O介面710。I/O介面710耦接至外部電路系統。在一或多個實施例中,I/O介面710包括鍵盤、小鍵盤、滑鼠、跟蹤球、觸控板、觸控式螢幕及/或游標方向鍵,以用於將資訊及命令傳達至處理器702。 EDA system 700 includes I/O interface 710 . The I/O interface 710 is coupled to external circuitry. In one or more embodiments, I/O interface 710 includes a keyboard, keypad, mouse, trackball, trackpad, touchscreen, and/or cursor direction keys for communicating information and commands to the processor 702.

EDA系統700亦包括耦接至處理器702之網路介面712。網路介面712允許系統700與連接了一或多個其他電腦系統之網路714通訊。網路介面712包括無線網路介面,諸如,藍牙、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如,ETHERNET、USB或IEEE-1364。在一或多個實施例中,以兩個或更多個系統700實施所述製程及/或方法之一部分或全部。 The EDA system 700 also includes a network interface 712 coupled to the processor 702 . Network interface 712 allows system 700 to communicate with network 714 that connects one or more other computer systems. The network interface 712 includes a wireless network interface such as Bluetooth, WIFI, WIMAX, GPRS or WCDMA; or a wired network interface such as ETHERNET, USB or IEEE-1364. In one or more embodiments, some or all of the processes and/or methods are implemented in two or more systems 700 .

系統700用以經由I/O介面710接收資訊。經由I/O介面710接收之資訊包括指令、資料、設計規則、標準單元之庫及/或用於由處理器702處理之其他參數中的一或多者。經由匯流排708將資訊傳送至處理器702。EDA系統700用以經由I/O介面710接收與UI有關之資訊。資訊作為使用者介面(UI)742被儲存在電腦可讀媒體704中。 System 700 is configured to receive information via I/O interface 710 . Information received via I/O interface 710 includes one or more of instructions, data, design rules, a library of standard cells, and/or other parameters for processing by processor 702 . The information is communicated to processor 702 via bus 708 . The EDA system 700 is configured to receive UI-related information via the I/O interface 710 . The information is stored in the computer-readable medium 704 as a user interface (UI) 742 .

在一些實施例中,將所述製程及/或方法之一部分或全部實施為用於由處理器執行之獨立軟體應用程式。在一些實施例中,將所述製程及/或方法之一部分或全部實施為是額外軟體應用程式的一部分之軟體應用程式。在一些實施例中,將所述製程及/或方法之一部分或全部實施為軟體應用程式之插件。在一些實施例中,將所述製程及/或方法中之至少一者實施為是EDA工具的一部分之軟體應用程 式。在一些實施例中,將所述製程及/或方法之一部分或全部實施為在EDA系統700上運行之軟體應用程式。在一些實施例中,使用諸如可購自CADENCE DESIGN SYSTEMS有限公司之VIRTUOSO®或另一適當佈局產生工具來產生包括標準單元之佈局圖。 In some embodiments, some or all of the processes and/or methods are implemented as a stand-alone software application for execution by a processor. In some embodiments, some or all of the processes and/or methods are implemented as a software application that is part of an additional software application. In some embodiments, some or all of the process and/or method is implemented as a plug-in to a software application. In some embodiments, at least one of the processes and/or methods is implemented as a software application that is part of an EDA tool Mode. In some embodiments, some or all of the processes and/or methods are implemented as software applications running on the EDA system 700 . In some embodiments, a layout drawing including standard cells is generated using a tool such as VIRTUOSO® available from CADENCE DESIGN SYSTEMS, Inc. or another suitable layout generation tool.

在一些實施例中,將製程實現為儲存在非暫時性之電腦可讀記錄媒體中之程式的功能。非暫時性電腦可讀記錄媒體之實例包括但不限於外部的/可移除的及/或內部的/內嵌式的儲存器或記憶體單元,例如,光碟(諸如,DVD)、磁碟(諸如,硬碟)、半導體記憶體(諸如,ROM、RAM、記憶卡)及其類似者中的一或多者。 In some embodiments, the process is implemented as a function of a program stored in a non-transitory computer-readable recording medium. Examples of non-transitory computer-readable recording media include, but are not limited to, external/removable and/or internal/embedded storage or memory units, eg, optical disks (such as DVDs), magnetic disks ( such as hard disk), semiconductor memory (such as ROM, RAM, memory card), and one or more of the like.

第8圖為根據一些實施例之積體電路(IC)製造系統800的方塊圖及與其相關聯之IC製造流程。在一些實施例中,基於佈局圖,使用製造系統800製造(A)一或多個半導體遮罩或(B)半導體積體電路之層中的至少一個部件。 FIG. 8 is a block diagram of an integrated circuit (IC) fabrication system 800 and an IC fabrication flow associated therewith, according to some embodiments. In some embodiments, fabrication system 800 is used to fabricate (A) one or more semiconductor masks or (B) at least one component of a layer of a semiconductor integrated circuit based on the layout.

在第8圖中,IC製造系統800包括在與製造IC元件860有關的設計、開發及製造循環及/或服務中彼此交互的實體,諸如,設計室820、遮罩室830及IC製造商/製造者(「晶圓廠」)850。藉由通訊網路連接系統800中之實體。在一些實施例中,通訊網路為單個網路。在一些實施例中,通訊網路為多種不同網路,諸如,內部網路及網際網路。通訊網路包括有線的及/或無線的通訊頻道。每一實體與其他實體中之一或多者交互,並向其他實體中之一或多者提供服務及/或自其他實體中之一或多者接收服務。在一些實施 例中,設計室820、遮罩室830及IC晶圓廠850中之兩者或更多者由單個較大的公司擁有。在一些實施例中,設計室820、遮罩室830及IC晶圓廠850中之兩者或更多者在共同設施中共存且使用共同資源。 In FIG. 8, IC manufacturing system 800 includes entities that interact with each other in the design, development, and manufacturing cycles and/or services related to manufacturing IC components 860, such as design room 820, mask room 830, and IC manufacturer/ Manufacturer ("Fab") 850. The entities in the system 800 are connected by a communication network. In some embodiments, the communication network is a single network. In some embodiments, the communication network is a variety of different networks, such as an intranet and the Internet. Communication networks include wired and/or wireless communication channels. Each entity interacts with and provides services to and/or receives services from one or more of the other entities. in some implementations In an example, two or more of design room 820, mask room 830, and IC fab 850 are owned by a single larger company. In some embodiments, two or more of design room 820, mask room 830, and IC fab 850 coexist in a common facility and use common resources.

設計室(或設計團隊)820產生IC設計佈局圖822。IC設計佈局圖822包括為IC元件860設計之各種幾何圖案。幾何圖案對應於構成待製造之IC元件860之各種部件的金屬、氧化物或半導體層之圖案。各種層組合以形成各種IC特徵。舉例而言,IC設計佈局圖822之一部分包括待形成在半導體基板(諸如,矽晶圓)中之各種IC特征,諸如,主動區域、閘電極、源極與汲極、層間互連之金屬線或介層孔,以及用於接合襯墊之開口;以及安置在半導體基板上之各種材料層。設計室820實施合適的設計程序以形成IC設計佈局圖822。設計程序包括邏輯設計、實體設計或放置與佈線中之一或多者。在具有幾何圖案之資訊的一或多個資料檔案中呈現IC設計佈局圖822。舉例而言,可以GDSII檔案格式或DFII檔案格式來表達IC設計佈局圖822。 A design house (or design team) 820 generates an IC design layout 822 . IC design layout 822 includes various geometric patterns designed for IC element 860 . The geometric patterns correspond to the patterns of metal, oxide, or semiconductor layers that make up the various components of the IC device 860 to be fabricated. Various layers are combined to form various IC features. For example, a portion of the IC design layout 822 includes various IC features to be formed in a semiconductor substrate, such as a silicon wafer, such as active regions, gate electrodes, source and drain electrodes, metal lines for interlayer interconnects or vias, and openings for bonding pads; and various layers of materials disposed on semiconductor substrates. Design house 820 implements appropriate design procedures to form IC design layout 822 . Design procedures include one or more of logical design, physical design, or placement and routing. The IC design layout 822 is presented in one or more data files with geometric pattern information. For example, the IC design layout 822 can be expressed in a GDSII file format or a DFII file format.

遮罩室830包括資料準備832及遮罩製造844。遮罩室830使用IC設計佈局圖822來製造一或多個遮罩845,此一或多個遮罩845用於根據IC設計佈局圖822來製造IC元件860之各種層。遮罩室830執行遮罩資料準備832,其中IC設計佈局圖822被轉換為代表性的資料檔案(「RDF」)。遮罩資料準備832將RDF提供給遮罩製造844。遮罩製造844包括遮罩直寫機。遮罩直寫機將RDF轉 換為基板上的影像,諸如,遮罩(主光罩)845或半導體晶圓853。由遮罩資料準備832操縱設計佈局圖822以符合遮罩直寫機之特定特性及/或IC晶圓廠850之要求。在圖8中,將遮罩資料準備832及遮罩製造844圖示為單獨元件。在一些實施例中,可將遮罩資料準備832及遮罩製造844共同稱作遮罩資料準備。 Mask chamber 830 includes data preparation 832 and mask fabrication 844 . Mask chamber 830 uses IC design layout 822 to fabricate one or more masks 845 that are used to fabricate various layers of IC element 860 according to IC design layout 822 . Mask room 830 performs mask data preparation 832, in which IC design layout 822 is converted into a representative data file ("RDF"). Mask data preparation 832 provides RDF to mask fabrication 844 . Mask fabrication 844 includes a mask direct writer. Mask direct writer converts RDF to Instead, an image on a substrate, such as a mask (master mask) 845 or a semiconductor wafer 853 . The design layout 822 is manipulated by the mask data preparation 832 to meet the specific characteristics of the mask direct writer and/or IC fab 850 requirements. In FIG. 8, mask data preparation 832 and mask fabrication 844 are illustrated as separate elements. In some embodiments, mask data preparation 832 and mask fabrication 844 may be collectively referred to as mask data preparation.

在一些實施例中,遮罩資料準備832包括光學鄰近校正(OPC),其使用微影增強技術來補償影像誤差,諸如,可能由繞射、干涉、其他製程效應及其類似者所引起的影像誤差。OPC調整IC設計佈局圖822。在一些實施例中,遮罩資料準備832包括其他解析度增強技術(RET),諸如,軸外照射、次解析度輔助特徵、相轉移遮罩、其他適當技術,及其類似者或其組合。在一些實施例中,亦使用反向微影技術(ILT),其將OPC視為反向成像問題。 In some embodiments, mask data preparation 832 includes Optical Proximity Correction (OPC), which uses lithography enhancement techniques to compensate for image errors, such as images that may be caused by diffraction, interference, other process effects, and the like error. The OPC adjusts the IC design layout 822. In some embodiments, mask data preparation 832 includes other resolution enhancement techniques (RET), such as off-axis illumination, sub-resolution assist features, phase transfer masks, other suitable techniques, and the like or combinations thereof. In some embodiments, inverse lithography (ILT) is also used, which treats OPC as an inverse imaging problem.

在一些實施例中,遮罩資料準備832包括遮罩規則檢查器(MRC),其檢查已經歷OPC中之處理的IC設計佈局圖822,此OPC具有一組遮罩產生規則,此些遮罩產生規則含有某些幾何及/或連線性限制,以確保足夠的餘量,以慮及半導體製造製程之可變性,及其類似者。在一些實施例中,MRC修改IC設計佈局圖822,以補償遮罩製造844期間之限制,此可抵消OPC所執行之修改的一部分以便符合遮罩產生規則。 In some embodiments, mask data preparation 832 includes a mask rule checker (MRC) that examines an IC design layout 822 that has undergone processing in an OPC having a set of mask generation rules, such masks The generation rules contain certain geometric and/or wiring constraints to ensure sufficient margin to account for the variability of the semiconductor manufacturing process, and the like. In some embodiments, MRC modifies the IC design layout 822 to compensate for constraints during mask fabrication 844, which may offset part of the modifications performed by OPC to comply with mask generation rules.

在一些實施例中,遮罩資料準備832包括微影製程檢查(LPC),其模擬將由IC晶圓廠850實施以製造IC元 件860的處理。LPC基於IC設計佈局圖822來模擬此處理,以產生模擬製造的元件,諸如,IC元件860。LPC模擬中之處理參數可包括與IC製造循環之各種製程相關聯的參數、與用於製造IC之工具相關聯的參數及/或製造製程之其他態樣。LPC考慮到了各種因素,諸如,空間影像對比度、焦深(「DOF」)、遮罩誤差增強因素(「MEEF」)、其他適當因素,及其類似者或其組合。在一些實施例中,在LPC已產生了模擬製造的元件之後,若模擬元件之形狀不夠接近以致不滿足設計規則,則重複OPC及/或MRC以進一步細化IC設計佈局圖822。 In some embodiments, mask data preparation 832 includes lithography process check (LPC), the simulation of which will be performed by IC fab 850 to fabricate IC cells processing of item 860. LPC simulates this process based on the IC design layout 822 to produce simulated fabricated components, such as IC components 860 . Process parameters in the LPC simulation may include parameters associated with various processes of the IC manufacturing cycle, parameters associated with the tools used to manufacture the IC, and/or other aspects of the manufacturing process. LPC takes into account various factors, such as aerial image contrast, depth of focus ("DOF"), mask error enhancement factor ("MEEF"), other suitable factors, and the like or combinations thereof. In some embodiments, after LPC has produced analog fabricated components, if the shapes of the analog components are not close enough to meet the design rules, then the OPC and/or MRC are repeated to further refine the IC design layout 822.

應理解,出於清楚之目的,已簡化了遮罩資料準備832之以上描述。在一些實施例中,資料準備832包括諸如邏輯運算(LOP)之額外特徵,以根據製造規則來修改IC設計佈局圖822。另外,可以多種不同次序來執行在資料準備832期間應用於IC設計佈局圖822之製程。 It should be appreciated that the above description of mask data preparation 832 has been simplified for clarity. In some embodiments, data preparation 832 includes additional features such as logic operations (LOPs) to modify IC design layout 822 according to manufacturing rules. Additionally, the processes applied to IC design layout 822 during data preparation 832 may be performed in a variety of different orders.

在遮罩資料準備832之後且在遮罩製造844期間,基於經修改之IC設計佈局圖822來製造遮罩845及遮罩845之群。在一些實施例中,遮罩製造844包括基於IC設計佈局圖822來執行一或多次微影曝光。在一些實施例中,使用電子束(e-beam)或多個電子束之機構基於經修改之IC設計佈局圖822在遮罩(光罩或主光罩)上形成圖案。可以各種技術形成遮罩845。在一些實施例中,使用二元技術形成遮罩845。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用以曝光已塗佈在晶圓上之影像敏感材料層(例 如,光阻劑)的輻射束(諸如,紫外線(UV)光束)被不透明區域阻擋且透過透明區域。在一個實例中,遮罩845之二元遮罩版本包括透明基板(例如,熔融石英)及塗佈在二元遮罩之不透明區域中的不透明材料(例如,鉻)。在另一實例中,使用相轉移技術形成遮罩845。在遮罩845之相轉移遮罩(PSM)版本中,配置形成於相轉移遮罩上之圖案中之各種特徵以具有合適的相位差,以便增強解析度及成像品質。在各種實例中,相轉移遮罩可為衰減PSM或交替PSM。藉由遮罩製造844產生之(若干)遮罩用於多種製程中。舉例而言,此(此些)遮罩用於在半導體晶圓853中形成各種摻雜區域之離子佈植製程中,用於在半導體晶圓853中形成各種蝕刻區域之蝕刻製程中,及/或用在其他適當製程中。 After mask data preparation 832 and during mask fabrication 844, masks 845 and groups of masks 845 are fabricated based on the modified IC design layout 822. In some embodiments, mask fabrication 844 includes performing one or more lithographic exposures based on IC design layout 822 . In some embodiments, a mechanism using an electron beam (e-beam) or multiple electron beams is used to form a pattern on a mask (reticle or master) based on the modified IC design layout 822. Mask 845 may be formed by various techniques. In some embodiments, the mask 845 is formed using a binary technique. In some embodiments, the mask pattern includes opaque regions and transparent regions. Used to expose layers of image-sensitive materials that have been coated on wafers (e.g. A radiation beam, such as a photoresist, such as an ultraviolet (UV) beam, is blocked by the opaque regions and transmitted through the transparent regions. In one example, a binary mask version of mask 845 includes a transparent substrate (eg, fused silica) and an opaque material (eg, chrome) coated in the opaque regions of the binary mask. In another example, the mask 845 is formed using a phase transfer technique. In a phase transfer mask (PSM) version of mask 845, the various features in the pattern formed on the phase transfer mask are configured to have suitable phase differences in order to enhance resolution and imaging quality. In various examples, the phase transfer mask may be attenuated PSM or alternating PSM. The mask(s) produced by mask fabrication 844 are used in various processes. For example, the mask(s) are used in an ion implantation process for forming various doped regions in semiconductor wafer 853, in an etch process for forming various etch regions in semiconductor wafer 853, and/or Or used in other suitable processes.

IC晶圓廠850包括晶圓製造852。IC晶圓廠850為IC製造公司,其包括用於製造多種不同IC產品之一或多個製造設施。在一些實施例中,IC晶圓廠850為半導體代工廠。舉例而言,可能存在用於複數個IC產品之前端製造的製造設施(前端製程(FEOL)製造),而第二製造設施可提供用於IC產品之互連及封裝的後端製造(前端製程(BEOL)製造),且第三製造設施可為代工廠公司提供其他服務。 IC fab 850 includes wafer fabrication 852 . IC fab 850 is an IC manufacturing company that includes one or more manufacturing facilities for manufacturing a variety of different IC products. In some embodiments, IC fab 850 is a semiconductor foundry. For example, there may be a fabrication facility for front-end manufacturing of multiple IC products (front-end-of-process (FEOL) fabrication), while a second fabrication facility may provide back-end fabrication for interconnection and packaging of IC products (front-end fabrication (FEOL) (BEOL) manufacturing), and a third manufacturing facility may provide additional services to foundry companies.

IC晶圓廠850使用由遮罩室830製造之(若干)遮罩845以製造IC元件860。因此,IC晶圓廠850至少間接地使用IC設計佈局圖822來製造IC元件860。在一些實施例中,由IC晶圓廠850使用(若干)遮罩845製造半導體晶圓853以形成IC元件860。在一些實施例中,IC製造包括至少 間接地基於IC設計佈局圖822來執行一或多次微影曝光。半導體晶圓853包括矽基板或其上形成有材料層之其他合適基板。半導體晶圓853進一步包括各種摻雜區域、介電特徵、多層級互連及其類似者(在後續製造步驟中形成)中之一或多者。 IC fab 850 uses mask(s) 845 fabricated from mask chamber 830 to fabricate IC device 860 . Thus, IC fab 850 uses IC design floorplan 822 at least indirectly to manufacture IC components 860 . In some embodiments, semiconductor wafer 853 is fabricated by IC fab 850 using mask(s) 845 to form IC element 860 . In some embodiments, IC fabrication includes at least One or more lithographic exposures are performed indirectly based on the IC design floorplan 822 . The semiconductor wafer 853 includes a silicon substrate or other suitable substrate with material layers formed thereon. Semiconductor wafer 853 further includes one or more of various doped regions, dielectric features, multi-level interconnects, and the like (formed in subsequent fabrication steps).

關於積體電路(IC)製造系統(例如,第8圖之系統800)以及與其相關聯之IC製造流程的細節能在(例如)2016年2月9日授權之美國專利第9,256,709號、2015年10月1日公佈之美國待授權公開案第20150278429號、2014年2月6日公佈之美國待授權公開案第0140040838號以及2007年8月21日授權之美國專利第7,260,442號中找到,此些案中之每一者的全部內容據此以引用方式併入本文中。 Details regarding an integrated circuit (IC) manufacturing system (eg, system 800 of FIG. 8 ) and the IC manufacturing process associated therewith can be found in, eg, US Pat. No. 9,256,709, 2015, issued February 9, 2016 Found in US Patent Application Publication No. 20150278429, issued October 1, US Patent Application Publication No. 0140040838, issued February 6, 2014, and US Patent No. 7,260,442, issued August 21, 2007, these The entire contents of each of these cases are hereby incorporated by reference herein.

在實施例中,一種(製造半導體元件的)方法包括(對於儲存於非暫時性電腦可讀媒體上之佈局圖而言,半導體元件是基於佈局圖,佈局圖包括第一及上覆第二金屬化層級(對應M_1st及M_2nd層級)以及其間的第一互連層級(VIA_1st層級),對應於半導體元件中的第一及上覆第二金屬化層以及其間的第一互連層),產生佈局圖,包括以下步驟。選定佈局圖中之候選圖案,此候選圖案為M_2nd層級中之第一導電圖案(第一M_2nd圖案)或M_1st層級中之第一導電圖案(第一M_1st圖案);決定候選圖案滿足一或多個準則;以及至少減小候選圖案之大小,藉此修訂佈局圖。在實施例中,佈局圖包括第一及上覆第二金屬化層級(對應M_1st及M_2nd層級)以及其間的第一互連層級 (VIA_1st層級),對應於半導體元件中的第一及上覆第二金屬化層以及其間的第一互連層;且候選圖案為第一M_2nd圖案;決定候選圖案滿足一或多個準則包括:決定第一M_2nd圖案被指定作為釘孔圖案;決定在第一互連層級中的第一介層孔圖案(第一VIA_1st圖案)為被第一M_2nd圖案所覆蓋之唯一VIA_1st圖案;以及至少減小候選圖案之大小包括自佈局圖移除第一M_2nd圖案。在實施例中,產生佈局圖進一步包括:替代地指定第一層級中對應之下伏第一圖案(第一M_1st圖案)作為釘孔圖案。在實施例中,指定第一M_1st圖案作為釘孔圖案,因為VIA_1st互連層級中之第一VIA_1st圖案有至少第一及第二允許的上覆位置,在此些位置處,M_2nd層級中之至少對應的第一M_2nd圖案及第二M_2nd圖案可被定位成覆蓋第一VIA_1st圖案;或指定第一M_2nd圖案作為釘孔圖案,因為第二互連層級(VIA_2nd層級)中之對應第一介層孔圖案(第一VIA_2nd圖案)有至少第一及第二允許的上覆位置,在此些位置處,至少第三金屬化層級(M_3rd)中對應的第一及第二導電圖案(第一及第二M_3rd圖案)可被定位成覆蓋第一VIA_2nd圖案。在實施例中,佈局圖進一步包括第二互連層級(VIA_2nd層級),此第二互連層級(VIA_2nd層級)上覆第一M_1st層級並對應於第二互連層,此第二互連層上覆半導體元件中之第一金屬化層;且候選圖案為第一M_1st圖案;決定候選圖案滿足一或多個準則包括:第一子方法,此第一子方法包括決定第一M_1st圖案不覆蓋 VIA_1st層級中之至少第一介層孔圖案(第一VIA_1st圖案);以及決定第一M_1st圖案不被VIA_2nd層級中之至少第一介層孔圖案(第一VIA_2nd圖案)覆蓋;或第二子方法,此第二子方法包括相對於第一方向,決定第一M_1st圖案覆蓋VIA_1st層級中之至少第一介層孔圖案(第一VIA_1st圖案);或相對於第一方向,決定第一M_1st圖案被VIA_2nd層級中之至少第一介層孔圖案(第一VIA_2nd圖案)覆蓋;在第一子方法之上下文中,至少減小候選圖案之大小包括自佈局圖移除第一M_1st圖案;以及在第二子方法之上下文中,至少減小候選圖案之大小包括修整第一M_1st圖案之第一翼部分以導致更小的第一短節部分;以及其中相對於第一方向,存在第一VIA_1st圖案或第一VIA_2nd圖案中之至少一者,以使得第一翼部分位於第一M_1st圖案之第一末端與第一VIA_1st圖案或第一VIA_2nd圖案之間,而無對應地下伏或上覆第一翼部分之其他VIA_1st或VIA_2nd圖案。在實施例中,佈局圖進一步包括電晶體層級,此電晶體層級對應於半導體元件中之電晶體層,且M_1st層級與電晶體層之間無金屬化層級。在實施例中,此方法進一步包括:基於佈局圖,進行如下各者中之至少一者:(A)進行一或多次光微影曝光;(B)製造一或多個半導體遮罩;或(C)製造半導體積體電路之層中的至少一個部件。 In an embodiment, a method (of fabricating a semiconductor device) includes (for a layout stored on a non-transitory computer-readable medium, the semiconductor device is based on a layout including a first and an overlying second metal) Metallization levels (corresponding to the M_1st and M_2nd levels) and the first interconnection level therebetween (VIA_1st level), corresponding to the first and overlying second metallization layers in the semiconductor element and the first interconnection layer in between), generate a layout Figure, including the following steps. Select a candidate pattern in the layout diagram, the candidate pattern is the first conductive pattern in the M_2nd level (the first M_2nd pattern) or the first conductive pattern in the M_1st level (the first M_1st pattern); determine that the candidate pattern satisfies one or more criteria; and at least reducing the size of the candidate patterns, thereby revising the layout. In an embodiment, the layout includes first and overlying second metallization levels (corresponding to the M_1st and M_2nd levels) and a first interconnect level therebetween (VIA_1st level), corresponding to the first and overlying second metallization layers in the semiconductor element and the first interconnect layer therebetween; and the candidate pattern is the first M_2nd pattern; determining that the candidate pattern satisfies one or more criteria includes: determining that the first M_2nd pattern is designated as the pinhole pattern; determining that the first via pattern in the first interconnect level (the first VIA_1st pattern) is the only VIA_1st pattern covered by the first M_2nd pattern; and reducing at least The size of the candidate pattern includes removing the first M_2nd pattern from the layout. In an embodiment, generating the layout map further includes instead specifying a corresponding underlying first pattern (the first M_1st pattern) in the first level as the pin hole pattern. In an embodiment, the first M_1st pattern is designated as the pinhole pattern because the first VIA_1st pattern in the VIA_1st interconnect level has at least first and second allowed overlying locations at which at least one of the M_2nd levels The corresponding first M_2nd pattern and the second M_2nd pattern can be positioned to cover the first VIA_1st pattern; or the first M_2nd pattern can be designated as the pinhole pattern because the corresponding first via in the second interconnect level (VIA_2nd level) The pattern (the first VIA_2nd pattern) has at least first and second allowable overlying positions at which at least the corresponding first and second conductive patterns (the first and the second conductive patterns in the third metallization level (M_3rd) Two M_3rd patterns) can be positioned to cover the first VIA_2nd pattern. In an embodiment, the layout diagram further includes a second interconnect level (VIA_2nd level) overlying the first M_1st level and corresponding to a second interconnect level, the second interconnect level overlying the first metallization layer in the semiconductor device; and the candidate pattern is the first M_1st pattern; determining that the candidate pattern satisfies one or more criteria includes: a first sub-method, the first sub-method includes determining that the first M_1st pattern does not cover at least the first via pattern in the VIA_1st level (the first VIA_1st pattern); and determining that the first M_1st pattern is not covered by at least the first via pattern in the VIA_2nd level (the first VIA_2nd pattern); or the second sub-method , the second sub-method includes, relative to the first direction, determining that the first M_1st pattern covers at least the first via pattern (the first VIA_1st pattern) in the VIA_1st level; or relative to the first direction, determining that the first M_1st pattern is to be At least the first via pattern (the first VIA_2nd pattern) in the VIA_2nd level covers; in the context of the first sub-method, reducing the size of at least the candidate pattern includes removing the first M_1st pattern from the layout; and in the second In the context of the sub-method, reducing at least the size of the candidate pattern includes trimming the first wing portion of the first M_1st pattern to result in a smaller first short section; and wherein, with respect to the first direction, there is a first VIA_1st pattern or a At least one of a VIA_2nd pattern such that the first wing portion is located between the first end of the first M_1st pattern and the first VIA_1st pattern or the first VIA_2nd pattern without correspondingly underlying or overlying the first wing portion Other VIA_1st or VIA_2nd patterns. In an embodiment, the layout diagram further includes a transistor level, which corresponds to a transistor layer in the semiconductor device, and there is no metallization level between the M_1st level and the transistor layer. In an embodiment, the method further comprises: based on the layout, performing at least one of: (A) performing one or more photolithographic exposures; (B) fabricating one or more semiconductor masks; or (C) Fabrication of at least one component in a layer of a semiconductor integrated circuit.

在實施例中,一種(用於製造半導體元件的)系統包括至少一個處理器以及至少一個記憶體,此至少一個 記憶體包括用於一或多個程式之電腦程式碼;至少一個記憶體、電腦程式碼及至少一個處理器用以使系統執行(對於儲存於非暫時性電腦可讀媒體上之佈局圖而言,半導體元件是基於佈局圖,佈局圖包括第一及上覆第二金屬化層級(對應M_1st及M_2nd層級)以及其間的第一互連層級(VIA_1st層級),對應於半導體元件中的第一及上覆第二金屬化層以及其間的第一互連層),產生佈局圖包括以下步驟。選定佈局圖中之候選圖案;候選圖案為M_2nd層級中之第一導電圖案(第一M_2nd圖案)或M_1st層級中之第一導電圖案(第一M_1st圖案);決定候選圖案滿足一或多個準則,以及改變候選圖案之大小,藉此修訂佈局圖;以及其中佈局圖進一步包括電晶體層級,此電晶體層級對應於半導體元件中之電晶體層,以及在M_1st層級與電晶體層之間無金屬化層級。在實施例中,佈局圖包括第一及上覆第二金屬化層級(對應M_1st及M_2nd層級)以及其間的第一互連層級(VIA_1st層級),對應於半導體元件中的第一及上覆第二金屬化層以及其間的第一互連層;以及候選圖案為第一M_2nd圖案;決定候選圖案滿足一或多個準則包括:決定第一M_2nd圖案被指定作為釘孔圖案;決定在第一層級中的第一介層孔圖案(第一VIA_1st圖案)為被第一M_2nd圖案所覆蓋之唯一VIA_1st圖案;改變候選圖案之大小包括自佈局圖移除第一M_2nd圖案;以及產生佈局圖進一步包括替代地指定第一層級中之對應下伏第一圖案(第一M_1st圖案)作為釘孔圖案。在實施例中,指定第一M_2nd圖案 作為釘孔圖案,因為VIA_1st互連層級中之第一VIA_1st圖案有至少第一及第二允許的上覆位置,在此些位置處M_2nd層級中之至少對應的第一M_2nd圖案及第二M_2nd圖案可被定位成覆蓋第一VIA_1st圖案;或指定第一M_2nd圖案作為釘孔圖案,因為第二互連層級(VIA_2nd層級)中之對應第一介層孔圖案(第一VIA_2nd圖案)有至少第一及第二允許的上覆位置,在此些位置處,第三金屬化層級(M_3rd)中之至少對應的第一及第二導電圖案(第一及第二M_3rd圖案)可被定位成覆蓋第一VIA_2nd圖案。在實施例中,佈局圖進一步包括第二互連層級(VIA_2nd層級),此第二互連層級(VIA_2nd層級)上覆第一M_1st層級並對應於第二互連層,此第二互連層上覆半導體元件中之第一金屬化層;且候選圖案為第一M_1st圖案;決定候選圖案滿足一或多個準則包括:第一子方法,此第一子方法包括決定第一M_1st圖案不覆蓋VIA_1st層級中之至少第一介層孔圖案(第一VIA_1st圖案);以及決定第一M_1st圖案不被VIA_2nd層級中之至少第一介層孔圖案(第一VIA_2nd圖案)覆蓋;或第二子方法,此第二子方法包括相對於第一方向,決定第一M_1st圖案覆蓋VIA_1st層級中之至少第一介層孔圖案(第一VIA_1st圖案)或第一M_1st圖案被VIA_2nd層級中之至少第一介層孔圖案(第一VIA_2nd圖案)覆蓋;在第一子方法之上下文中,改變候選圖案之大小包括自佈局圖移除第一M_1st圖案;以及在第二子方法之上下文中,改變候選圖案之大小包括修整第一 M_1st圖案之第一翼部分以導致對應地更小的第一短節部分;且其中相對於第一方向,存在第一VIA_1st圖案或第一VIA_2nd圖案中之至少一者,以使得第一翼部分位於第一M_1st圖案之第一末端與第一VIA_1st圖案或第一VIA_2nd圖案之間,而無對應地下伏或上覆第一翼部分之其他VIA_1st或VIA_2nd圖案。在實施例中,佈局圖進一步包括電晶體層級,此電晶體層級對應於半導體元件中之電晶體層,且M_1st層級與電晶體層之間無金屬化層級。在實施例中,此系統進一步包括如下各者中之至少一者:遮罩設施,此遮罩設施用以基於佈局圖製造一或多個半導體遮罩;或製造設施,此製造設施用以基於佈局圖製造半導體積體電路之層中的至少一個部件。在實施例中,作為包括在一或多個半導體遮罩之製造當中的態樣,遮罩設施進一步用以基於佈局圖執行一或多次微影曝光;或作為包括在半導體積體電路之層中的至少一個部件之製造當中的態樣,製造設施進一步用以基於佈局圖執行一或多次微影曝光。 In an embodiment, a system (for manufacturing semiconductor components) includes at least one processor and at least one memory, the at least one Memory includes computer code for one or more programs; at least one memory, computer code, and at least one processor for causing the system to execute (for layouts stored on non-transitory computer-readable media, The semiconductor device is based on a layout diagram that includes first and overlying second metallization levels (corresponding to the M_1st and M_2nd levels) and a first interconnection level therebetween (VIA_1st level), corresponding to the first and upper metallization levels in the semiconductor device overlying the second metallization layer and the first interconnect layer therebetween), and generating the layout diagram includes the following steps. A candidate pattern in the layout is selected; the candidate pattern is the first conductive pattern in the M_2nd level (the first M_2nd pattern) or the first conductive pattern in the M_1st level (the first M_1st pattern); the candidate pattern is determined to satisfy one or more criteria , and changing the size of the candidate pattern, thereby revising the layout; and wherein the layout further includes a transistor level, the transistor level corresponding to the transistor layer in the semiconductor device, and no metal between the M_1st level and the transistor layer level. In an embodiment, the layout diagram includes first and overlying second metallization levels (corresponding to M_1st and M_2nd levels) and a first interconnection level therebetween (VIA_1st level), corresponding to first and overlying second metallization levels in the semiconductor device two metallization layers and a first interconnect layer therebetween; and the candidate pattern is a first M_2nd pattern; determining that the candidate pattern satisfies one or more criteria includes: determining that the first M_2nd pattern is designated as a pin hole pattern; The first via pattern in the (first VIA_1st pattern) is the only VIA_1st pattern covered by the first M_2nd pattern; changing the size of the candidate pattern includes removing the first M_2nd pattern from the layout; and generating the layout further includes replacing The corresponding underlying first pattern (the first M_1st pattern) in the first level is designated as the pin hole pattern. In an embodiment, the first M_2nd pattern is specified As a pin hole pattern, since the first VIA_1st pattern in the VIA_1st interconnect level has at least first and second allowable overlying positions, at least the corresponding first and second M_2nd patterns in the M_2nd level at these positions Can be positioned to cover the first VIA_1st pattern; or designate the first M_2nd pattern as the pinhole pattern, since the corresponding first via pattern (the first VIA_2nd pattern) in the second interconnect level (VIA_2nd level) has at least the first and second allowable overlying locations at which at least corresponding first and second conductive patterns (first and second M_3rd patterns) in the third metallization level (M_3rd) can be positioned to cover the third metallization level (M_3rd) A VIA_2nd pattern. In an embodiment, the layout diagram further includes a second interconnect level (VIA_2nd level) overlying the first M_1st level and corresponding to a second interconnect level, the second interconnect level overlying the first metallization layer in the semiconductor device; and the candidate pattern is the first M_1st pattern; determining that the candidate pattern satisfies one or more criteria includes: a first sub-method, the first sub-method includes determining that the first M_1st pattern does not cover at least the first via pattern in the VIA_1st level (the first VIA_1st pattern); and determining that the first M_1st pattern is not covered by at least the first via pattern in the VIA_2nd level (the first VIA_2nd pattern); or the second sub-method , the second sub-method includes determining, with respect to the first direction, that the first M_1st pattern covers at least the first via pattern (the first VIA_1st pattern) in the VIA_1st level or the first M_1st pattern is covered by at least the first via pattern in the VIA_2nd level Layer hole pattern (first VIA_2nd pattern) overlay; in the context of the first sub-method, changing the size of the candidate pattern includes removing the first M_1st pattern from the layout; and in the context of the second sub-method, changing the size of the candidate pattern Size includes trim first the first wing portion of the M_1st pattern to result in a correspondingly smaller first nub portion; and wherein relative to the first direction, there is at least one of the first VIA_1st pattern or the first VIA_2nd pattern such that the first wing portion It is located between the first end of the first M_1st pattern and the first VIA_1st pattern or the first VIA_2nd pattern without corresponding other VIA_1st or VIA_2nd patterns underlying or overlying the first wing portion. In an embodiment, the layout diagram further includes a transistor level, which corresponds to a transistor layer in the semiconductor device, and there is no metallization level between the M_1st level and the transistor layer. In an embodiment, the system further includes at least one of: a masking facility for fabricating one or more semiconductor masks based on the layout; or a fabrication facility for fabricating based on The layout fabricates at least one component in a layer of the semiconductor integrated circuit. In embodiments, as aspects included in the fabrication of one or more semiconductor masks, the mask facility is further configured to perform one or more lithographic exposures based on the layout; or as a layer included in a semiconductor integrated circuit During the fabrication of at least one component in the fab, the fabrication facility is further configured to perform one or more lithographic exposures based on the layout.

在實施例中,一種(製造半導體元件的)方法包括(對於儲存於非暫時性電腦可讀媒體上之佈局圖而言,半導體元件是基於佈局圖,佈局圖包括第一及上覆第二金屬化層級(對應M_1st及M_2nd層級)以及其間的第一互連層級(VIA_1st層級),對應於半導體元件中的第一及上覆第二金屬化層以及其間的第一互連層)產生佈局圖,包括:選定佈局圖中之候選圖案,候選圖案為M_1st層級中之第一導電圖案(第一M_1st圖案);決定候選圖案滿足一或多個 準則;以及增大候選圖案之大小,藉此修訂佈局圖。在實施例中,佈局圖進一步包括電晶體層級,此電晶體層級對應於半導體元件中之電晶體層;佈局圖之單元被組織成MD行,此些MD行在第一方向上延伸;相對於大體上垂直於第一方向之第二方向,對於MD行中的定位成接近單元之第一及第二邊界的第一及最後行,決定候選圖案滿足一或多個準則包括:決定電晶體層級中之第一金屬至汲極/源極(MD)圖案位於第一或最後MD行中;決定第一MD圖案被第一閘極-汲極/源極(VGD)介層孔圖案覆蓋;決定第一VGD圖案被M_1st層級中之第一導電圖案(第一M_1st圖案)覆蓋;以及決定第一M_1st圖案之長度小於第一參考距離;增大候選圖案之大小包括:相對於第二方向,將第一M_1st圖案之長度增大至至少大體上等於第一參考距離,且其中第一MD圖案及第一VGD圖案表示半導體元件之電晶體層中的對應MD及VGD結構。在實施例中,第一參考距離大於第二參考距離;且相對於產生半導體元件之半導體技術製程世代的典型製造容限,第二參考距離表示層M0中之導電區段的最小長度。在實施例中,第一參考距離由L2表示;且L2大於對應於半導體元件之半導體技術製程世代的一個接觸多晶矽間距(CPP)。在實施例中,佈局圖進一步包括電晶體層級,此電晶體層級對應於半導體元件中之電晶體層;M_1st層級與電晶體層之間無金屬化層級;且L2

Figure 108135327-A0305-02-0058-28
1.5CPP。在實施例中,此方法進一步包括:基於佈局圖,進行如下各者中之至少一者:(A)進行一或多次光微影曝光;(B)製造一或多個半導 體遮罩;或(C)製造半導體積體電路之層中的至少一個部件。 In an embodiment, a method (of fabricating a semiconductor device) includes (for a layout stored on a non-transitory computer-readable medium, the semiconductor device is based on a layout, the layout includes a first and an overlying second metal The metallization level (corresponding to the M_1st and M_2nd levels) and the first interconnection level therebetween (VIA_1st level), corresponding to the first and overlying second metallization layers in the semiconductor element and the first interconnection layer in between) generate a layout diagram , including: selecting a candidate pattern in the layout, the candidate pattern being the first conductive pattern in the M_1st level (the first M_1st pattern); determining that the candidate pattern satisfies one or more criteria; and increasing the size of the candidate pattern, thereby revising Layout. In an embodiment, the layout diagram further includes a transistor level, the transistor level corresponding to a transistor layer in the semiconductor element; the cells of the layout diagram are organized into MD rows, the MD rows extending in the first direction; relative to A second direction, substantially perpendicular to the first direction, for the first and last rows in the MD row positioned proximate to the first and second boundaries of the cells, determining that the candidate pattern satisfies one or more criteria includes: determining a transistor level a first metal-to-drain/source (MD) pattern in the first or last MD row; determining that the first MD pattern is covered by a first gate-drain/source (VGD) via pattern; determining The first VGD pattern is covered by the first conductive pattern (the first M_1st pattern) in the M_1st level; and determining that the length of the first M_1st pattern is smaller than the first reference distance; increasing the size of the candidate pattern includes: with respect to the second direction, changing the The length of the first M_1st pattern is increased to at least substantially equal to the first reference distance, and wherein the first MD pattern and the first VGD pattern represent corresponding MD and VGD structures in the transistor layer of the semiconductor element. In an embodiment, the first reference distance is greater than the second reference distance; and the second reference distance represents the minimum length of the conductive segment in layer M0 relative to typical manufacturing tolerances of the semiconductor technology process generation in which the semiconductor device is produced. In an embodiment, the first reference distance is denoted by L2; and L2 is greater than a contact polysilicon pitch (CPP) corresponding to a semiconductor technology process generation of the semiconductor device. In an embodiment, the layout diagram further includes a transistor level, the transistor level corresponding to a transistor layer in the semiconductor device; no metallization level between the M_1st level and the transistor layer; and L2
Figure 108135327-A0305-02-0058-28
1.5CPP. In an embodiment, the method further comprises: based on the layout, performing at least one of: (A) performing one or more photolithographic exposures; (B) fabricating one or more semiconductor masks; or (C) Fabrication of at least one component in a layer of a semiconductor integrated circuit.

前文概述了若干實施例之特征,使得熟習此項技藝者可較佳理解本揭示案之實施例之態樣。熟習此項技藝者應瞭解,他們可容易地使用本揭示案之實施例作為設計或修改用於實現相同目的及/或達成本文中所介紹之實施例之相同優勢的其它製程及結構的基礎。熟習此項技藝者亦應認識到,此些等效構造不脫離本揭示案之實施例之精神及範疇,且他們可在不脫離本揭示案之實施例之精神及範疇的情況下在本文進行各種改變、代替及替換。 The foregoing has outlined features of several embodiments so that those skilled in the art may better understand aspects of the embodiments of the present disclosure. Those skilled in the art should appreciate that they may readily use the embodiments of the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments described herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the embodiments of the present disclosure, and that they may be made herein without departing from the spirit and scope of the embodiments of the present disclosure Various changes, substitutions and substitutions.

502:方塊 502: Blocks

602:方塊 602: Blocks

604:方塊 604: Square

606:方塊 606: Blocks

Claims (11)

一種製造半導體元件之方法,包括:對於儲存於一非暫時性電腦可讀媒體上之一佈局圖而言,一半導體元件是基於該佈局圖,該佈局圖包括一第一金屬化層級及上覆該第一金屬化層級之一第二金屬化層級以及該第一金屬化層級與該第二金屬化層級之間的一第一互連層級,該佈局圖對應於該半導體元件中的一第一金屬化層、上覆該第一金屬化層之一第二金屬化層以及該第一金屬化層與該第二金屬化層之間的一第一互連層,產生該佈局圖,包括:選定該佈局圖中之一候選圖案,該候選圖案為該第二金屬化層級中之一第一導電圖案或該第一金屬化層級中之一第一導電圖案,且該候選圖案對應於該半導體元件中的一結構;決定該候選圖案滿足對應於產生該佈局圖之一或多個準則,包括:一第一子方法,包括:決定該第一金屬化層級中之該第一導電圖案不覆蓋至少該第一互連層級中之一第一介層孔圖案;以及決定該第一金屬化層級中之該第一導電圖案不被至少該第二互連層級中之該第一介層孔圖案覆蓋;或一第二子方法,包括: 相對於一第一方向,決定該第一金屬化層級中之該第一導電圖案覆蓋至少該第一互連層級中之該第一介層孔圖案;或相對於一第一方向,決定該第一金屬化層級中之該第一導電圖案被至少該第二互連層級中之該第一介層孔圖案覆蓋;或一第三子方法,包括:決定該第二金屬化層級中之該第一導電圖案被指定作為一釘孔圖案;以及決定在該第一互連層級中的一第一介層孔圖案為被該第二金屬化層級中之該第一導電圖案所覆蓋之一唯一第一互連圖案;以及至少減小該候選圖案之一大小,藉此修訂該佈局圖。 A method of fabricating a semiconductor device, comprising: for a layout stored on a non-transitory computer-readable medium, a semiconductor device is based on the layout, the layout including a first metallization level and overlying A second metallization level of the first metallization level and a first interconnection level between the first metallization level and the second metallization level, the layout corresponding to a first one in the semiconductor device A metallization layer, a second metallization layer overlying the first metallization layer, and a first interconnection layer between the first metallization layer and the second metallization layer, generating the layout, including: Selecting a candidate pattern in the layout, the candidate pattern is a first conductive pattern in the second metallization level or a first conductive pattern in the first metallization level, and the candidate pattern corresponds to the semiconductor A structure in an element; determining that the candidate pattern satisfies one or more criteria corresponding to generating the layout, comprising: a first sub-method comprising: determining that the first conductive pattern in the first metallization level does not cover at least a first via pattern in the first interconnect level; and determining that the first conductive pattern in the first metallization level is not affected by at least the first via pattern in the second interconnect level Override; or a second submethod, including: Relative to a first direction, it is determined that the first conductive pattern in the first metallization level covers at least the first via pattern in the first interconnection level; or relative to a first direction, the first conductive pattern is determined to cover at least the first via pattern in the first interconnection level. The first conductive pattern in a metallization level is covered by at least the first via pattern in the second interconnect level; or a third sub-method comprising: determining the first via pattern in the second metallization level a conductive pattern is designated as a pin hole pattern; and a first via pattern in the first interconnect level is determined as a unique first via pattern covered by the first conductive pattern in the second metallization level an interconnect pattern; and reducing at least a size of one of the candidate patterns, thereby revising the layout. 如請求項1所述之製造半導體元件之方法,其中:該第一金屬化層級、該第二金屬化層級以及該第一互連層級分別對應於該半導體元件中的該第一金屬化層、該第二金屬化層以及該第一互連層;該候選圖案為該第二金屬化層級中之該第一導電圖案;至少減小該候選圖案之一大小之步驟包括: 自該佈局圖移除該第二金屬化層級中之該第一導電圖案;產生該佈局圖之步驟進一步包括:替代地指定該第一金屬化層級中對應之一下伏第一圖案作為一釘孔圖案;以及指定該第一金屬化層級中之該第一導電圖案作為一釘孔圖案,因為該第一互連層級中之該第一介層孔圖案有一第一允許的上覆位置及一第二允許的上覆位置中至少一者,在該第一允許的上覆位置及該第二允許的上覆位置中至少一者處,該第二金屬化層級中之對應的該第二金屬化層級中之該第一導電圖案及該第二金屬化層級中之一第二導電圖案可被定位成覆蓋該第一互連層級中的該第一介層孔圖案;或指定該第二金屬化層級中之該第一導電圖案作為一釘孔圖案,因為一第二互連層級中之對應一第一介層孔圖案有該第一允許的上覆位置及該第二允許的上覆位置中至少一者,在該第一允許的上覆位置及該第二允許的上覆位置中至少一者處,至少一第三金屬化層級中對應的一第一導電圖案及一第二導電圖案可被定位成覆蓋該第二互連層級中之對應該第一介層孔圖案。 The method for manufacturing a semiconductor device according to claim 1, wherein: the first metallization level, the second metallization level and the first interconnection level correspond to the first metallization layer, the second metallization layer and the first interconnect layer; the candidate pattern is the first conductive pattern in the second metallization level; the step of reducing at least one size of the candidate pattern includes: removing the first conductive pattern in the second metallization level from the layout; the step of generating the layout further comprising: alternatively designating a corresponding one of the underlying first patterns in the first metallization level as a pinhole pattern; and designating the first conductive pattern in the first metallization level as a pin hole pattern because the first via pattern in the first interconnect level has a first allowable overlying location and a first At least one of two permitted overlying locations, at least one of the first permitted overlying location and the second permitted overlying location, the corresponding second metallization in the second metallization level The first conductive pattern in the level and a second conductive pattern in the second metallization level can be positioned to cover the first via pattern in the first interconnect level; or designate the second metallization The first conductive pattern in the level acts as a pin hole pattern because a corresponding first via pattern in a second interconnect level has the first allowable overlying position and the second allowable overlying position in the At least one, at at least one of the first allowable overlying position and the second allowable overlying position, a first conductive pattern and a second conductive pattern corresponding to at least one third metallization level can be is positioned to cover the corresponding first via pattern in the second interconnect level. 如請求項1所述之製造半導體元件之方法,其中:該佈局圖進一步包括一第二互連層級,該第二互連層級上覆該第一金屬化層級並對應於一第二互連層,該第二互連層上覆該半導體元件中之該第一金屬化層,以及 該候選圖案為該第一金屬化層級中之該第一導電圖案;在該第一子方法之一上下文中,至少減小該候選圖案之該大小之步驟包括:自該佈局圖移除該第一金屬化層級中之該第一導電圖案;以及在該第二子方法之一上下文中,至少減小該候選圖案之該大小之步驟包括:修整該第一金屬化層級中之該第一導電圖案之一第一翼部分以導致更小的一第一短節部分;以及其中相對於該第一方向,存在該第一互連層級中之該第一介層孔圖案或該第二互連層級中之該第一介層孔圖案中之至少一者,以使得該第一翼部分位於該第一金屬化層級中之該第一導電圖案之一第一末端與該第一互連層級中之該第一介層孔圖案或該第二互連層級中之該第一介層孔圖案之間,而無對應地下伏或上覆該第一翼部分之其他該第一互連層級中之該第一介層孔圖案或該第二互連層級中之該第一介層孔圖案。 The method of manufacturing a semiconductor device of claim 1, wherein: the layout further includes a second interconnection level, the second interconnection level overlying the first metallization level and corresponding to a second interconnection level , the second interconnect layer overlies the first metallization layer in the semiconductor device, and the candidate pattern is the first conductive pattern in the first metallization level; in the context of one of the first sub-methods, at least the step of reducing the size of the candidate pattern comprises: removing the first conductive pattern from the layout the first conductive pattern in a metallization level; and in the context of one of the second sub-methods, at least reducing the size of the candidate pattern comprises: trimming the first conductive pattern in the first metallization level a first wing portion of the pattern to result in a smaller first nub portion; and wherein relative to the first direction, there is the first via pattern or the second interconnect in the first interconnect level at least one of the first via patterns in the level such that the first wing portion is located in a first end of the first conductive pattern in the first metallization level and in the first interconnect level between the first via pattern or the first via pattern in the second interconnect level without correspondingly underlying or overlying the first wing portion in the other first interconnect levels The first via pattern or the first via pattern in the second interconnect level. 如請求項1所述之製造半導體元件之方法,進一步包括:基於該佈局圖,進行如下各者中之至少一者:(A)進行一或多次光微影曝光;(B)製造一或多個半導體遮罩;或 (C)製造一半導體積體電路之一層中的至少一個部件。 The method for manufacturing a semiconductor device according to claim 1, further comprising: based on the layout diagram, performing at least one of the following: (A) performing one or more photolithography exposures; (B) manufacturing one or more multiple semiconductor masks; or (C) Fabricating at least one component in a layer of a semiconductor integrated circuit. 一種用於製造半導體元件之系統,包括:至少一個處理器;以及至少一個記憶體,其包括用於一或多個程式之電腦程式碼;其中該至少一個記憶體、該電腦程式碼及該至少一個處理器用以使該系統執行:對於儲存於一非暫時性電腦可讀媒體上之一佈局圖而言,該半導體元件是基於該佈局圖,該佈局圖包括一第一金屬化層級及上覆該第一金屬化層級之一第二金屬化層級以及該第一金屬化層級與該第二金屬化層級之間的一第一互連層級,該佈局圖對應於該半導體元件中的一第一金屬化層及上覆該第一金屬化層之一第二金屬化層以及該第一金屬化層與該第二金屬化層之間的一第一互連層,產生該佈局圖,包括:選定該佈局圖中之一候選圖案,該候選圖案為該第二金屬化層級中之一第一導電圖案或該第一金屬化層級中之一第一導電圖案,且該候選圖案對應於該半導體元件中的一結構;決定該候選圖案滿足對應於產生該佈局圖之一或多個準則,包括:一第一子方法,包括: 決定該第一金屬化層級中之該第一導電圖案不覆蓋至少該第一互連層級中之一第一介層孔圖案;以及決定該第一金屬化層級中之該第一導電圖案不被至少該第二互連層級中之該第一介層孔圖案覆蓋;或一第二子方法,包括:相對於一第一方向,決定該第一金屬化層級中之該第一導電圖案覆蓋至少該第一互連層級中之該第一介層孔圖案或該第一金屬化層級中之該第一導電圖案被至少該第二互連層級中之該第一介層孔圖案覆蓋;或一第三子方法,包括:決定該第二金屬化層級中之該第一導電圖案被指定作為一釘孔圖案;決定在該第一層級中的一第一介層孔圖案為被該第二金屬化層級中之該第一導電圖案所覆蓋之一唯一第一互連圖案;以及改變該候選圖案之一大小,藉此修訂該佈局圖;以及其中:該佈局圖進一步包括一電晶體層級,該電晶體層級對應於該半導體元件中之一電晶體層;以及 在該第一金屬化層級與該電晶體層之間無金屬化層級。 A system for manufacturing a semiconductor device, comprising: at least one processor; and at least one memory including computer code for one or more programs; wherein the at least one memory, the computer code and the at least one memory A processor for causing the system to execute: for a layout stored on a non-transitory computer-readable medium, the semiconductor device is based on the layout, the layout including a first metallization level and overlying A second metallization level of the first metallization level and a first interconnection level between the first metallization level and the second metallization level, the layout corresponding to a first one in the semiconductor device A metallization layer, a second metallization layer overlying the first metallization layer, and a first interconnection layer between the first metallization layer and the second metallization layer, generating the layout, including: Selecting a candidate pattern in the layout, the candidate pattern is a first conductive pattern in the second metallization level or a first conductive pattern in the first metallization level, and the candidate pattern corresponds to the semiconductor A structure in the component; determining that the candidate pattern satisfies one or more criteria corresponding to generating the layout, including: a first sub-method, including: determining that the first conductive pattern in the first metallization level does not cover at least a first via pattern in the first interconnection level; and determining that the first conductive pattern in the first metallization level is not covered by at least the first via pattern coverage in the second interconnect level; or a second sub-method comprising: determining, with respect to a first direction, the first conductive pattern coverage in the first metallization level at least The first via pattern in the first interconnect level or the first conductive pattern in the first metallization level is covered by at least the first via pattern in the second interconnect level; or a A third sub-method includes: determining that the first conductive pattern in the second metallization level is designated as a pin hole pattern; determining a first via pattern in the first level to be designated by the second metal a unique first interconnect pattern covered by the first conductive pattern in the chemical layer; and changing a size of the candidate pattern, thereby revising the layout; and wherein: the layout further includes a transistor level, the a transistor level corresponding to a transistor layer in the semiconductor element; and There is no metallization level between the first metallization level and the transistor layer. 如請求項5所述之用於製造半導體元件之系統,其中:第一金屬化層級、該第二金屬化層級以及該第一互連層級,分別對應於該半導體元件中的該第一金屬化層、該第二金屬化層以及該第一互連層;該候選圖案為該第二金屬化層級中之該第一導電圖案;改變該候選圖案之一大小之步驟包括:自該佈局圖移除該第二金屬化層級中之該第一導電圖案;以及產生該佈局圖之步驟進一步包括:替代地指定該第一金屬化層級中對應之一下伏第一圖案作為一釘孔圖案;以及指定該第一金屬化層級中之該第一導電圖案作為一釘孔圖案,因為該第一互連層級中之該第一介層孔圖案有一第一允許的上覆位置及一第二允許的上覆位置中至少一者,在該第一允許的上覆位置及該第二允許的上覆位置中至少一者處,該第二金屬化層級中之對應的該第二金屬化層級中之該第一導電圖案及該第二金屬化層級中之一第二導電圖案可被定位成覆蓋該第一互連層級中的該第一介層孔圖案;或指定該第二金屬化層級中之該第一導電圖案作為一釘孔圖案,因為一第二互連層級中之對應一第一介 層孔圖案有該第一允許的上覆位置及該第二允許的上覆位置中至少一者,在該第一允許的上覆位置及該第二允許的上覆位置中至少一者處,至少一第三金屬化層級中對應的一第一導電圖案及一第二導電圖案可被定位成覆蓋該第二互連層級中之對應該第一介層孔圖案。 The system for manufacturing a semiconductor device of claim 5, wherein: the first metallization level, the second metallization level, and the first interconnection level correspond to the first metallization in the semiconductor device, respectively layer, the second metallization layer and the first interconnect layer; the candidate pattern is the first conductive pattern in the second metallization level; the step of changing a size of the candidate pattern includes: shifting from the layout The step of removing the first conductive pattern in the second metallization level; and the step of generating the layout further includes: alternatively assigning a corresponding one of the underlying first patterns in the first metallization level as a pin hole pattern; and assigning The first conductive pattern in the first metallization level acts as a pin hole pattern because the first via pattern in the first interconnect level has a first allowable overlying location and a second allowable overlying location at least one of the overlying locations, at least one of the first permitted overlying location and the second permitted overlying location, the one of the second metallization levels corresponding to the one of the second metallization levels The first conductive pattern and a second conductive pattern in the second metallization level can be positioned to cover the first via pattern in the first interconnect level; or specify the one in the second metallization level The first conductive pattern acts as a pin hole pattern because a second interconnect level corresponds to a first The layer hole pattern has at least one of the first allowable overlying position and the second allowable overlying position, at at least one of the first allowable overlying position and the second allowable overlying position, A corresponding first conductive pattern and a second conductive pattern in at least a third metallization level can be positioned to cover the corresponding first via pattern in the second interconnect level. 如請求項5所述之用於製造半導體元件之系統,其中:該佈局圖進一步包括一第二互連層級,此第二互連層級上覆該第一金屬化層級並對應於一第二互連層,該第二互連層上覆該半導體元件中之該第一金屬化層,以及該候選圖案為該第一金屬化層級中之該第一導電圖案;在該第一子方法之一上下文中,改變該候選圖案之一大小之步驟包括:自該佈局圖移除該第一金屬化層級中之該第一導電圖案;以及在該第二子方法之一上下文中,改變該候選圖案之一大小之步驟包括:修整該第一金屬化層級中之該第一導電圖案之一第一翼部分以導致對應地更小的一第一短節部分;以及其中相對於該第一方向,存在該第一互連層級中之該第一介層孔圖案或該第二互連層級中之該第一介層孔圖案中之至少一者,以使得該第一翼部分位於該 第一金屬化層級中之該第一導電圖案之一第一末端與該第一互連層級中之該第一介層孔圖案或該第二互連層級中之該第一介層孔圖案之間,而無對應地下伏或上覆該第一翼部分之其他該第一互連層級中之該第一介層孔圖案或該第二互連層級中之該第一介層孔圖案。 The system for fabricating semiconductor devices of claim 5, wherein: the layout further includes a second interconnect level, the second interconnect level overlying the first metallization level and corresponding to a second interconnect level an interconnect layer, the second interconnect layer overlies the first metallization layer in the semiconductor device, and the candidate pattern is the first conductive pattern in the first metallization level; in one of the first sub-methods In the context, the step of changing a size of the candidate pattern comprises: removing the first conductive pattern in the first metallization level from the layout; and in the context of one of the second sub-methods, changing the candidate pattern The step of a size includes: trimming a first wing portion of the first conductive pattern in the first metallization level to result in a correspondingly smaller first nub portion; and wherein with respect to the first direction, At least one of the first via pattern in the first interconnect level or the first via pattern in the second interconnect level is present such that the first wing portion is located in the The relationship between a first end of the first conductive pattern in the first metallization level and the first via pattern in the first interconnection level or the first via pattern in the second interconnection level There is no corresponding first via pattern in the other first interconnect level or the first via pattern in the second interconnect level underlying or overlying the first wing portion. 一種製造半導體元件之方法,包括:對於儲存於一非暫時性電腦可讀媒體上之一佈局圖而言,該半導體元件是基於該佈局圖,該佈局圖包括一第一金屬化層級及上覆該第一金屬化層級之一第二金屬化層級以及該第一金屬化層級與該第二金屬化層級之間的一第一互連層級,該佈局圖對應於該半導體元件中的一第一金屬化層及上覆該第一金屬化層之一第二金屬化層以及該第一金屬化層與該第二金屬化層之間的一第一互連層,產生該佈局圖,包括:選定該佈局圖中之一候選圖案,該候選圖案為該第一金屬化層級中之一第一導電圖案,且該候選圖案對應於該半導體元件中的一結構;決定該候選圖案滿足對應於產生該佈局圖之一或多個準則,包括:決定該電晶體層級中之一第一金屬至汲極/源極圖案位於該第一MD行或該最後MD行中;決定該第一金屬至汲極/源極圖案被一第一閘極-汲極/源極介層孔圖案覆蓋; 決定該第一閘極-汲極/源極介層孔圖案被該第一金屬化層級中之該第一導電圖案覆蓋;以及決定該第一金屬化層級中之該第一導電圖案之一長度小於一第一參考距離;以及增大該候選圖案之一大小,藉以修訂該佈局圖。 A method of fabricating a semiconductor device, comprising: for a layout stored on a non-transitory computer-readable medium, the semiconductor device is based on the layout, the layout including a first metallization level and overlying A second metallization level of the first metallization level and a first interconnection level between the first metallization level and the second metallization level, the layout corresponding to a first one in the semiconductor device A metallization layer, a second metallization layer overlying the first metallization layer, and a first interconnection layer between the first metallization layer and the second metallization layer, generating the layout, including: Selecting a candidate pattern in the layout diagram, the candidate pattern is a first conductive pattern in the first metallization level, and the candidate pattern corresponds to a structure in the semiconductor device; determining that the candidate pattern satisfies the requirements corresponding to the generation of One or more criteria of the layout, including: determining that a first metal-to-drain/source pattern in the transistor level is located in the first MD row or the last MD row; determining the first metal-to-drain the electrode/source pattern is covered by a first gate-drain/source via pattern; determining that the first gate-drain/source via pattern is covered by the first conductive pattern in the first metallization level; and determining a length of the first conductive pattern in the first metallization level less than a first reference distance; and increasing a size of the candidate pattern, thereby revising the layout. 如請求項8所述之製造半導體元件之方法,其中:該佈局圖進一步包括一電晶體層級,該電晶體層級對應於該半導體元件中之一電晶體層;該佈局圖之一單元被組織成複數MD行,該等MD行在一第一方向上延伸;相對於大體上垂直於該第一方向之一第二方向,對於該等MD行中的定位成接近該單元之第一邊界及第二邊界的一第一MD行及一最後MD行,增大該候選圖案之一大小之步驟包括:相對於該第二方向,將該第一金屬化層級中之該第一導電圖案之一長度增大至至少大體上等於該第一參考距離;以及其中該第一金屬至汲極/源極圖案及該第一閘極-汲極/源極介層孔圖案表示該半導體元件之該電晶體層中的對應MD及VGD結構。 The method of manufacturing a semiconductor device of claim 8, wherein: the layout further includes a transistor level, the transistor level corresponding to a transistor layer in the semiconductor device; a cell of the layout is organized into A plurality of MD rows, the MD rows extending in a first direction; with respect to a second direction substantially perpendicular to the first direction, for those of the MD rows positioned proximate the first boundary of the cell and the second direction A first MD row and a last MD row of two boundaries, the step of increasing a size of the candidate pattern includes: with respect to the second direction, a length of the first conductive pattern in the first metallization level increased to at least substantially equal to the first reference distance; and wherein the first metal-to-drain/source pattern and the first gate-drain/source via pattern represent the transistor of the semiconductor device Corresponding MD and VGD structures in layers. 如請求項8所述之製造半導體元件之方法,其中: 一第一參考距離以L2表示;L2大於對應於該半導體元件之一半導體技術製程世代的一個接觸多晶矽間距(CPP);該佈局圖進一步包括一電晶體層級,該電晶體層級對應於該半導體元件中之一電晶體層;在該第一金屬化層級與該電晶體層之間無金屬化層級;以及L2約為1.5CPP。 The method of manufacturing a semiconductor device as claimed in claim 8, wherein: A first reference distance is denoted by L2; L2 is greater than a contact polysilicon pitch (CPP) corresponding to a semiconductor technology process generation of the semiconductor device; the layout further includes a transistor level corresponding to the semiconductor device a transistor layer; no metallization level between the first metallization level and the transistor layer; and L2 is about 1.5 CPP. 如請求項8所述之製造半導體元件之方法,進一步包括:基於該佈局圖,進行如下各者中之至少一者:(A)進行一或多次光微影曝光;(B)製造一或多個半導體遮罩;或(C)製造一半導體積體電路之一層中的至少一個部件。 The method for manufacturing a semiconductor device according to claim 8, further comprising: based on the layout diagram, performing at least one of the following: (A) performing one or more photolithography exposures; (B) manufacturing one or more a plurality of semiconductor masks; or (C) fabricating at least one component in a layer of a semiconductor integrated circuit.
TW108135327A 2018-09-28 2019-09-27 Method of manufacturing semiconductor device and system for manufacturing semiconductor device TWI770425B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862739053P 2018-09-28 2018-09-28
US62/739,053 2018-09-28
US16/558,214 2019-09-02
US16/558,214 US11232248B2 (en) 2018-09-28 2019-09-02 Routing-resource-improving method of generating layout diagram and system for same

Publications (2)

Publication Number Publication Date
TW202032262A TW202032262A (en) 2020-09-01
TWI770425B true TWI770425B (en) 2022-07-11

Family

ID=69947552

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135327A TWI770425B (en) 2018-09-28 2019-09-27 Method of manufacturing semiconductor device and system for manufacturing semiconductor device

Country Status (2)

Country Link
CN (1) CN110991139B (en)
TW (1) TWI770425B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11637069B2 (en) * 2020-08-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with V2V rail and methods of making same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5946476A (en) * 1994-06-14 1999-08-31 Fujitsu Limited Method and apparatus for designing the layout of circuit component patterns
US20030005399A1 (en) * 2001-04-13 2003-01-02 Mutsunori Igarashi Layout design system, layout design method and layout design program of semiconductor integrated circuit, and method of manufacturing the same
US20160314234A1 (en) * 2013-07-31 2016-10-27 GlobalFoundries, Inc. Methods for modifying an integrated circuit layout design
TW201814393A (en) * 2016-09-30 2018-04-16 台灣積體電路製造股份有限公司 Method for manufacturing an integrated circuit

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005022763B4 (en) * 2005-05-18 2018-02-01 Infineon Technologies Ag Electronic circuit arrangement and method for producing an electronic circuit
US9831230B2 (en) * 2013-08-13 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (ECO) cells and method
US8863044B1 (en) * 2013-09-06 2014-10-14 International Business Machines Corporation Layout assessment method and system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5946476A (en) * 1994-06-14 1999-08-31 Fujitsu Limited Method and apparatus for designing the layout of circuit component patterns
US20030005399A1 (en) * 2001-04-13 2003-01-02 Mutsunori Igarashi Layout design system, layout design method and layout design program of semiconductor integrated circuit, and method of manufacturing the same
US20160314234A1 (en) * 2013-07-31 2016-10-27 GlobalFoundries, Inc. Methods for modifying an integrated circuit layout design
TW201814393A (en) * 2016-09-30 2018-04-16 台灣積體電路製造股份有限公司 Method for manufacturing an integrated circuit

Also Published As

Publication number Publication date
CN110991139B (en) 2023-12-05
CN110991139A (en) 2020-04-10
TW202032262A (en) 2020-09-01

Similar Documents

Publication Publication Date Title
US11138362B2 (en) Integrated circuit layout method and system
US11138360B2 (en) Semiconductor device with filler cell region, method of generating layout diagram and system for same
KR102366538B1 (en) Routing-resource-improving method of generating layout diagram and system for same
US11275885B2 (en) Engineering change order cell structure having always-on transistor
US11030372B2 (en) Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US20220199608A1 (en) Integrated circuit with backside power rail and backside interconnect
US11664311B2 (en) Method and structure to reduce cell width in semiconductor device
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
US11494542B2 (en) Semiconductor device, method of generating layout diagram and system for same
TWI770425B (en) Method of manufacturing semiconductor device and system for manufacturing semiconductor device
US11037935B2 (en) Semiconductor device including trimmed-gates
US11967596B2 (en) Power rail and signal conducting line arrangement
US20230045167A1 (en) Power rail and signal conducting line arrangement
US20230259686A1 (en) Semiconductor device and method and system of arranging patterns of the same
CN116344545A (en) Integrated circuit and method for forming cell layout structure