TWI750041B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI750041B
TWI750041B TW110106687A TW110106687A TWI750041B TW I750041 B TWI750041 B TW I750041B TW 110106687 A TW110106687 A TW 110106687A TW 110106687 A TW110106687 A TW 110106687A TW I750041 B TWI750041 B TW I750041B
Authority
TW
Taiwan
Prior art keywords
layer
work function
function adjusting
adjusting material
gate dielectric
Prior art date
Application number
TW110106687A
Other languages
English (en)
Other versions
TW202133272A (zh
Inventor
沙哈吉 B 摩爾
錢德拉謝卡爾 P 薩萬特
余典衛
蔡家銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/934,916 external-priority patent/US11784187B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202133272A publication Critical patent/TW202133272A/zh
Application granted granted Critical
Publication of TWI750041B publication Critical patent/TWI750041B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在半導體裝置的製造方法中,在半導體材料製成的通道區上形成閘極介電層,在閘極介電層上形成第一功函數調整材料層,在第一功函數調整材料層上形成黏性增強層,在黏性增強層上形成包含抗反射有機材料層的遮罩層,以及使用遮罩層作為蝕刻遮罩將黏性增強層和第一功函數調整材料層圖案化。黏性增強層與第一功函數調整材料層相比對抗反射有機材料層具有較高的黏著強度。

Description

半導體裝置及其製造方法
本發明係有關於一種半導體裝置及其製造方法,特別是有關於具有黏性增強層的場效電晶體及其製造方法。
隨著積體電路的尺寸日益縮小以及對積體電路速度的要求日益嚴格,電晶體需要具備更大的驅動電流與更小的尺寸。因此,發展了三維場效電晶體(field-effect transistor,FET)。三維(three dimensional,3D)場效電晶體包含在基底上的垂直式半導體奈米結構(例如鰭片(fin)、奈米線(nanowire)、奈米片(nanosheet)等)。半導體奈米結構用於形成源極和汲極區,以及源極與汲極區之間的通道區。淺溝槽隔離(shallow trench isolation,STI)區的形成是用以定義半導體奈米結構。三維場效電晶體也包含形成在半導體鰭片的側壁和頂面上,或形成在奈米線、奈米片之所有側上的閘極堆疊。由於三維場效電晶體具有三維的通道結構,對通道進行的離子植入製程需要格外小心,以降低任何的幾何效應。隨著積體電路的尺寸日益縮小,鄰近裝置之間的間距跟著縮小,且不同臨界電壓(threshold voltage)的裝置越來越近,導致臨界電壓因各種製程及/或結構問題產生偏移。
在本發明的一實施例中,提供半導體裝置。半導體裝置包含第一場效電晶體,包含設置在第一通道區上的第一閘極結構,以及第二場效電晶體,具有與第一場效電晶體不同的導電類型且包含設置在第二通道區上的第二閘極結構。第一閘極結構包含在第一通道區上的第一閘極介電層,在第一閘極介電層上的第一功函數調整材料層,設置在第一功函數調整材料層上的黏性增強層,以及第一金屬閘極電極層。第二閘極結構包含在第二通道區上的第二閘極介電層,在第二閘極介電層上的第二功函數調整材料層,以及第二金屬閘極電極層。第一功函數調整材料層不含氮或氮含量小於50原子百分比,且黏性增強層的氮含量在55原子百分比至75原子百分比的範圍內。第二閘極結構不包含黏性增強層。
在本發明的又一實施例中,提供半導體裝置。半導體裝置包含設置在通道區上的第一閘極介電層,設置在第一閘極介電層上的第二閘極介電層,設置在第二閘極介電層上的黏性增強層,設置在黏性增強層上的一或多個功函數調整材料層,以及設置在一或多個功函數調整材料層上的主體閘極電極層。第二閘極介電層為La、Lu、Sc、Sr、Zr、Y、Dy、Eu和Y中的一或多種的氧化物,且黏性增強層包含TiNx 、TiSiN、SiN、AlN和Al2 O3 中的一或多種,其中TiNx 的x約為1.1至1.5。
在本發明的另一實施例中,提供半導體裝置的製造方法。方法包含在半導體材料製成的通道區上形成閘極介電層,在閘極介電層上形成第一功函數調整材料層,在第一功函數調整材料層上形成黏性增強層,在黏性增強層上形成包含抗反射有機材料層的遮罩層,以及使用遮罩層作為蝕刻遮罩將黏性增強層和第一功函數調整材料層圖案化。黏性增強層與第一功函數調整材料層相比對抗反射有機材料層具有較高的黏著強度。第一功函數調整材料層不含氮或氮含量小於50原子百分比,且黏性增強層的氮含量在55原子百分比至75原子百分比的範圍內。
以下揭露提供了許多不同的實施例或範例,用於實施本發明實施例中的不同部件。組件和配置的具體範例描述如下,以簡化本揭露的說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,元件的尺寸不限於揭露的範圍或數值,可視製程條件及/或裝置的期望特性而定。另外,當以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施例,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。為了簡化和清楚,可任意繪示不同比例的各種部件。在所附圖式中,為了簡化可省略一些層/部件。
再者,在此可使用空間相對用詞,例如「在……下方」、「在……下」、「下方的」、「在……上」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞係用以涵蓋圖式所描繪的方位以外,使用中或操作中之裝置的不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),可與其相應地解釋在此使用之空間相對的描述。此外,「由……製成」可指「包括」或「由……組成」。再者,在以下的製造程序中,描述的操作之間可能有一或多個額外的操作,而這些操作的順序可以改變。在本揭露中,「A、B、C之一」係指「A、B及/或C」(A、B、C、A和B、A和C、B和C,或A、B和C),而並不是指A中的一個元件、B中的一個元件和C中的一個元件,除非另有描述。在整個揭露中,源極和汲極可交互使用,且源/汲極係指源極和汲極中的一者或兩者。在以下的實施例中,針對一個實施例(例如一或多張圖)所描述的材料、配置、尺寸、製程及/或操作也可用於其他實施例,並且可省略其詳細描述。
本發明的實施例係關於半導體裝置,特別是場效電晶體(FET)的閘極結構及其製造方法。實施例,例如在此揭露的那些實施例,通常不僅適用於平面場效電晶體,也適用於鰭式場效電晶體(FinFET)、雙閘極場效電晶體、環繞式閘極(surround-gate)場效電晶體、Ω狀閘極(omega-gate)場效電晶體或全繞式閘極(gate-all-around,GAA)場效電晶體(例如側向(lateral)全繞式閘極場效電晶體或垂直全繞式閘極場效電晶體)及/或奈米線電晶體、奈米片電晶體、奈米叉形片(nano-forksheet)電晶體、奈米平板(nano-slab)電晶體或任何在閘極結構中具有一或多個功函數調整材料(work function adjustment material,WFM)層之合適的裝置。
隨著縮小尺寸的技術,半導體裝置(例如電晶體)彼此間設置得更加緊密,且(損害鄰近的裝置的)近接效應(proximity effect)值得關切。在場效電晶體結構中,建構具有低Vt的多個Vt裝置對於低功耗和提高裝置效能至關重要。金屬閘極膜的組成和厚度在定義裝置功函數上扮演關鍵的角色。藉由對設置在閘極介電層與主體金屬閘極電極層(例如W層)之間的一或多個功函數調整材料層(WFM)的材料及/或厚度進行調整,能實現具有不同臨界電壓的多個場效電晶體。再者,使用高介電常數(high-k)偶極(dipole)層以形成不同的Vt裝置。在形成不同Vt裝置(和不同導電類型的裝置)之閘極結構的過程中,實施各種圖案化操作。在微影操作中,底部抗反射層(bottom antireflective layer,BARC)和光阻層一起使用。然而,由有機材料製成的底部抗反射層對下層(例如高介電常數介電層或偶極層或功函數調整材料層)可能具有低黏著強度。因此,在金屬邊界區,用於蝕刻操作的濕式化學品可能會滲入底部抗反射層與下層之間的界面,並導致下層受損。此外,用以圖案化與移除底部抗反射層的電漿製程也導致下層受損。再者,在清洗製程期間使用的濕式化學品或大氣中的水氣也導致高介電常數偶極層和功函數調整材料層受損。
本揭露係關於在功函數調整材料層及/或高介電常數介電層上使用黏著層以提高底部抗反射層的黏性。
第1A圖是根據本發明的一實施例,顯示半導體裝置的剖面示意圖。
一些實施例中,半導體裝置包含設置在鰭片結構20的通道區上的閘極堆疊80。如第1A圖所示,閘極堆疊80包含界面層81、閘極介電層82、作為蓋層的第一導電層83、作為第一阻障層的第二導電層84、功函數調整材料層或功函數調整層(WFM層)86、膠合層87和主體閘極電極層88。一些實施例中,在基底10上提供自隔離絕緣層30突出的鰭片結構20。此外,在閘極堆疊80相對的側面上設置閘極側壁間隙物46,並形成覆蓋閘極側壁間隙物46的一或多個介電層50。一些實施例中,在閘極側壁間隙物46與隔離絕緣層30之間設置一塊絕緣材料(例如:下文中所述的虛設閘極介電層42)。再者,如第1B圖所示,在凹陷的鰭片結構上形成源/汲極磊晶層60。儘管第1A圖顯示兩個鰭片結構而第1B圖顯示三個鰭片結構,鰭片結構的數量並未限於第1A和1B圖所顯示的那些。
一些實施例中,鰭片結構(通道區)在N型場效電晶體中由Si製成,而在P型場效電晶體中由SiGe製成。SiGe的Ge濃度在一些實施例中在約20原子百分比(atomic%)至60原子百分比的範圍內,在其他實施例中在約30原子百分比至50原子百分比的範圍內。一些實施例中,N型場效電晶體的通道區包含Ge,且Ge含量小於P型場效電晶體的SiGe通道。在其他實施例中,P型場效電晶體和N型場效電晶體的通道區皆由Si或化合物半導體製成。
一些實施例中,第一導電層83包含金屬氮化物,例如WN、TaN、TiN和TiSiN。一些實施例中,使用TiN。第一導電層83的厚度在一些實施例中,在約0.3 nm至約30 nm的範圍內,在其他實施例中在約0.5 nm至約25 nm的範圍內。一些實施例中,第一導電層83為具有像是柱狀晶粒的結晶。一些實施例中,未形成第一導電層83。一些實施例中,形成第一導電層83,然後在退火操作之後以濕式蝕刻製程將其移除。
一些實施例中,第二導電層84包含金屬氮化物,例如WN、TaN、TiN和TiSiN。一些實施例中,使用TaN。第二導電層84的厚度在一些實施例中,在約0.3 nm至約30 nm的範圍內,在其他實施例中在約0.5 nm至約25 nm的範圍內。一些實施例中,第二導電層84係作為阻障層或蝕刻停止層。一些實施例中,第二導電層84比第一導電層83薄。一些實施例中,未形成第二導電層84。
一些實施例中,功函數調整材料層86由導電材料製成,例如單一層的TiN、WN、WCN、Ru、W、TaAlC、TiC、TaAl、TaC、Co、Al、TiAl或TiAlC,或由這些材料的其中兩者或更多組成的多層。對於具有Si通道的N型場效電晶體,使用含鋁層(例如TiAl、TiAlC、TaAl及/或TaAlC)和可選地(optionally)形成在其下方的TaN、TiN、WN、TiC、WCN、MoN及/或Co中的一或多種。對於具有SiGe通道的P型場效電晶體,使用TaN、TiN、WN、TiC、WCN、MoN及/或Co中的一或多種和形成在其上方的TiAl、TiAlC、TaAl和TaAlC中的一或多種。
一些實施例中,膠合層87由TiN、Ti和Co中的一或多種製成。一些實施例中,主體閘極電極層88包含一或多層導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、鎳矽化物、鈷矽化物、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料及/或前述之組合。
如上所述,一些實施例中未形成第一導電層83和第二導電層84。在這種情況下,直接在閘極介電層82上形成一或多個功函數調整材料層。
第2A-3F圖是根據本發明的一實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。第3G圖是根據本發明的一實施例,顯示半導體裝置的製造流程圖。可理解在連續製程中,在第2A-3F圖顯示的階段之前、之中以及之後可提供一或多個額外的操作,且對方法的附加實施例可替換或刪除以下所述的一些操作。操作/製程的順序是能互換的。
如第2A圖所示,在基底10上製造一或多個鰭片結構20。舉例而言,基底10為雜質濃度在約1 x 1015 cm-3 至約1x1018 cm-3 的範圍內的P型矽基底。在其他實施例中,基底10為雜質濃度在約1 x 1015 cm-3 至約1x1018 cm-3 的範圍內的N型矽基底。或者,基底10可包括另一元素半導體,例如鍺;化合物半導體,包含IV-IV族化合物半導體(例如SiC和SiGe)、III-V族化合物半導體(例如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP);或前述之組合。在一實施例中,基底10為矽層或絕緣層上覆矽(silicon-on insulator,SOI)基底。基底10也可使用非晶的(amorphous)基底,例如非晶Si或非晶SiC,或者例如氧化矽的絕緣材料。基底10可包含適當摻雜雜質(例如P型或N型導電類型)的各種區域。
一些實施例中,藉由蝕刻對P型場效電晶體的基底10的一部分進行凹陷,並在凹陷上形成SiGe層。第2A-3F圖顯示N型場效電晶體的情況,但大部分的製程與P型場效電晶體大抵上相同。
可藉由任何合適的方法對鰭片結構20圖案化。舉例而言,可使用一或多個光學微影製程(包含雙重圖案化或多重圖案化)對鰭片結構20進行圖案化。一般而言,雙重圖案化或多重圖案化製程結合光學微影和自對準製程,可形成間距小於使用單一、直接地光學微影製程可獲得的間距的圖案。舉例而言,在一實施例中,在基板上形成犧牲層,並使用光學微影製程以將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁形成間隙物。然後移除犧牲層,並使用剩餘的間隙物將鰭片結構20圖案化。
如第2A圖所示,兩個鰭片結構20在Y方向上延伸且在X方向上彼此相鄰地設置。然而,鰭片結構20的數量不限於兩個。鰭片結構20的數量可為一個、三個、四個或五個,或者更多。此外,可在鰭片結構20的兩側附近設置一或多個虛設(dummy)閘極結構以提高圖案化製程的圖案保真度。鰭片結構20的寬度在一些實施例中在約5 nm至約40 nm的範圍內,在某些實施例中在約7 nm至約15 nm的範圍內。鰭片結構20的高度在一些實施例中在約100 nm至約300 nm的範圍內,在其他實施例中在約50 nm至100 nm的範圍內。鰭片結構20之間的間距在一些實施例中在約5 nm至約80 nm的範圍內,在其他實施例中在約7 nm至15 nm的範圍內。然而,本技術領域中具有通常知識者將理解,整篇敘述中提及的尺寸和數值僅為範例,可以改變以適用於不同規模的積體電路。
在形成鰭片結構20之後,如第2B圖所示,在鰭片結構20上形成隔離絕緣層30。
隔離絕緣層30包含由低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿化學氣相沉積(plasma-CVD)或流動式化學氣相沉積(flowable CVD)形成的一或多層絕緣材料,例如氧化矽、氮氧化矽或氮化矽。在流動式化學氣相沉積中,沉積流動式介電材料而非氧化矽。顧名思義,流動式介電材料可以在沉積期間「流動」以填入高深寬比(aspect ratio)的間隙或空間。通常對含矽的前驅物加上各種化學手段以使沉積的膜流動。一些實施例中,是加入氮氫鍵。流動式介電前驅物的範例,特別是流動式氧化矽前驅物,包含矽酸鹽、矽氧烷、甲基矽倍半氧烷(methyl silsesquioxane,MSQ)、氫矽倍半氧烷(hydrogen silsesquioxane,HSQ)、甲基矽倍半氧烷和氫矽倍半氧烷的組合、全氫矽氮烷(perhydrosilazane,TCPS)、全氫聚矽氮烷(perhydro-polysilazane,PSZ)、正矽酸四乙酯(tetraethyl orthosilicate,TEOS)或矽烷基胺類(silyl-amine),例如三甲矽烷胺(trisilylamine,TSA)。這些流動式氧化矽材料是在多個操作的製程中形成。在沉積流動式的膜之後,將流動式的膜固化然後退火移除不需要的一或多個元素以形成氧化矽。流動式的膜可經硼及/或磷摻雜。一些實施例中,藉由一或多層旋塗式玻璃(spin-on-glass,SOG)、SiO、SiON、SiOCN及/或摻氟矽玻璃(fluoride-doped silicate glass,FSG)以形成隔離絕緣層30。
在鰭片結構20上形成隔離絕緣層30之後,實施平坦化操作以移除隔離絕緣層30的一部分和遮罩層(例如墊氧化層和形成在墊氧化層上的氮化矽遮罩)。平坦化操作可包含化學機械研磨(chemical mechanical polishing,CMP)及/或回蝕刻(etch-back)製程。然後,如第2B圖所示,進一步移除隔離絕緣層30,以暴露出將成為通道區之鰭片結構20的上部。
在一些實施例中,使用濕式蝕刻製程以實施隔離絕緣層30的部分移除,例如藉由將基底浸入氫氟酸(hydrofluoric acid,HF)中。在其他實施例中,使用乾式蝕刻製程以實施隔離絕緣層30的部分移除。舉例而言,可使用以CHF3 或BF3 作為蝕刻氣體的乾式蝕刻製程。
在形成隔離絕緣層30之後,可實施加熱製程(例如退火製程)以提高隔離絕緣層30的品質。在一些實施例中,加熱製程的實施是藉由使用快速熱退火(rapid thermal annealing,RTA)在惰性氣體的環境中(例如N2 、Ar或He的環境),在約900 ºC至約1050 ºC的溫度範圍內進行約1.5秒至約10秒。
然後,如第2C圖所示,在鰭片結構20的一部分上形成虛設閘極結構40。
在隔離絕緣層30和暴露的鰭片結構20上形成介電層和多晶矽層,然後實施圖案化操作以獲得虛設閘極結構,虛設閘極結構包含由多晶矽製成的虛設閘極電極層44和虛設閘極介電層42。一些實施例中,使用包含氮化矽層和氧化層的硬遮罩以實施對多晶矽層的圖案化。虛設閘極介電層42可為由化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸鍍或其他合適之製程形成的氧化矽。一些實施例中,虛設閘極介電層42包含一或多層氧化矽、氮化矽、氮氧化矽或高介電常數的介電質。一些實施例中,虛設閘極介電層的厚度在約1 nm至約5 nm的範圍內。
一些實施例中,虛設閘極電極層44為均勻或非均勻摻雜的摻雜多晶矽。在本實施例中,虛設閘極電極層44的寬度在約30 nm至約60 nm的範圍內。一些實施例中,虛設閘極電極層的厚度在約30 nm至約50 nm的範圍內。此外,可在虛設閘極結構40的兩側附近設置一或多個虛設閘極結構以提高圖案化製程的圖案保真度。虛設閘極結構40的寬度在一些實施例中在約5 nm至約40 nm的範圍內,在某些實施例中在約7 nm至約15 nm的範圍內。
此外,如第2C和2D圖所示,在虛設閘極結構40相對的側面上形成側壁間隙物46。第2D圖為Y-Z平面的剖面。在虛設閘極結構40上形成側壁間隙物46的絕緣材料層。以共形(conformal)的方式沉積絕緣材料層,使其在垂直表面(例如虛設閘極結構40的側壁)上、虛設閘極結構40的水平表面上和虛設閘極結構40的頂部上分別具有大抵上相等的厚度。一些實施例中,絕緣材料層的厚度在約5 nm至約20 nm的範圍內。絕緣材料層包含SiN、SiON和SiCN中的一或多種,或任何合適的介電材料。可由原子層沉積或化學氣相沉積,或者任何其他合適的方法以形成絕緣材料層。接著,藉由異向性蝕刻移除絕緣材料層的底部,藉此形成閘極側壁間隙物46。一些實施例中,側壁間隙物46包含兩層至四層的不同絕緣材料。一些實施例中,在側壁間隙物46與隔離絕緣層30之間設置虛設閘極介電層42的一部分。在其他實施例中,在側壁間隙物46與隔離絕緣層30之間並未設置虛設閘極介電層42的任何一部分。
一些實施例中,隨後向下蝕刻(凹陷)鰭片結構20未被虛設閘極結構40覆蓋的源/汲極區以形成源/汲極凹陷。在形成源/汲極凹陷之後,在源/汲極凹陷內形成一或多個源/汲極磊晶層60(見第1B圖)。一些實施例中,形成第一磊晶層、第二磊晶層和第三磊晶層。在其他實施例中,未形成凹陷,且在鰭片結構上形成磊晶層。
一些實施例中,第一磊晶層在N型鰭式場效電晶體中包含SiP或SiCP,而在P型鰭式場效電晶體中包含摻雜B的SiGe或Ge。一些實施例中,第一磊晶層中的P(磷)含量在約1 x 1018 原子/cm3 至約1x1020 原子/cm3 的範圍內。第一磊晶層的厚度在一些實施例中在約5 nm至20 nm的範圍內,在其他實施例中在約5 nm至15 nm的範圍內。當第一磊晶層為SiGe時,Ge含量在一些實施例中為約25原子百分比至約32原子百分比,在其他實施例中為約28原子百分比至約30原子百分比。一些實施例中,第二磊晶層在N型鰭式場效電晶體中包含SiP或SiCP,而在P型鰭式場效電晶體中包含摻雜B的SiGe。一些實施例中,第二磊晶層中的磷含量高於第一磊晶層的磷含量,且第二磊晶層中的磷含量在約1 x 1020 原子/cm3 至約2x1020 原子/cm3 的範圍內。第二磊晶層的厚度在此實施例中在約20 nm至40 nm的範圍內,在其他實施例中在約25 nm至約35 nm的範圍內。當第二磊晶層為SiGe時,Ge含量在一些實施例中為約35原子百分比至約55原子百分比,在其他實施例中為約41原子百分比至約46原子百分比。一些實施例中,第三磊晶層包含SiP磊晶層。第三磊晶層為用於在源/汲極中形成矽化物的犧牲層。一些實施例中,第三磊晶層中的磷含量小於第二磊晶層的磷含量,且第三磊晶層中的磷含量在約1 x 1018 原子/cm3 至約1x1021 原子/cm3 的範圍內。當第三磊晶層為SiGe時,Ge含量在一些實施例中小於約20原子百分比,在其他實施例中為約1原子百分比至約18原子百分比。
在至少一實施例中,藉由低壓化學氣相沉積製程、分子束磊晶、原子層沉積或任何其他合適的方法以磊晶成長磊晶層60。低壓化學氣相沉積製程的實施係在約400至850 ºC的溫度以及約1 Torr至200 Torr的壓力下,使用像是SiH4 、Si2 H6 或Si3 H8 的矽源氣體;像是GeH4 或Ge2 H6 的鍺源氣體;像是CH4 或SiH3 CH3 的碳源氣體以及像是PH3 的磷源氣體。
然後,如第2C和2D圖所示,在S/D磊晶層60和虛設閘極結構40上形成層間介電(interlayer dielectric,ILD)層50。層間介電層50的材料包括含有Si、O、C及/或H的化合物,像是氧化矽、SiCOH和SiOC。層間介電層50可使用像是高分子的有機材料。
在形成層間介電層50之後,如第2C和2D所示,實施平坦化操作(例如化學機械研磨)以暴露出虛設閘極電極層44的頂部。一些實施例中,在形成層間介電層50之前形成接觸蝕刻停止層,例如氮化矽層或氮氧化矽層。
然後,如第2E和2F圖所示,移除虛設閘極電極層44和虛設閘極介電層42,藉此形成閘極空間47。第2F圖為Y-Z平面的剖面。可使用電漿乾式蝕刻及/或濕式蝕刻以移除虛設閘極結構。當虛設閘極電極層44為多晶矽且層間介電層40為氧化矽時,可以使用像是TMAH溶液的濕式蝕刻劑以選擇性地移除虛設閘極電極層44。之後使用電漿乾式蝕刻及/或濕式蝕刻以移除虛設閘極介電層42。
第3A圖顯示在閘極空間47內暴露出鰭片結構20之通道區後的結構。在第3A-3F圖中,省略側壁間隙物46和層間介電層50。
如第3B圖所示,在第3G圖的S301中,在鰭片結構20上形成界面層81,且在第3G圖的S303中,在界面層81上形成閘極介電層82。一些實施例中,使用化學氧化以形成界面層。一些實施例中,界面層81包含氧化矽、氮化矽和氧化矽鍺其中之一。一些實施例中,當通道由Si製成時,界面層為氧化矽層81N,而當通道由SiGe製成時,界面層為氧化矽鍺層81P(見第4A圖)。一些實施例中,界面層81的厚度在約0.6 nm至約2 nm的範圍內。一些實施例中,閘極介電層82包含一或多層介電材料,例如氧化矽、氮化矽或高介電常數介電材料,其他合適的介電材料及/或前述之組合。高介電常數介電材料的範例包含HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2 -Al2 O3 )合金、La2 O3 、HfO2 -La2 O3 、Y2 O3 、Dy2 O3 、Sc2 O3 、MgO或其他合適之高介電常數介電材料及/或前述之組合。可藉由化學氣相沉積、原子層沉積或任何合適的方法以形成閘極介電層82。在一實施例中,為了確保在每一個通道層周圍形成具有均勻厚度的閘極介電層,使用像是原子層沉積之高度共形沉積製程來形成閘極介電層82。在一實施例中,閘極介電層82的厚度在約1 nm至約30 nm的範圍內。一些實施例中,在閘極介電層82上形成下述之一或多個高介電常數偶極層。一些實施例中,在形成偶極層之後實施退火操作。再者,一些實施例中,實施清洗操作以移除在偶極層的圖案化操作中產生的偶極層的殘留物。
然後,如第3C圖所示,在第3G圖的S305中,形成第一導電層83。一些實施例中,可藉由化學氣相沉積、原子層沉積或任何合適的方法以形成第一導電層83。一些實施例中,第一導電層83由TiN或TiSiN製成。一些實施例中,未形成第一導電層83。
一些實施例中,在第3G圖的S307中,在形成第一導電層83之後,在約600 ºC至約950 ºC的溫度下實施約1奈秒(nsec)(尖波退火(spike annealing),例如雷射退火及/或恆溫(isothermal)退火)至約360秒的第一退火操作。第一退火操作能幫助緻密化閘極介電層82,並將氮導入閘極介電層82中。氮有助於鈍化氧空缺,降低漏電流及提高裝置可靠度。第一退火也能幫助形成穩定的互相混合層,互相混合層對於隨後在介電層上之金屬閘極膜的沉積能提供穩定的平台。當溫度太高時,第一退火可能在高介電常數閘極介電層82內導致結晶和晶界的形成,這會影響界面層81的再結晶和漏電流效能,因此降低裝置速度。反之,當溫度太低時,第一退火可能在高介電常數閘極介電層內無法提供足夠的緻密化及/或氮化,並導致在隨後的金屬閘極沉積製程中裝置的不穩定/變化。一些實施例中,當未形成第一導電層83時,在此階段沒有實施退火操作。一些實施例中,形成第一導電層83,然後實施退火操作;之後使用濕式蝕刻製程以移除第一導電層83。
一些實施例中,將包含界面層81、閘極介電層82和第一導電層83之堆疊結構在約室溫(25 ºC)至約500 ºC的溫度下浸入含氟氣體(例如F2 及/或NF3 )中約4秒至約15分鐘。氟的加入有助於改善功函數的調整、降低P型金屬―氧化物―半導體(PMOS)裝置的Vt、鈍化閘極介電層82內的氧空缺、降低漏電流以及降低閘極介電層內的懸鍵(dangling bond)。之後,一些實施例中,在第一導電層83上形成蓋層,蓋層可例如由結晶的、多晶的或非晶的矽製成,並在約550 ºC至約1300 ºC的溫度下實施約1奈秒(尖波退火,例如雷射退火)至約360秒的第二退火操作。一些實施例中,退火溫度為900 ºC至1100 ºC。結果在一些實施例中將氟擴散進入蓋層、第一導電層83和閘極介電層82內。在第二退火操作之後,移除蓋層。使用第二退火與Si蓋層也助於改善閘極介電層82的品質。在相對低的溫度下形成閘極介電層(例如高介電常數介電層)以避免結晶和晶界的形成,而金屬閘極膜係在相對較高的溫度下進行沉積。因此,期望在沉積金屬閘極之前讓高介電常數介電層更熱穩定。在前述溫度範圍內的第二退火與蓋層能使高介電常數介電層更緻密,並讓高介電常數介電層熱穩定,在金屬閘極的沉積期間沒有任何熱氧化物反轉。第二退火還有助於將氟自外層(例如蓋層)熱擴散進入第一導電層83、閘極介電層82和界面層81內。蓋層係用於保護閘極介電層82和第一導電層83,使閘極介電層82和第一導電層83不要受到不希望的氧化傷害,並且將這些膜與退火環境隔離。在閘極介電層82熱穩定之後,在最終的裝置結構中已不再需要蓋層,因此將蓋層移除。
在其他實施例中,沒有實施伴隨Si蓋層的形成與第二退火操作的氟浸入操作。
隨後,在第3G圖的S309中,形成作為第一阻障層的第二導電層84,然後在第3G圖的S311中,形成一或多個功函數調整材料層86。在第3G圖的S313中,在功函數調整材料層86上形成包含膠合層87和主體金屬層(閘極電極層)88的金屬閘極層。
一些實施例中,第二導電層84係由TaN製成,且作為蝕刻停止阻障層使用。在將隨後形成的P型和N型功函數調整材料層圖案化以形成多個Vt裝置的期間,使用第二導電層84作為濕式蝕刻停止層。一些實施例中,未形成第二導電層84。
藉由原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍或其他合適的製程以形成功函數調整材料(WFM)層86。此外,使用不同金屬層的N型通道場效電晶體和P型通道場效電晶體的功函數調整材料層可分開形成。藉由化學氣相沉積、原子層沉積、電鍍或其他合適的方法可形成閘極電極層88(主體金屬層)和膠合層87。當未形成第一和第二導電層時,在閘極介電層82上直接形成功函數調整材料層86。一些實施例中,在退火操作S307之後形成並移除第一導電層83,隨後未形成第二導電層,並在閘極介電層82上直接形成功函數調整材料層86。
第4A圖是根據本發明的一實施例,顯示具有不同臨界電壓之場效電晶體的閘極結構的剖面示意圖。第4B和4C圖是根據本發明的一些實施例,顯示具有不同臨界電壓之多個場效電晶體的各個功函數調整材料層。
一些實施例,半導體裝置包含具有功函數調整材料層結構WF1的第一N型場效電晶體N1、具有功函數調整材料層結構WF2的第二N型場效電晶體N2、具有功函數調整材料層結構WF3的第三N型場效電晶體N3、具有功函數調整材料層結構WF3的第一P型場效電晶體P1、具有功函數調整材料層結構WF2的第二P型場效電晶體P2和具有功函數調整材料層結構WF1的第三P型場效電晶體P3。第一N型場效電晶體N1(超低電壓場效電晶體(ultra-low voltage FET))之臨界電壓的絕對值小於第二N型場效電晶體N2(低電壓場效電晶體(low-voltage FET))之臨界電壓的絕對值,且第二N型場效電晶體N2之臨界電壓的絕對值小於第三N型場效電晶體N3(標準電壓場效電晶體(standard voltage FET))之臨界電壓的絕對值。相似地,第一P型場效電晶體P1(超低電壓場效電晶體(ultra-low voltage FET))之臨界電壓的絕對值小於第二P型場效電晶體P2(低電壓場效電晶體(low-voltage FET))之臨界電壓的絕對值,且第二P型場效電晶體P2之臨界電壓的絕對值小於第三P型場效電晶體P3(標準電壓場效電晶體(standard voltage FET))之臨界電壓的絕對值。第一N型場效電晶體N1之臨界電壓的絕對值係設計為相同於第一P型場效電晶體P1之臨界電壓的絕對值,第二N型場效電晶體N2之臨界電壓的絕對值係設計為相同於第二P型場效電晶體P2之臨界電壓的絕對值,且第三N型場效電晶體N3之臨界電壓的絕對值係設計為相同於第三P型場效電晶體P3之臨界電壓的絕對值。
一些實施例中,如第4A圖所示,功函數調整材料層結構WF1包含第一功函數調整材料層100,功函數調整材料層結構WF2包含較靠近閘極介電層82的第二功函數調整材料層89-2和第一功函數調整材料層100,且功函數調整材料層結構WF3包含較靠近閘極介電層82的第三功函數調整材料層89-1、第二功函數調整材料層89-2和第一功函數調整材料層100。
在第4B圖中,半導體裝置包含三種不同等級的臨界電壓。在其他實施例中,如第4C圖所示,對N型場效電晶體和P型場效電晶體分別使用多於三種(例如八種)不同的臨界電壓。在第4C圖中,調整功函數調整材料層結構和閘極介電層82的組態HK1、HK2和HK3(例如材料、厚度等)以得到期望的臨界電壓。HK1、HK2和HK3包括不同的材料,例如HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2 -Al2 O3 )合金、La2 O3 、HfO2 -La2 O3 、Y2 O3 、Dy2 O3 、Sc2 O3 、MgO或其他合適之高介電常數介電材料及/或前述之組合。一些實施例中,HK1、HK2和HK3包括高介電常數介電質,具有不同濃度的稀土金屬及/或III族摻質(例如La、Al、Mg、Sc、Dy、Y、Ti、Lu、Sr等)。一些實施例中,HK3包括HfOx ,HK2包括HfLaOx (或HfYOx 、HfLuOx 、HfSrOx 、HfScOx 、HfDyOx ),且HK1包括HfLaOx (或HfYOx 、HfLuOx 、HfSrOx 、HfScOx 、HfDyOx ),使得HK1中的La(或Y、Lu、Sr、Sc、Dy)含量小於HK2中的含量。一些實施例中,HK1包括HfOx ,HK2包括HfAlOx (或HfZrOx 、HfTiOx ),且HK3包括HfAlOx (或HfZrOx 、HfTiOx ),使得HK3中的Al(或Zr、Ti)含量大於HK2中的含量。一些實施例中,HK2包括HfOx ,HK1包括HfLaOx (或HfYOx 、HfLuOx 、HfSrOx 、HfScOx 、HfDyOx ),且HK3包括HfAlOx (或HfZrOx 、HfTiOx )。一些實施例中,HK1、HK2和HK3的厚度在約0.6 nm至約30 nm的範圍內。一些實施例中,使用多於三個不同之高介電常數的介電膜。
在互補式金屬氧化物半導體(CMOS)裝置中,N型場效電晶體和P型場效電晶體共用(分享)一個閘極電極,因此選擇具有大抵上相同臨界電壓的N型場效電晶體和P型場效電晶體。舉例而言,具有超低電壓場效電晶體的互補式金屬氧化物半導體(CMOS)裝置包含第一N型場效電晶體N1和第一P型場效電晶體P1。第5A圖顯示這種互補式金屬氧化物半導體(CMOS)裝置的平面圖(佈局圖)。
如第5A圖所示,在一或多個鰭片結構20(通道區)上設置閘極電極80(有時,閘極堆疊80又稱為閘極電極80)。一些實施例中,每一個N型場效電晶體NFET和P型場效電晶體PFET包含兩個鰭片結構。在其他實施例中,單一場效電晶體的鰭片結構數量為一或三或更多(可多至例如十個)。第5B圖顯示對應第5A圖之區域A1的剖面示意圖,而第5C圖顯示第5B圖之區域B1的放大圖。在第5B和5C圖中,省略膠合層87和主體金屬層88(以虛線顯示)。
如上所述,N型場效電晶體NFET(例如N1)具有(僅)含第一功函數調整材料層100的功函數調整材料層結構WF1,而P型場效電晶體PFET(例如P1)具有含第二和第三功函數調整材料層(89-2和89-1,在第5B圖中統稱為89)以及第一功函數調整材料層100的功函數調整材料層結構WF3。因此,在N型場效電晶體NFET和P型場效電晶體PFET的邊界MB形成不連續的第二和第三功函數調整材料層89。
相似地,在第6A-6C圖中,具有臨界電壓Vt3的互補式金屬氧化物半導體(CMOS)裝置包含具有功函數調整材料層結構WF2的N型場效電晶體和具有功函數調整材料層結構WF3的P型場效電晶體(見第4C圖)。第6B圖顯示對應第6A圖之區域A2的剖面示意圖,而第6C圖顯示第6B圖之區域B2的放大圖。在第6B和6C圖中,省略膠合層87和主體金屬層88。
如第6A圖所示,在一或多個鰭片結構20(通道區)上設置閘極電極80。如上所述,N型場效電晶體NFET具有含第一功函數調整材料層100和第二功函數調整材料層89-2的功函數調整材料層結構WF2,而P型場效電晶體PFET具有含第二和第三功函數調整材料層以及第一功函數調整材料層100的功函數調整材料層結構WF3。因此,在N型場效電晶體NFET和P型場效電晶體PFET的邊界MB形成不連續的第三功函數調整材料層89-1。
一些實施例中,閘極介電層82在鰭片結構(通道區)之頂部上的厚度與在鰭片結構之側面上的厚度彼此大抵上相等(例如差距小於約0.2 nm,或厚度的變化為約0.5 %至約5 %)。一些實施例中,閘極介電層82在鰭片結構(通道區)之頂部上的組成與在鰭片結構之側面上的組成彼此大抵上相等(例如O/Hf比值的變化為約0.5 %至約5 %)。一些實施例中,導電層(例如功函數調整材料層)在鰭片結構之頂部上的厚度與在鰭片結構之側面上的厚度彼此大抵上相等(例如差距小於約0.2 nm,或厚度的變化為約0.5 %至約5 %)。一些實施例中,導電層(例如功函數調整材料層)在鰭片結構面向金屬邊界之一側上的厚度與在鰭片結構另一側上的厚度彼此大抵上相等(例如差距小於約0.2 nm,或厚度的變化為約0.5 %至約5 %)。一些實施例中,閘極介電層82在鰭片結構上的厚度與在金屬邊界之側壁上的厚度彼此大抵上相等(例如差距小於約0.3 nm,或厚度的變化為約0.5 %至約7.5 %)。一些實施例中,導電層(例如功函數調整材料層)在鰭片結構上的厚度與在金屬邊界之側壁上的厚度彼此大抵上相等(例如差距小於約0.3 nm,或厚度的變化為約0.5 %至約7.5 %)。一些實施例中,導電層(例如功函數調整材料層)的表面粗糙度Ra大於0 nm且小於0.8 nm,而閘極介電層的表面粗糙度大於0 nm且小於約0.7 nm。
在形成不同Vt裝置(和不同導電類型裝置)的閘極結構時,實施各種圖案化操作。在微影操作中,底部抗反射層(BARC)和光阻層一起使用。
然而,由有機材料製成的底部抗反射層對下層(例如偶極高介電常數介電質或功函數調整材料層)可能具有低黏著強度。因此,在金屬邊界,用於蝕刻操作的濕式化學品可滲入底部抗反射層與下層之間的界面,並導致下層、鰭片受損。此外,用以圖案化與移除底部抗反射層的電漿製程也導致下層和鰭片結構受損。再者,在清洗製程期間使用的濕式化學品或大氣中的水氣也導致高介電常數偶極層和功函數調整材料層受損。在本發明中,如下所述,在底部抗反射層下採用黏性增強層。
第7圖顯示製造流程圖,且第8A-8F圖是根據本發明的一些實施例,顯示半導體裝置之各個階段的剖面示意圖。可理解在連續製程中,在第7圖和第8A-8F圖顯示的階段之前、之中以及之後可提供一或多個額外的操作,且對方法的附加實施例可替換或刪除以下所述的一些操作。操作/製程的順序是能互換的。對第1-8B圖之實施例所述的材料、組態、尺寸、製程及/或操作可用於以下的實施例中,且可省略其詳細描述。儘管第8A-8F圖顯示對於第7和8A圖解釋的功函數調整材料層100,在第7和8B圖中顯示的任何結構皆可用作功函數調整材料層100。
在第7圖的S701中,分別暴露出第一N型場效電晶體N1、第二N型場效電晶體N2、第三N型場效電晶體N3、第一P型場效電晶體P1、第二P型場效電晶體P2和第三P型場效電晶體P3之鰭片結構的通道區。一些實施例中,N型場效電晶體的通道區由Si製成,而P型場效電晶體的通道區由SiGe製成。
在第7圖的S702中,使用化學氧化方法在每一個鰭片結構20之通道區上形成界面層81N和81P。一些實施例中,當通道由Si製成時,界面層為氧化矽層81N,而當通道由SiGe製成時,界面層為氧化矽鍺層81P。在第7圖的S703中,在界面層81N/81P上形成閘極介電層(例如高介電常數閘極介電層)82。一些實施例中,在不同的場效電晶體裝置上形成不同的閘極介電層。一些實施例中,在第7圖的S704中,在閘極介電層82上形成蓋層83,接著在S705進行退火操作,以及在第7圖的S706中,在蓋層83上形成阻障層84。在其他實施例中,未形成蓋層和阻障層。在其他實施例中,形成蓋層,實施退火,然後移除蓋層,且未形成阻障層。
在第7圖的S707中,如第8A圖所示,在閘極介電層82上形成第三功函數調整材料層89-1。第三功函數調整材料層89-1包含WN、WCN、W、Ru、TiN或TiSiN,且例如藉由化學氣相沉積、原子層沉積、物理氣相沉積或任何其他合適之形成膜的方法以形成。一些實施例中,第三功函數調整材料層89-1的厚度在一些實施例中在約0.5 nm至約20 nm的範圍內,而在其他實施例中在約1 nm至約10 nm的範圍內。
在第7圖的S708中,實施第一圖案化操作,以自第一N型場效電晶體N1、第二N型場效電晶體N2、第二P型場效電晶體P2和第三P型場效電晶體P3的區域移除第三功函數調整材料層89-1。一些實施例中,如第8A圖所示,在第三功函數調整材料層89-1上形成有機材料製成的底部抗反射塗(bottom antireflective coating,BARC)層200,且在底部抗反射塗層200上形成光阻層205。藉由使用一或多個微影操作,將光阻層205圖案化以暴露出底部抗反射塗層200在第一和第二N型場效電晶體以及第二和第三P型場效電晶體的區域。然後,如第8A圖所示,藉由一或多個電漿蝕刻操作移除暴露出的底部抗反射塗層200,以暴露出第三功函數調整材料層89-1在第一和第二N型場效電晶體以及第二和第三P型場效電晶體的區域。電漿蝕刻操作使用包含N2 和H2 的氣體、包含O2 /Cl2 的氣體及/或O2 氣體。一些實施例中,未使用底部抗反射塗層,且在第三功函數調整材料層89-1上形成由有機材料製成的光阻層。
隨後,如第8B圖所示,藉由合適的蝕刻操作移除在第一和第二N型場效電晶體以及第二和第三P型場效電晶體中的第三功函數調整材料層89-1。一些實施例中,蝕刻操作包含濕式蝕刻操作。蝕刻溶液(蝕刻劑)包含HCl和H2 O2 的水溶液、NH4 OH和H2 O2 之組合的水溶液、HCL、NH4 OH和H2 O2 之組合的水溶液、HF、NH4 OH和H2 O2 的水溶液及/或H3 PO4 和H2 O2 的水溶液。
在第7圖的S709中,如第8C圖所示,在用於第一和第二N型場效電晶體以及第二和第三P型場效電晶體的區域內的閘極介電層82上,以及在用於第三N型場效電晶體和第一P型場效電晶體的區域內的第三功函數調整材料層89-1上,形成第二功函數調整材料層89-2。第二功函數調整材料層89-2包含WN、WCN、W、Ru、TiN或TiSiN,且例如藉由化學氣相沉積、原子層沉積、物理氣相沉積或任何其他合適之形成膜的方法以形成。一些實施例中,第二功函數調整材料層89-2的厚度在約0.5 nm至約20 nm的範圍內,而在其他實施例中在約1 nm至約10 nm的範圍內。一些實施例中,第二和第三功函數調整材料層係由相同材料製成。
在第7圖的S710中,實施第二圖案化操作以自第一N型場效電晶體N1和第三P型場效電晶體P3的區域移除第二功函數調整材料層89-2。第二圖案化操作大抵上相同於或相似於第一圖案化操作。第8D圖顯示自第一N型場效電晶體N1和第三P型場效電晶體P3的區域移除第二功函數調整材料層89-2之後的結構。
在第7圖的S711中,如第8E圖所示,在用於第一N型場效電晶體和第三P型場效電晶體的區域內的閘極介電層82上,以及在用於第二和第三N型場效電晶體以及第一和第二P型場效電晶體的區域內的第二功函數調整材料層89-2上,形成第一功函數調整材料層100。一些實施例中,例如藉由原子層沉積以形成第一功函數調整材料層100。一些實施例中,第一功函數調整材料層100的厚度在約0.6 nm至約40 nm的範圍內,且在其他實施例中在約1 nm至約20 nm的範圍內。一些實施例中,第一功函數調整材料層100的厚度大於第二和第三功函數調整材料層各自的厚度。
在第7圖的S712中,在第一功函數調整材料層100上形成蓋層110。一些實施例中,蓋層110包含一或多個金屬氮化物層,例如TiN、TaN、TaTiN、WN、TiSiN、WCN及MoN。在其他實施例中,未形成蓋層。
如第8F圖所示,在第7圖的S713中,形成膠合層87,然後在第7圖的S714中形成主體閘極金屬層88(有時,閘極電極層88又稱為主體閘極金屬層88)。一些實施例中,膠合層87由TiN、Ti及/或Co製成。一些實施例中,主體金屬層88由W、Al、Co或任何其他合適的金屬材料製成。
如第8A-8F圖所示,使用底部抗反射塗(BARC)層200對下層(例如功函數調整材料層)進行圖案化。一些實施例中,底部抗反射塗層200由有機材料製成,且對用作第二和第三功函數調整材料層89-1和89-2的金屬氮化物層(例如TiN、TaN、TaTiN、WN、TiSiN、WCN和MoN或Ru)具有低黏著強度。此外,底部抗反射塗層200對使用TiAl、TiAlC、TaAl和TaAlC的第一功函數調整材料層100也可具有低黏著強度。根據本發明的一些實施例,在底部抗反射塗層200下使用黏性增強層。
第9A、9B、9C、9D、9E、9F、9G和9H圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。可理解在連續製程中,在第9A-9H圖顯示的階段之前、之中以及之後可提供一或多個額外的操作,且對方法的附加實施例可替換或刪除以下所述的一些操作。操作/製程的順序是能互換的。對第1-8F圖之實施例所述的材料、組態、尺寸、製程及/或操作可用於以下的實施例中,且可省略其詳細描述。
在第9A-9H圖中,連續地提供橫跨金屬邊界MB的區域A和區域B,並藉由隨後的製程操作在N型區域和P型區域上連續地形成共用閘極電極。一些實施例中,區域A是用於一或多個N型場效電晶體,而區域B是用於一或多個P型場效電晶體。一些實施例中,區域A是用於一或多個P型場效電晶體,而區域B是用於一或多個N型場效電晶體。
如第9A圖所示,在閘極介電層82上形成功函數調整材料層89之後,在區域A和區域B內皆形成黏性增強層500。一些實施例中,黏性增強層500與功函數調整材料層89相比對底部抗反射塗層具有較高的黏著強度。一些實施例中,黏性增強層500為富氮層,與功函數調整材料層89相比具有較高的氮濃度。一些實施例中,功函數調整材料層89無氮(不含氮)或氮含量小於約50原子百分比。一些實施例中,黏性增強層500的氮含量在50原子百分比至80原子百分比的範圍內,而在其他實施例中,黏性增強層500的氮含量在55原子百分比至75原子百分比的範圍內。一些實施例中,黏性增強層500包含TiNx (其中x約為1.1至1.5)、TiSiN、氮化矽(SiN)、AlN、氧化鋁(AlOx 或Al2 O3 )、氧化鈷(CoOx )或氧化鈦(TiOx 或TiO2 )中的一或多種。一些實施例中,TiNx 層包含約22原子百分比至約35原子百分比的Ti含量,以及約24原子百分比至約51原子百分比的N含量(剩餘的部分為C及/或O)。一些實施例中,黏性增強層500的厚度在約0.2 nm至約2 nm的範圍內,而在其他實施例中,黏性增強層500的厚度在約0.3 nm至約1.5 nm的範圍內。若厚度大於這個範圍,黏性增強層500可能影響功函數,若厚度小於這個範圍,黏性的增強效果可能不足。一些實施例中,黏性增強層500包含Al2 O3 和AlN層的組合。一些實施例中,黏性增強層500包含Al2 O3 和TiN層的組合。一些實施例中,黏性增強層500包含HMDS、以Ti為主或以Si為基底的有機化合物(例如二苯基矽烷二醇為基底的化合物(diphenyl silanediol based compound))。一些實施例中,當使用以Si為基底的有機材料作為黏性增強層500時,後續將黏性增強層500移除,但在功函數調整材料層上留下大於約0.5原子百分比至小於約11原子百分比的矽含量,以及留下大於約0.1原子百分比至小於約1.5原子百分比的碳含量。
一些實施例中,藉由化學氣相沉積、原子層沉積或任何其他合適之形成膜的方法以形成黏性增強層500。在其他實施例中,藉由將下層(功函數調整材料層89)氮化以形成黏性增強層500。一些實施例中,使用N2 及/或NH3 作為氮源氣體。一些實施例中,使用電漿。當黏性增強層500係藉由將下層氮化以形成時,黏性增強層500與下層(功函數調整材料層89)相比具有較高的氮濃度。
然後,如第9B圖所示,在區域A和區域B內的黏性增強層上形成底部抗反射塗層200和光阻層205。一些實施例中,底部抗反射塗層200的厚度在約50 nm至約2000 nm的範圍內,而在其他實施例中,底部抗反射塗層200的厚度在約100 nm至約200 nm的範圍內。
接著,如第9C圖所示,使用一或多個微影操作,將光阻層205圖案化並移除區域A的光阻層205。再者,如第9D圖所示,以圖案化的光阻層205作為蝕刻遮罩,使用一或多個蝕刻操作(例如乾式蝕刻)將底部抗反射塗層200圖案化並移除區域A的底部抗反射塗層200。
然後,如第9E圖所示,移除區域A的黏性增強層500和功函數調整材料層89。一些實施例中,使用濕式蝕刻以移除區域A的黏性增強層500和功函數調整材料層89。由於黏性增強層500提高功函數調整材料層89與底部抗反射塗層200之間的黏著強度,因此避免區域B之底部抗反射塗層的剝離,避免濕式化學品橫跨區域A與區域B的金屬邊界經底部抗反射塗層下的界面滲入區域B,並且也抑制區域B之底部抗反射塗層200下的蝕刻。隨後,移除區域B的光阻層205和底部抗反射塗層200。一些實施例中,實施電漿灰化操作以移除區域B的底部抗反射塗層200,並使用水、HF、HCl及/或NH4 OH的混合物實施濕式灰化清洗以移除底部抗反射塗層的殘留物。由於黏性增強層500覆蓋區域B的功函數調整材料層89,因此可避免自鰭片結構的頂部流失功函數調整材料層。由於良好的接合,底部抗反射塗層200在複雜的結構上能具有共形的沉積,因此閘極介電層和鰭片結構在電漿蝕刻和灰化製程期間受到有效地保護。應注意在沒有黏性增強層500的情況下,在區域B中底部抗反射塗層200與功函數調整材料層89之間的黏性不足,(在移除區域A之功函數調整材料層89期間所使用的)濕式化學品可穿過金屬邊界MB經由底部抗反射塗層與功函數調整材料層接合不良的界面間隙進入區域B,因此對區域B的功函數調整材料層89造成不必要的損害或蝕刻。
隨後,如第9G圖所示,在第9F圖的結構上形成功函數調整材料層100。一些實施例中,形成與功函數調整材料層89(89-1)具有相同或相似之組成的另一功函數調整材料層89(89-2)以替代功函數調整材料層100。
在其他實施例中,如第9H圖所示,移除黏性增強層500,然後形成功函數調整材料層100。特別是當黏性增強層500由絕緣材料(SiN、AlOx 、CoOx 或TiOx )製成時,移除黏性增強層500。
如第8A和8B圖所示,將黏性增強層500用於將功函數調整材料層89-1圖案化,並且如8C和8D圖所示,也將黏性增強層500用於將功函數調整材料層89-2圖案化。此外,當功函數調整材料層100經圖案化後,在功函數調整材料層100上使用黏性增強層。
第10A、10B、10C、10D、10E、10F、10G、10H和10I圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。可理解在連續製程中,在第10A-10H圖顯示的階段之前、之中以及之後可提供一或多個額外的操作,且對方法的附加實施例可替換或刪除以下所述的一些操作。操作/製程的順序是能互換的。對第1-9H圖之實施例所述的材料、組態、尺寸、製程及/或操作可用於以下的實施例中,且可省略其詳細描述。
如第10A圖所示,半導體裝置例如包含具有不同臨界電壓的場效電晶體的三個區域R1、R2和R3。一些實施例中,同一個閘極電極控制兩個或三個場效電晶體(例如閘極電極延伸至兩個或三個區域上),因此連續地形成兩個或三個區域。在其他實施例中,分開地形成區域。
一些實施例中,黏性增強層用於將高介電常數閘極介電層圖案化。一些實施例中,閘極介電層包含多層,具有基底高介電常數介電層82和設置在基底高介電常數介電層82上的一或多個偶極高介電常數介電層182、282。一些實施例中,偶極高介電常數介電層包含La2 O3 、Lu2 O3 、Sc2 O3 、SrO、ZrO2 、Y2 O3 、DyOx 、EuOx 和Yb2 O3 其中之一。一些實施例中,半導體裝置包含具有一個高介電常數介電層的場效電晶體、具有一個高介電常數介電層和高介電常數介電層上之一個偶極高介電常數介電層的場效電晶體、以及具有一個高介電常數介電層和高介電常數介電層上之兩個偶極高介電常數介電層的場效電晶體。這些場效電晶體藉此提供不同的臨界電壓。一些實施例中,在退火操作之後,實施可選的濕式蝕刻以部分或完全地移除偶極高介電常數介電層182和282。一些實施例中,在退火操作之後,未實施濕式蝕刻操作,且將偶極高介電常數介電層182和282保留在最終之場效電晶體裝置的閘極結構中。
一些實施例中,如第10A圖所示,在高介電常數介電層82上形成第一高介電常數偶極層182(有時,偶極高介電常數介電層182又稱為第一高介電常數偶極層182),且在第一高介電常數偶極層182上形成黏性增強層510。一些實施例中,黏性增強層與第一高介電常數偶極層182相比對底部抗反射塗層具有較高的黏著強度。一些實施例中,黏性增強層510與第一高介電常數偶極層182相比具有較高的氮濃度。
一些實施例中,黏性增強層510包含TiNx (其中x約為1.1至1.5)、TiSiN、SiN、AlN和Al2 O3 中的一或多種。一些實施例中,TiNx 層包含約22原子百分比至約35原子百分比的Ti含量,以及約24原子百分比至約51原子百分比的N含量(剩餘的部分為C及/或O)。一些實施例中,黏性增強層510的厚度在約0.2 nm至約2 nm的範圍內,而在其他實施例中,黏性增強層510的厚度在約0.3 nm至約1.5 nm的範圍內。一些實施例中,黏性增強層510包含Al2 O3 和AlN層的組合。一些實施例中,黏性增強層510包含Al2 O3 和TiN層的組合。
然後,如第10B圖所示,在黏性增強層上形成底部抗反射塗層202和光阻層207。一些實施例中,底部抗反射塗層202的厚度在約50 nm至約2000 nm的範圍內,而在其他實施例中,底部抗反射塗層202的厚度在約100 nm至約200 nm的範圍內。
接著,如第10C圖所示,使用一或多個微影操作將光阻層207圖案化。再者,如第10D圖所示,以圖案化的光阻層207作為蝕刻遮罩,使用一或多個蝕刻操作(例如乾式蝕刻)將底部抗反射塗層202圖案化。
然後,如第10E圖所示,蝕刻區域R1和R2的黏性增強層510和第一偶極高介電常數介電層182。一些實施例中,使用濕式蝕刻以移除區域R1和R2的黏性增強層510和第一偶極高介電常數介電層182。由於黏性增強層510提高第一偶極高介電常數介電層182與底部抗反射塗層202之間的黏著強度,因此避免底部抗反射塗層在區域R3與R2或區域R2與R1之間的金屬邊界的剝離,並且也抑制區域R3之底部抗反射塗層202下的蝕刻。應注意在沒有黏性增強層510的情況下,在區域R3中底部抗反射塗層202與第一偶極高介電常數介電層182之間的黏性不足,(在移除區域R1和R2之第一偶極高介電常數介電層182期間所使用的)濕式化學品可穿過金屬邊界經由底部抗反射塗層與第一偶極高介電常數介電層接合不良的界面間隙進入區域R3,因此對區域R3的第一偶極高介電常數介電層182造成不必要的損害或蝕刻。隨後,移除區域R3的光阻層207和底部抗反射塗層202。一些實施例中,實施電漿灰化操作以及之後的濕式灰化清洗操作以移除區域R3之底部抗反射塗層的殘留物。由於在區域R3,黏性增強層510覆蓋第一偶極高介電常數介電層182,因此可避免在鰭片結構的頂部上因大氣中的水氣、灰化製程的電漿或濕式清洗製程的化學品而損害或流失區域R3的第一偶極高介電常數介電層182。應注意在沒有黏性增強層510的情況下,由於偶極高介電常數介電層182、282本質上具有高度吸濕的特性,因此容易在以水為基底的濕式清洗期間(例如在灰化清洗製程期間)與水氣及/或水進行反應。水氣形成介電常數值比氧化物低得多的氫氧化物(例如La(OH)3 ),因此降低場效電晶體裝置的介電常數值、速度及/或效能。
再者,一些實施例中,如第10G圖所示,形成第二偶極高介電常數介電層282。如第10H圖所示,使用與第10B-10F圖相似的技術將第二偶極高介電常數介電層282圖案化。在形成第10H圖的結構之後,形成如上所述之一或多個功函數調整材料層和主體閘極電極層。一些實施例中,如第10I圖所示,在400 ºC至約700 ºC的溫度之間實施約2秒至約100秒的退火操作,將偶極摻雜元素自偶極高介電常數介電層182、282驅入基底高介電常數介電層82,以形成具有不同摻質數量的高介電常數介電層821和822。一些實施例中,偶極高介電常數介電層182、282內含的偶極摻雜元素包含La、Lu、Sc、Sr、Zr、Y、Dy、Eu和Yb中的一或多種。一些實施例中,擴散層821和822(有時,高介電常數介電層821和822又稱為擴散層821和822)係形成為高介電常數介電層82的一部分,而在其他實施例中,將高介電常數介電層82完全轉換為擴散層821和822。偶極摻雜元素擴散進入閘極介電層82的數量係根據偶極高介電常數介電層的厚度及/或數目而定。一些實施例中,相較於具有一個高介電常數介電層和一個偶極高介電常數介電層的場效電晶體,以及具有一個高介電常數介電層和沒有偶極高介電常數介電層的場效電晶體,具有一個高介電常數介電層和在高介電常數介電層上之兩個偶極高介電常數介電層的場效電晶體具有最高濃度的摻雜元素。一些實施例中,在退火操作之後,實施可選的濕式蝕刻以部分或完全地移除偶極層182、282(有時,偶極高介電常數介電層182、282又稱為偶極層182、282或第一偶極層182、第二偶極層282)的殘留物。一些實施例中,未實施濕式蝕刻操作,使得偶極高介電常數介電層182、282保留在場效電晶體裝置的最終閘極結構中。
一些實施例中,移除黏性增強層510,而在其他實施例中,未移除黏性增強層510,且保留黏性增強層510作為閘極介電層的一部分。
第11圖是根據本發明的一些實施例,顯示半導體裝置的製造流程圖。第12A、12B、12C、12D、12E和12F圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。可理解在連續製程中,在第12A-12F圖顯示的階段之前、之中以及之後可提供一或多個額外的操作,且對方法的附加實施例可替換或刪除以下所述的一些操作。操作/製程的順序是能互換的。對第1-10I圖之實施例所述的材料、組態、尺寸、製程及/或操作可用於以下的實施例中,且可省略其詳細描述。
在第12A-12E圖中,提供具有不同臨界電壓的三個N型場效電晶體(N1’、N2’和N3’)和具有不同臨界電壓的三個P型場效電晶體(P1’、P2’和P3’)。在第11圖的S1101中,相似於第7圖的S701,分別暴露出第一N型場效電晶體N1’、第二N型場效電晶體N2’、 第三N型場效電晶體N3’、第一P型場效電晶體P1’、第二P型場效電晶體P2’和第三P型場效電晶體P3’之鰭片結構的通道區。一些實施例中,N型場效電晶體的通道區由Si製成,且P型場效電晶體的通道區由SiGe製成。
在第11圖的S1102中,相似於第7圖的S702,使用化學氧化方法在每一個鰭片結構20之通道區上形成界面層81N和81P。在第11圖的S1103中,相似於第7圖的S703,在界面層81N/81P上形成閘極介電層(例如高介電常數閘極介電層)82。
在第11圖的S1104中,如第12A圖所示,在高介電常數閘極介電層82上形成第一偶極層182,且在第11圖的S1105中,在第一偶極層182上形成黏性增強層510。然後,如第12A圖所示,形成底部抗反射塗層200和光阻層205,並將底部抗反射塗層200和光阻層205圖案化以留下在N型場效電晶體N3’的區域和P型場效電晶體P1’的區域中之圖案化的光阻層205和底部抗反射塗層200。
然後,如第12B圖所示,藉由一或多個蝕刻操作,移除黏性增強層510和第一偶極層182。此外,移除N型場效電晶體N3’的區域和P型場效電晶體P1’的區域中的光阻層205、底部抗反射塗層200和黏性增強層510。一些實施例中,未移除N型場效電晶體N3’的區域和P型場效電晶體P1’的區域中的黏性增強層510。接著,在第11圖的S1106中,如第12C圖所示,在N型場效電晶體N1’ 的區域、N型場效電晶體N2’ 的區域、N型場效電晶體N3’ 的區域、P型場效電晶體P1’ 的區域、P型場效電晶體P2’ 的區域和P型場效電晶體P3’ 的區域內形成第二偶極層282。再者,如第12D圖所示,使用一或多個微影和蝕刻操作,移除N型場效電晶體區域N1’ 的區域和P型場效電晶體P3’ 的區域中的第二偶極層282。一些實施例中,相似於第12A和12B圖所述的操作,在第二偶極層上形成黏性增強層,且在黏性增強層上形成底部抗反射塗層和光阻的兩層結構。
然後,在第11圖的S1107中,如第12E圖所示,實施退火操作。一些實施例中,在約400 ºC至約700 ºC的溫度範圍內實施約2秒至約100秒的退火操作,將偶極摻雜元素自偶極高介電常數介電層182、282驅入高介電常數介電層82,以形成具有不同摻質數量的高介電常數介電層821和822。一些實施例中,偶極高介電常數介電層182、282內含的偶極摻雜元素包含La、Lu、Sc、Sr、Zr、Y、Dy、Eu和Yb中的一或多種。一些實施例中,擴散層821和822係形成為高介電常數介電層82的一部分,而在其他實施例中,將高介電常數介電層82完全轉換為擴散層821和822。偶極摻雜元素擴散進入閘極介電層82的數量係根據偶極高介電常數介電層的厚度及/或數目而定。一些實施例中,相較於具有一個高介電常數介電層和一個偶極高介電常數介電層的場效電晶體,以及具有一個高介電常數介電層和沒有偶極高介電常數介電層的場效電晶體,具有一個高介電常數介電層和高介電常數介電層上之兩個偶極高介電常數介電層的場效電晶體具有最高濃度的摻雜元素。一些實施例中,在退火操作之後,在第11圖的S1108中,如第12F圖所示,實施可選的濕式蝕刻以部分或完全地移除偶極層182、282的殘留物。一些實施例中,未實施濕式蝕刻操作,使得偶極高介電常數介電層182、282保留在場效電晶體裝置的最終閘極結構中。隨後,在第11圖的S1109中,相似於第7-9H圖所述的操作,形成一或多個功函數調整材料層。再者,相似於第7圖的S712-S714,可選地形成蓋層,且在第11圖的S1110中形成膠合層,然後在第11圖的S1111中形成主體閘極金屬層88。
可以理解並非所有的優點都必需在此進行討論,對於所有的實施例或範例不需要特定的優點,且其他實施例或範例可提供不同的優點。
根據本發明的一個層面,半導體裝置包含第一場效電晶體(FET),包含設置在第一通道區上的第一閘極結構,以及第二場效電晶體,具有與第一場效電晶體不同的導電類型且包含設置在第二通道區上的第二閘極結構。第一閘極結構包含在第一通道區上的第一閘極介電層,在第一閘極介電層上的第一功函數調整材料層,設置在第一功函數調整材料層上的黏性增強層,以及第一金屬閘極電極層。第二閘極結構包含在第二通道區上的第二閘極介電層,在第二閘極介電層上的第二功函數調整材料層,以及第二金屬閘極電極層,且第二閘極結構不包含黏性增強層。第一功函數調整材料層不含氮或氮含量小於50原子百分比,且黏性增強層的氮含量在55原子百分比至75原子百分比的範圍內。在以上和以下的一或多個實施例中,黏性增強層與第一功函數調整材料層相比具有較高的氮濃度。在以上和以下的一或多個實施例中,黏性增強層包含TiNx 、TiSiN和AlN中的一或多種,其中TiNx 的x約為1.1至1.5。在以上和以下的一或多個實施例中,第一功函數調整材料層包含WN、WCN、MoN和Ru中的一或多種。在以上和以下的一或多個實施例中,第二功函數調整材料層包含TaAl、TaAlC、TiAl和TiAlC中的一或多種。在以上和以下的一或多個實施例中,黏性增強層的厚度在0.2 nm至2 nm的範圍內。在以上和以下的一或多個實施例中,第一閘極結構更包含設置在黏性增強層上的第三功函數調整材料層,第三功函數調整材料層由與第二功函數調整材料層相同的材料製成。在以上和以下的一或多個實施例中,第一通道區的第一閘極介電層、第一功函數調整材料層和黏性增強層的厚度變化皆大於0.5%至小於5.0 %。在以上和以下的一或多個實施例中,第一閘極結構中所有層的厚度和組成在整個第一場效電晶體內是固定的。
根據本發明的另一個層面,半導體裝置包含設置在通道區上的第一閘極介電層,設置在第一閘極介電層上的第二閘極介電層,設置在第二閘極介電層上的黏性增強層,設置在黏性增強層上的一或多個功函數調整材料層,以及設置在一或多個功函數調整材料層上的主體閘極電極層。第二閘極介電層包含La、Lu、Sc、Sr、Zr、Y、Dy、Eu和Y中的一或多種的氧化物,且黏性增強層包含TiNx 、TiSiN、SiN、AlN和Al2 O3 中的一或多種,其中TiNx 的x約為1.1至1.5。在以上和以下的一或多個實施例中,第一閘極介電層包含與第二閘極介電層不同的高介電常數介電材料。在以上和以下的一或多個實施例中,一或多個功函數調整材料層包含WN、WCN、MoN和Ru中的一或多種。在以上和以下的一或多個實施例中,一或多個功函數調整材料層包含TaAl、TaAlC、TiAl和TiAlC中的一或多種。在以上和以下的一或多個實施例中,一或多個功函數調整材料層包含TiN、TiSiN、TaN和TaSiN中的一或多種。在以上和以下的一或多個實施例中,黏性增強層的厚度在0.3 nm至1.5 nm的範圍內。在以上和以下的一或多個實施例中,半導體裝置更包含設置在第二閘極介電層與一或多個功函數調整材料層之間的第三閘極介電層。
根據本發明的另一個層面,半導體裝置包含設置在通道區和源/汲極區上的閘極結構。閘極結構包含在通道區上的閘極介電層,在閘極介電層上的一或多個功函數調整材料層,以及在一或多個功函數調整材料層上的金屬閘極電極層。在相鄰的兩個功函數調整材料層上設置黏性增強層。在以上和以下的一或多個實施例中,黏性增強層與兩個功函數調整材料層中設置較靠近閘極介電層的功函數調整材料層相比具有較高的氮濃度。在以上和以下的一或多個實施例中,黏性增強層包含TiNx 、TiSiN和AlN中的一或多種,其中TiNx 的x約為1.1至1.5。在以上和以下的一或多個實施例中,功函數調整材料層包含由WN、WCN、MoN和Ru中的一或多種製成的第一層,且黏性增強層係設置在第一層上。在以上和以下的一或多個實施例中,功函數調整材料層包含由TaAl、TaAlC、TiAl和TiAlC中的一或多種製成的第一層,且黏性增強層係設置在第一層下。在以上和以下的一或多個實施例中,黏性增強層的厚度在0.2 nm至2 nm的範圍內。
根據本發明的另一個層面,在半導體裝置的製造方法中,在半導體材料製成的通道區上形成閘極介電層,在閘極介電層上形成第一功函數調整材料層,在第一功函數調整材料層上形成黏性增強層,在黏性增強層上形成包含抗反射有機材料層的遮罩層,以及使用遮罩層作為蝕刻遮罩將黏性增強層和第一功函數調整材料層圖案化。黏性增強層與第一功函數調整材料層相比對抗反射有機材料層具有較高的黏著強度。第一功函數調整材料層不含氮或氮含量小於50原子百分比,且黏性增強層的氮含量在55原子百分比至75原子百分比的範圍內。在以上和以下的一或多個實施例中,黏性增強層的氮濃度高於第一功函數調整材料層的氮濃度。在以上和以下的一或多個實施例中,黏性增強層包含TiNx 、TiSiN和AlN中的一或多種,其中TiNx 的x約為1.1至1.5。在以上和以下的一或多個實施例中,移除該遮罩層,以及在黏性增強層上形成第二功函數調整材料層。在以上和以下的一或多個實施例中,黏性增強層包含TiNx 、TiSiN、SiN、AlN和Al2 O3 中的一或多種,其中TiNx 的x約為1.1至1.5。在以上和以下的一或多個實施例中,移除該遮罩層,移除黏性增強層,以及在第一功函數調整材料層上形成第二功函數調整材料層。在以上和以下的一或多個實施例中,藉由氮化第一功函數調整材料層的表面區域以形成黏性增強層。在以上和以下的一或多個實施例中,黏性增強層的厚度在0.2 nm至2 nm的範圍內。
根據本發明的另一個層面,在半導體裝置的製造方法中,在半導體材料製成的通道區上形成第一閘極介電層,在第一閘極介電層上形成第二閘極介電層,在第二閘極介電層上形成黏性增強層,在黏性增強層上形成包含有機材料的遮罩層,以及使用遮罩層作為蝕刻遮罩將黏性增強層和第二閘極介電層圖案化。黏性增強層包含TiNx 、TiSiN、SiN、AlN和Al2 O3 中的一或多種,其中TiNx 的x約為1.1至1.5。在以上和以下的一或多個實施例中,第二閘極介電層包含La、Lu、Sc、Sr、Zr、Y、Dy、Eu和Y中的一或多種的氧化物。在以上和以下的一或多個實施例中,移除該遮罩層,以及移除黏性增強層。在以上和以下的一或多個實施例中,在移除黏性增強層之後,在第二閘極介電層上形成第三閘極介電層。在以上和以下的一或多個實施例中,第二閘極介電層和第三閘極介電層分別包含La、Lu、Sc、Sr、Zr、Y、Dy、Eu和Y中的一或多種的氧化物。在以上和以下的一或多個實施例中,移除遮罩層,以及在黏性增強層上形成第三閘極介電層。
根據本發明的另一個層面,在半導體裝置的製造方法中,在半導體材料製成的通道區上形成第一層,在第一層上形成黏性增強層,在黏性增強層上形成由有機材料製成的底部抗反射層,在底部抗反射層上形成光阻圖案,使用光阻圖案作為蝕刻遮罩將底部抗反射層的一部分圖案化,使用圖案化的底部抗反射層作為蝕刻遮罩將第一層的一部分圖案化,移除光阻圖案和圖案化的底部抗反射層,以及在第一層上形成第二層。在以上和以下的一或多個實施例中,黏性增強層與第一層相比具有較高的氮濃度。在以上和以下的一或多個實施例中,第一層為氧化層。在以上和以下的一或多個實施例中,第一層為導電層。
前述內文概述了許多實施例或範例的部件,以使本技術領域中具有通常知識者可以從各方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解他們可使用本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的精神與範圍。在不背離本發明的精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10:基底 20:鰭片結構 30:隔離絕緣層 40:虛設閘極結構 42:虛設閘極介電層 44:虛設閘極電極層 46:側壁間隙物 47:閘極空間 50:層間介電層 60:磊晶層 80:閘極堆疊(閘極電極) 81:界面層 81N:氧化矽層 81P:氧化矽鍺層 82:閘極介電層 83:第一導電層 84:第二導電層 86:功函數調整材料層 87:膠合層 88:閘極電極層(主體閘極金屬層) 89:功函數調整材料層 89-1:功函數調整材料層 89-2:功函數調整材料層 100:功函數調整材料層 110:蓋層 182:偶極高介電常數介電層(偶極層)(第一偶極層) 200:底部抗反射塗層 202:底部抗反射塗層 205:光阻層 207:光阻層 282:偶極高介電常數介電層(偶極層)(第二偶極層) 500:黏性增強層 510:黏性增強層 821:高介電常數介電層 822:高介電常數介電層 A:區域 A1:區域 A2:區域 B:區域 B1:區域 B2:區域 HK1:組態 HK2:組態 HK3:組態 MB:邊界 N1:N型場效電晶體 N1’:N型場效電晶體 N2:N型場效電晶體 N2’:N型場效電晶體 N3:N型場效電晶體 N3’:N型場效電晶體 P1:P型場效電晶體 P1’:P型場效電晶體 P2:P型場效電晶體 P2’:P型場效電晶體 P3:P型場效電晶體 P3’:P型場效電晶體 R1:區域 R2:區域 R3:區域 Ra:表面粗糙度 Vt3:臨界電壓 WF1:功函數調整材料層結構 WF2:功函數調整材料層結構 WF3:功函數調整材料層結構
藉由以下的詳述配合所附圖式可更加理解本發明實施例的內容。需注意的是,根據工業上的標準做法,各個部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1A圖是根據本發明的一實施例,顯示半導體裝置的剖面示意圖,而第1B圖是根據本發明的一實施例,顯示半導體裝置的透視圖。 第2A、2B、2C、2D、2E和2F圖是根據本發明的一實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。 第3A、3B、3C、3D、3E和3F圖是根據本發明的一實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。第3G圖是根據本發明的一實施例,顯示半導體裝置的製造流程圖。 第4A圖是根據本發明的一些實施例,顯示具有不同臨界電壓之多個場效電晶體的閘極電極。第4B和4C圖是根據本發明的一些實施例,顯示具有不同臨界電壓之多個場效電晶體的各個功函數調整材料層以及高介電常數閘極介電層。 第5A圖是根據本發明的一實施例,顯示互補式金屬氧化物半導體(CMOS)電路的平面圖(佈局圖),第5B圖是根據本發明的一實施例,顯示對應第5A圖之區域A1的剖面示意圖,而第5C圖是根據本發明的一實施例,顯示第5B圖之區域B1的放大圖。 第6A圖是根據本發明的一實施例,顯示互補式金屬氧化物半導體(CMOS)電路的平面圖(佈局圖),第6B圖是根據本發明的一實施例,顯示對應第6A圖之區域A2的剖面示意圖,而第6C圖是根據本發明的一實施例,顯示第6B圖之區域B2的放大圖。 第7圖是根據本發明的一些實施例,顯示半導體裝置的製造流程圖。 第8A、8B、8C、8D、8E和8F圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。 第9A、9B、9C、9D、9E、9F、9G和9H圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。 第10A、10B、10C、10D、10E、10F、10G、10H和10I圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。 第11圖是根據本發明的一些實施例,顯示半導體裝置的製造流程圖。 第12A、12B、12C、12D、12E和12F圖是根據本發明的一些實施例,顯示半導體裝置連續製程之各個階段的剖面示意圖。
20:鰭片結構
30:隔離絕緣層
81:界面層
82:閘極介電層
89:功函數調整材料層
100:功函數調整材料層
500:黏性增強層
A:區域
B:區域
MB:邊界

Claims (14)

  1. 一種半導體裝置,包括:一第一場效電晶體(FET),包含設置在一第一通道區上的一第一閘極結構;以及一第二場效電晶體,具有與該第一場效電晶體不同的導電類型且包含設置在一第二通道區上的一第二閘極結構,其中:該第一閘極結構包含:一第一閘極介電層,在該第一通道區上;一第一功函數調整材料層,在該第一閘極介電層上;一黏性增強層,設置在該第一功函數調整材料層上;及一第一金屬閘極電極層;該第二閘極結構包含:一第二閘極介電層,在該第二通道區上;一第二功函數調整材料層,在該第二閘極介電層上;及一第二金屬閘極電極層;該第一功函數調整材料層不含氮或氮含量小於50原子百分比,且該黏性增強層的氮含量在55原子百分比至75原子百分比的範圍內;以及該第二閘極結構不包含該黏性增強層。
  2. 如請求項1之半導體裝置,其中該黏性增強層與該第一功函數調整材料層相比具有較高的氮濃度。
  3. 如請求項1之半導體裝置,其中該黏性增強層包含TiNx、TiSiN或AlN中的一或多種,其中TiNx的x為1.1至1.5。
  4. 如請求項1至3任一項之半導體裝置,其中該黏性增強層的厚度在0.2nm至2nm的範圍內。
  5. 如請求項1至3任一項之半導體裝置,其中該第一閘極結構更包含設置在該黏性增強層上的一第三功函數調整材料層,該第三功函數調整材料層由與該第二功函數調整材料層相同的材料製成。
  6. 如請求項1至3任一項之半導體裝置,其中該第一通道區的該第一閘極介電層、該第一功函數調整材料層和該黏性增強層的厚度變化皆大於0.5%至小於5.0%。
  7. 一種半導體裝置,包括:一第一閘極介電層,設置在一通道區上;一第二閘極介電層,設置在該第一閘極介電層上;一黏性增強層,設置在該第二閘極介電層上;一或多個功函數調整材料層,設置在該黏性增強層上;以及一主體閘極電極層,設置在該一或多個功函數調整材料層上,其中:該第二閘極介電層為La、Lu、Sc、Sr、Zr、Y、Dy、Eu或Y中的一或多種的氧化物,以及該黏性增強層包含TiNx、TiSiN、SiN、AlN或Al2O3中的一或多種,其中TiNx的x為1.1至1.5。
  8. 如請求項7之半導體裝置,其中該第一閘極介電層包含與該第二閘極介電層不同的高介電常數介電材料。
  9. 如請求項7或8之半導體裝置,其中該一或多個功函數調整材料層包含WN、WCN、MoN或Ru中的一或多種。
  10. 如請求項7或8之半導體裝置,其中該一或多個功函數調整材料層包含TaAl、TaAlC、TiAl或TiAlC中的一或多種。
  11. 如請求項7或8之半導體裝置,其中該黏性增強層的厚度在0.3nm至1.5nm的範圍內。
  12. 如請求項7或8之半導體裝置,更包括設置在該第二閘極介電層與該一或多個功函數調整材料層之間的一第三閘極介電層。
  13. 一種半導體裝置的製造方法,包括:在一半導體材料製成的一通道區上形成一閘極介電層;在該閘極介電層上形成一第一功函數調整材料層;在該第一功函數調整材料層上形成一黏性增強層;在該黏性增強層上形成一遮罩層,該遮罩層包含一抗反射有機材料層;以及使用該遮罩層作為蝕刻遮罩將該黏性增強層和該第一功函數調整材料層圖案化,其中該黏性增強層與該第一功函數調整材料層相比對該抗反射有機材料層具有較高的黏著強度,以及該第一功函數調整材料層不含氮或氮含量小於50原子百分比,且該黏性增強層的氮含量在55原子百分比至75原子百分比的範圍內。
  14. 如請求項13之半導體裝置的製造方法,其中該黏性增強層的氮濃度高於該第一功函數調整材料層的氮濃度。
TW110106687A 2020-02-27 2021-02-25 半導體裝置及其製造方法 TWI750041B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982718P 2020-02-27 2020-02-27
US62/982,718 2020-02-27
US16/934,916 2020-07-21
US16/934,916 US11784187B2 (en) 2020-02-27 2020-07-21 Method of manufacturing semiconductor devices and semiconductor devices

Publications (2)

Publication Number Publication Date
TW202133272A TW202133272A (zh) 2021-09-01
TWI750041B true TWI750041B (zh) 2021-12-11

Family

ID=77370487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106687A TWI750041B (zh) 2020-02-27 2021-02-25 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US20220352160A1 (zh)
CN (1) CN113314530A (zh)
TW (1) TWI750041B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201916254A (zh) * 2017-09-27 2019-04-16 台灣積體電路製造股份有限公司 半導體結構

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7067379B2 (en) * 2004-01-08 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide gate transistors and method of manufacture
US7838908B2 (en) * 2009-01-26 2010-11-23 International Business Machines Corporation Semiconductor device having dual metal gates and method of manufacture
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US9236446B2 (en) * 2014-03-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Barc-assisted process for planar recessing or removing of variable-height layers
US9824929B2 (en) * 2015-10-28 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10283417B1 (en) * 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10515807B1 (en) * 2018-06-14 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with metal-gate work-function tuning layers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201916254A (zh) * 2017-09-27 2019-04-16 台灣積體電路製造股份有限公司 半導體結構

Also Published As

Publication number Publication date
CN113314530A (zh) 2021-08-27
US20220352160A1 (en) 2022-11-03
TW202133272A (zh) 2021-09-01

Similar Documents

Publication Publication Date Title
US11081584B2 (en) Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices
TWI667790B (zh) 半導體元件及其製造方法
KR102298435B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US20210273106A1 (en) Flat sti surface for gate oxide uniformity in fin fet devices
US11342434B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11557649B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
TW202113989A (zh) 半導體元件及其製造方法
TWI749798B (zh) 半導體裝置及其形成方法
US11784187B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
TWI750041B (zh) 半導體裝置及其製造方法
US11955485B2 (en) Method of manufacturing semiconductor devices and semiconductor devices
TWI777390B (zh) 半導體元件及其形成方法
US20220320088A1 (en) Gate Isolation for Multigate Device