TWI749979B - Control circuit and operation system - Google Patents

Control circuit and operation system Download PDF

Info

Publication number
TWI749979B
TWI749979B TW109147014A TW109147014A TWI749979B TW I749979 B TWI749979 B TW I749979B TW 109147014 A TW109147014 A TW 109147014A TW 109147014 A TW109147014 A TW 109147014A TW I749979 B TWI749979 B TW I749979B
Authority
TW
Taiwan
Prior art keywords
signal
circuit
delay
wake
level
Prior art date
Application number
TW109147014A
Other languages
Chinese (zh)
Other versions
TW202227835A (en
Inventor
張恒愷
黃啟睿
Original Assignee
新唐科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新唐科技股份有限公司 filed Critical 新唐科技股份有限公司
Priority to TW109147014A priority Critical patent/TWI749979B/en
Application granted granted Critical
Publication of TWI749979B publication Critical patent/TWI749979B/en
Priority to CN202111552730.9A priority patent/CN114691221B/en
Publication of TW202227835A publication Critical patent/TW202227835A/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/4401Bootstrapping
    • G06F9/4418Suspend and resume; Hibernate and awake
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/30Means for acting in the event of power-supply failure or interruption, e.g. power-supply fluctuations
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/133Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals using a chain of active delay devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Computer Security & Cryptography (AREA)
  • Logic Circuits (AREA)
  • Power Conversion In General (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Measurement Of Current Or Voltage (AREA)

Abstract

A control circuit including a timer circuit and a voltage monitor module is provided. When a wakeup event occurs, the timer circuit asserts a trigger signal at regular time intervals. The voltage monitor module is configured to monitor whether an operation voltage reaches a predetermined value. The voltage monitor module includes a signal generator circuit, a first delay circuit, a second delay circuit and a decision circuit. The signal generator circuit generates a reference signal according to the trigger signal. The first delay circuit receives the operation voltage and delays the reference signal to generate a first delayed signal. The second delay circuit delays the trigger signal to generate a second delayed signal. When the wakeup event occurs. The decision circuit enables a wakeup signal according to the reference signal, the first delayed signal, and the second delayed signal.

Description

控制電路及操作系統Control circuit and operating system

本發明係有關於一種控制電路,特別是有關於一種監控一操作電壓是否達一預期電壓的控制電路。The present invention relates to a control circuit, in particular to a control circuit that monitors whether an operating voltage reaches an expected voltage.

隨著科技的進步,電子產品的種類及功能愈來愈多。電子產品的內部具有許多電子元件。為了減少電子元件所造成的功耗,當電子元件長時間未使用時,電子元件進入一省電模式。在省電模式下,電子元件的操作電壓可能為一待機電壓,如0V。當一喚醒事件發生時,操作電壓由待機電壓逐漸上升。在操作電壓達一穩定電壓前,如果電子元件根據操作電壓而動作時,可能會造成電子元件誤動作。另外,多操作電壓同時提升時,可能引起湧流電流,因而傷害電子元件。With the advancement of technology, there are more and more types and functions of electronic products. There are many electronic components inside the electronic product. In order to reduce the power consumption caused by the electronic components, when the electronic components have not been used for a long time, the electronic components enter a power saving mode. In the power saving mode, the operating voltage of the electronic component may be a standby voltage, such as 0V. When a wake-up event occurs, the operating voltage gradually rises from the standby voltage. Before the operating voltage reaches a stable voltage, if the electronic component operates according to the operating voltage, it may cause the electronic component to malfunction. In addition, when multiple operating voltages are increased at the same time, inrush current may be caused, which may damage electronic components.

本發明之一實施例提供一種控制電路,包括一計時電路以及一電壓監控模組。當一喚醒事件發生時,計時電路每隔一固定時間,致能一觸發信號。電壓監控模組用以監控一操作電壓是否達到一預期電壓,並包括一信號產生電路、一第一延遲電路、一第二延遲電路以及一判斷電路。信號產生電路根據該觸發信號,產生一參考信號。第一延遲電路接收操作電壓,並延遲參考信號,用以產生一第一延遲信號。第二延遲電路延遲觸發信號,用以產生一第二延遲信號。當喚醒事件發生時,判斷電路根據參考信號、第一延遲信號及第二延遲信號,致能一喚醒信號。An embodiment of the present invention provides a control circuit including a timing circuit and a voltage monitoring module. When a wake-up event occurs, the timing circuit enables a trigger signal at regular intervals. The voltage monitoring module is used to monitor whether an operating voltage reaches an expected voltage, and includes a signal generating circuit, a first delay circuit, a second delay circuit, and a judgment circuit. The signal generating circuit generates a reference signal according to the trigger signal. The first delay circuit receives the operating voltage and delays the reference signal to generate a first delay signal. The second delay circuit delays the trigger signal to generate a second delay signal. When a wake-up event occurs, the judgment circuit enables a wake-up signal according to the reference signal, the first delay signal and the second delay signal.

本發明之另一實施例提供一種操作系統,包括一微控制電路以及一控制電路。微控制電路接收一操作電壓。當操作電壓小於一預期電壓時,微控制電路進入一休眠模式。當一喚醒信號被致能時,微控制電路離開休眠模式並進入一正常模式。在正常模式下,微控制電路根據操作電壓而動作。當一喚醒事件發生時,控制電路判斷操作電壓是否達預期電壓。當操作電壓達預期電壓時,控制電路致能喚醒信號。控制電路包括一計時電路以及一電壓監控模組。當喚醒事件發生時,計時電路每隔一固定時間,致能一觸發信號。電壓監控模組根據觸發信號,監控操作電壓是否達到預期電壓。當操作電壓達預期電壓時,電壓監控模組致能喚醒信號。Another embodiment of the present invention provides an operating system including a micro-control circuit and a control circuit. The micro-control circuit receives an operating voltage. When the operating voltage is less than an expected voltage, the micro-control circuit enters a sleep mode. When a wake-up signal is enabled, the micro-control circuit leaves the sleep mode and enters a normal mode. In the normal mode, the micro-control circuit operates according to the operating voltage. When a wake-up event occurs, the control circuit determines whether the operating voltage reaches the expected voltage. When the operating voltage reaches the expected voltage, the control circuit enables the wake-up signal. The control circuit includes a timing circuit and a voltage monitoring module. When a wake-up event occurs, the timing circuit enables a trigger signal at regular intervals. The voltage monitoring module monitors whether the operating voltage reaches the expected voltage according to the trigger signal. When the operating voltage reaches the expected voltage, the voltage monitoring module enables a wake-up signal.

為讓本發明之目的、特徵和優點能更明顯易懂,下文特舉出實施例,並配合所附圖式,做詳細之說明。本發明說明書提供不同的實施例來說明本發明不同實施方式的技術特徵。其中,實施例中的各元件之配置係為說明之用,並非用以限制本發明。另外,實施例中圖式標號之部分重覆,係為了簡化說明,並非意指不同實施例之間的關聯性。In order to make the purpose, features and advantages of the present invention more comprehensible, the following specific examples are given in conjunction with the accompanying drawings for detailed descriptions. The specification of the present invention provides different examples to illustrate the technical features of different embodiments of the present invention. Among them, the configuration of each element in the embodiment is for illustrative purposes, and is not intended to limit the present invention. In addition, part of the repetition of the symbols of the drawings in the embodiments is for simplifying the description, and does not imply the relevance between different embodiments.

第1圖為本發明之操作系統的示意圖。如圖所示,操作系統100包括一控制電路110以及一微控制電路120。控制電路110判斷是否發生一喚醒事件。在本實施例中,控制電路110根據一外部信號SLEEP的位準,判斷是否發生一喚醒事件。舉例而言,當外部信號SLEEP的位準不等於一特定位準(如一低位準)時,表示未發生喚醒事件。因此,控制電路110不致能喚醒信號WKU。此時,喚醒信號WKU可能等於一第一位準,如一高位準。當外部信號SLEEP等於特定位準時,表示發生喚醒事件。因此,控制電路110判斷一操作電壓VDDR是否達一第一預期電壓。當操作電壓VDDR達第一預期電壓時,控制電路110致能喚醒信號WKU。此時,喚醒信號WKU可能等於一第二位準,如一低位準。Figure 1 is a schematic diagram of the operating system of the present invention. As shown in the figure, the operating system 100 includes a control circuit 110 and a micro-control circuit 120. The control circuit 110 determines whether a wake-up event has occurred. In this embodiment, the control circuit 110 determines whether a wake-up event occurs according to the level of an external signal SLEEP. For example, when the level of the external signal SLEEP is not equal to a specific level (such as a low level), it means that no wake-up event has occurred. Therefore, the control circuit 110 cannot enable the wake-up signal WKU. At this time, the wake-up signal WKU may be equal to a first level, such as a high level. When the external signal SLEEP is equal to a specific level, it indicates that a wake-up event has occurred. Therefore, the control circuit 110 determines whether an operating voltage VDDR reaches a first expected voltage. When the operating voltage VDDR reaches the first expected voltage, the control circuit 110 enables the wake-up signal WKU. At this time, the wake-up signal WKU may be equal to a second level, such as a low level.

在本實施例中,控制電路110包括一計時電路111以及一電壓監控模組112。計時電路111用以判斷是否發生一喚醒事件。當發生喚醒事件時,計時電路111每隔一固定時間(如1秒),便致能一觸發信號TMO。未發生喚醒事件時,計時電路111不致能觸發信號TMO。電壓監控模組112用以監控操作電壓VDDR是否達到一第一預期電壓。當觸發信號TMO被致能時,電壓監控模組112偵測操作電壓VDDR是否達到一第一預期電壓。當操作電壓VDDR達第一預期電壓時,電壓監控模組112致能喚醒信號WKU。當操作電壓VDDR未達第一預期電壓時,電壓監控模組112不致能喚醒信號WKU。In this embodiment, the control circuit 110 includes a timing circuit 111 and a voltage monitoring module 112. The timing circuit 111 is used to determine whether a wake-up event has occurred. When a wake-up event occurs, the timing circuit 111 enables a trigger signal TMO every fixed time (for example, 1 second). When the wake-up event does not occur, the timing circuit 111 does not enable the trigger signal TMO. The voltage monitoring module 112 is used to monitor whether the operating voltage VDDR reaches a first expected voltage. When the trigger signal TMO is enabled, the voltage monitoring module 112 detects whether the operating voltage VDDR reaches a first expected voltage. When the operating voltage VDDR reaches the first expected voltage, the voltage monitoring module 112 enables the wake-up signal WKU. When the operating voltage VDDR does not reach the first expected voltage, the voltage monitoring module 112 does not enable the wake-up signal WKU.

在另一實施例中,計時電路111更延遲外部信號SLEEP,用以產生一延遲信號SL_latch。在此例中,電壓監控模組112根據操作電壓VDDR以及延遲信號SL_latch,決定是否致能喚醒信號WKU。舉例而言,當操作電壓VDDR達第一預期電壓時,如果延遲信號SL_latch不等於特定位準(如低位準),電壓監控模組112不致能喚醒信號WKU。另外,當延遲信號SL_latch等於特定位準時,如果操作電壓VDDR未達第一預期電壓,電壓監控模組112也不致能喚醒信號WKU。在此例中,當延遲信號SL_latch等於特定位準並且操作電壓VDDR達第一預期電壓,電壓監控模組112致能喚醒信號WKU。In another embodiment, the timing circuit 111 further delays the external signal SLEEP to generate a delay signal SL_latch. In this example, the voltage monitoring module 112 determines whether to enable the wake-up signal WKU according to the operating voltage VDDR and the delay signal SL_latch. For example, when the operating voltage VDDR reaches the first expected voltage, if the delay signal SL_latch is not equal to a specific level (such as a low level), the voltage monitoring module 112 does not enable the wake-up signal WKU. In addition, when the delay signal SL_latch is equal to a specific level, if the operating voltage VDDR does not reach the first expected voltage, the voltage monitoring module 112 will not be able to wake up the signal WKU. In this example, when the delay signal SL_latch is equal to a certain level and the operating voltage VDDR reaches the first expected voltage, the voltage monitoring module 112 enables the wake-up signal WKU.

在其它實施例中,不論喚醒事件是否發生,計時電路111每隔一固定時間(如1秒),致能一觸發信號TMO。在此例中,電壓監控模組112偵測喚醒事件是否發生以及監控操作電壓VDDR是否達到一第一預期電壓。在一可能實施例中,電壓監控模組112根據外部信號SLEEP的位準,判斷喚醒事件是否發生。另外,電壓監控模組112比較監控操作電壓VDDR與第一預期電壓,用以判斷操作電壓VDDR是否達到第一預期電壓。舉例而言,當觸發信號TMO被致能時,電壓監控模組112判斷操作電壓VDDR是否達到第一預期電壓。當操作電壓VDDR達到第一預期電壓時,電壓監控模組112判斷外部信號SLEEP的位準是否等於一特定位準。當外部信號SLEEP的位準等於特定位準時,電壓監控模組112致能喚醒信號WKU。然而,當操作電壓VDDR未達到第一預期電壓或是外部信號SLEEP的位準不等於特定位準時,電壓監控模組112不致能喚醒信號WKU。In other embodiments, regardless of whether the wake-up event occurs, the timing circuit 111 enables a trigger signal TMO every fixed time (eg, 1 second). In this example, the voltage monitoring module 112 detects whether a wake-up event occurs and monitors whether the operating voltage VDDR reaches a first expected voltage. In a possible embodiment, the voltage monitoring module 112 determines whether the wake-up event occurs according to the level of the external signal SLEEP. In addition, the voltage monitoring module 112 compares the monitored operating voltage VDDR with the first expected voltage to determine whether the operating voltage VDDR reaches the first expected voltage. For example, when the trigger signal TMO is enabled, the voltage monitoring module 112 determines whether the operating voltage VDDR reaches the first expected voltage. When the operating voltage VDDR reaches the first expected voltage, the voltage monitoring module 112 determines whether the level of the external signal SLEEP is equal to a specific level. When the level of the external signal SLEEP is equal to the specific level, the voltage monitoring module 112 enables the wake-up signal WKU. However, when the operating voltage VDDR does not reach the first expected voltage or the level of the external signal SLEEP is not equal to the specific level, the voltage monitoring module 112 does not enable the wake-up signal WKU.

本發明並不限定電壓監控模組112監控外部信號SLEEP與操作電壓VDDR的順序。在一可能實施例中,電壓監控模組112先判斷操作電壓VDDR是否已達到第一預期電壓,並在操作電壓VDDR達到第一預期電壓後,才判斷外部信號SLEEP的位準是否等於特定位準。在另一可能實施例中,電壓監控模組112先判斷外部信號SLEEP的位準是否等於特定位準。在此例中,當外部信號SLEEP的位準等於特定位準時,電壓監控模組112才判斷判斷操作電壓VDDR是否已達到第一預期電壓。在其它實施例中,電壓監控模組112可能直接接收外部信號SLEEP,用以判斷外部信號SLEEP的位準是否等於特定位準。在另一可能實施例中,電壓監控模組112延遲外部信號SLEEP,再判斷延遲信號是否等於特定位準。The present invention does not limit the sequence in which the voltage monitoring module 112 monitors the external signal SLEEP and the operating voltage VDDR. In a possible embodiment, the voltage monitoring module 112 first determines whether the operating voltage VDDR has reached the first expected voltage, and only after the operating voltage VDDR reaches the first expected voltage, does it determine whether the level of the external signal SLEEP is equal to a specific level . In another possible embodiment, the voltage monitoring module 112 first determines whether the level of the external signal SLEEP is equal to a specific level. In this example, when the level of the external signal SLEEP is equal to the specific level, the voltage monitoring module 112 determines whether the operating voltage VDDR has reached the first expected voltage. In other embodiments, the voltage monitoring module 112 may directly receive the external signal SLEEP to determine whether the level of the external signal SLEEP is equal to a specific level. In another possible embodiment, the voltage monitoring module 112 delays the external signal SLEEP, and then determines whether the delay signal is equal to a specific level.

微控制電路120接收操作電壓VDDR及喚醒信號WKU。當操作電壓VDDR小於第一預期電壓時,微控制電路120進入一休眠模式。在休眠模式下,由於操作電壓VDDR不足以驅動微控制電路120,故微控制電路120停止動作。當喚醒信號WKU被致能時,微控制電路120離開休眠模式並進入一正常模式。在正常模式下,操作電壓VDDR已恢復至第一預期電壓(甚至大於第一預期電壓),故可驅動微控制電路120。因此,微控制電路120進行相對應的動作。The micro-control circuit 120 receives the operating voltage VDDR and the wake-up signal WKU. When the operating voltage VDDR is less than the first expected voltage, the micro-control circuit 120 enters a sleep mode. In the sleep mode, since the operating voltage VDDR is insufficient to drive the micro-control circuit 120, the micro-control circuit 120 stops operating. When the wake-up signal WKU is enabled, the micro-control circuit 120 leaves the sleep mode and enters a normal mode. In the normal mode, the operating voltage VDDR has recovered to the first expected voltage (or even greater than the first expected voltage), so the micro-control circuit 120 can be driven. Therefore, the micro-control circuit 120 performs corresponding actions.

本發明並不限定微控制電路120的種類。在一可能實施例中,微控制電路120可能是一微處理器(microprocessor)。在另一可能實施例,微控制電路120係為另一控制電路(相似於控制電路110)。在此例中,當喚醒信號WKU被致能時,微控制電路120判斷另一操作電壓VDDQ是否達一第二預期電壓。當操作電壓VDDQ達第二預期電壓時,微控制電路120致能另一喚醒信號(未顯示)。在此例中,微控制電路120所致能的喚醒信號可能用以喚醒另一控制電路,用以判斷另一操作電壓(不同於VDDR及VDDQ)是否已達一相對應的預期電壓。在其它實施例中,微控制電路120所致能的喚醒信號可能用以喚醒一負載電路,如一微控制器(Microcontroller Unit;MCU)。The invention does not limit the type of the micro-control circuit 120. In a possible embodiment, the micro-control circuit 120 may be a microprocessor. In another possible embodiment, the micro-control circuit 120 is another control circuit (similar to the control circuit 110). In this example, when the wake-up signal WKU is enabled, the micro-control circuit 120 determines whether the other operating voltage VDDQ reaches a second expected voltage. When the operating voltage VDDQ reaches the second expected voltage, the micro-control circuit 120 enables another wake-up signal (not shown). In this example, the wake-up signal enabled by the micro-control circuit 120 may be used to wake up another control circuit to determine whether another operating voltage (different from VDDR and VDDQ) has reached a corresponding expected voltage. In other embodiments, the wake-up signal enabled by the micro-control circuit 120 may be used to wake-up a load circuit, such as a microcontroller (Microcontroller Unit; MCU).

第2圖為本發明之電壓監控模組的一可能示意圖。如圖所示,電壓監控模組200包括一信號產生電路210、延遲電路220、240以及一判斷電路230。信號產生電路210根據觸發信號TMO,產生一參考信號Q1。在本實施例中,信號產生電路210包括一反相器211以及一D型正反器212。反相器211耦接於D型正反器212的輸入端D及輸出端Q之間。在此例中,反相器211反相參考信號Q1,並將反相後的結果提供予D型正反器212的輸入端D。D型正反器212的時脈端CK接收觸發信號TMO。當觸發信號TMO被致能時,D型正反器212將輸入端D的信號傳送至輸出端Q。在一可能實施例中,當觸發信號TMO被致能時,觸發信號TMO由一低位準變化至一高位準。在另一可能實施例中,當觸發信號TMO被致能時,觸發信號TMO由一高位準變化至一低位準。在其它實施例中,參考信號Q1的初始位準為一低位準。Figure 2 is a possible schematic diagram of the voltage monitoring module of the present invention. As shown in the figure, the voltage monitoring module 200 includes a signal generating circuit 210, delay circuits 220 and 240, and a judgment circuit 230. The signal generating circuit 210 generates a reference signal Q1 according to the trigger signal TMO. In this embodiment, the signal generating circuit 210 includes an inverter 211 and a D-type flip-flop 212. The inverter 211 is coupled between the input terminal D and the output terminal Q of the D-type flip-flop 212. In this example, the inverter 211 inverts the reference signal Q1 and provides the inverted result to the input terminal D of the D-type flip-flop 212. The clock terminal CK of the D-type flip-flop 212 receives the trigger signal TMO. When the trigger signal TMO is enabled, the D-type flip-flop 212 transmits the signal from the input terminal D to the output terminal Q. In a possible embodiment, when the trigger signal TMO is enabled, the trigger signal TMO changes from a low level to a high level. In another possible embodiment, when the trigger signal TMO is enabled, the trigger signal TMO changes from a high level to a low level. In other embodiments, the initial level of the reference signal Q1 is a low level.

延遲電路220接收操作電壓VDDR,並延遲參考信號Q1,用以產生一延遲信號Q1_delay。在本實施例中,當操作電壓VDDR愈小時,延遲電路220的反應時間愈長。因此,延遲電路220需要更多的時間才能產生延遲信號Q1_delay。然而,當操作電壓VDDR逐漸上升時,延遲電路220的反應時間逐漸變短。當操作電壓VDDR達一預期電壓或是高於預期電壓時,參考信號Q1與延遲信號Q1_delay之間的延遲時間維持在一固定值,其中參考信號Q1與延遲信號Q1_delay之間的延遲時間稱為一第一延遲時間。The delay circuit 220 receives the operating voltage VDDR and delays the reference signal Q1 to generate a delay signal Q1_delay. In this embodiment, when the operating voltage VDDR is smaller, the response time of the delay circuit 220 is longer. Therefore, the delay circuit 220 needs more time to generate the delay signal Q1_delay. However, when the operating voltage VDDR gradually rises, the response time of the delay circuit 220 gradually becomes shorter. When the operating voltage VDDR reaches an expected voltage or is higher than the expected voltage, the delay time between the reference signal Q1 and the delay signal Q1_delay is maintained at a fixed value, where the delay time between the reference signal Q1 and the delay signal Q1_delay is called a The first delay time.

在其它實施例中,延遲電路220根據一調整信號Tune_2,調整第一延遲時間。在此例中,延遲電路220可能具有十級延遲元件。電壓監控模組200的設計人員利用調整信號Tune_2,觸發延遲電路220的第一至第四級延遲元件。此時,第一至第四級延遲元件的總延遲時間即為第一延遲時間。In other embodiments, the delay circuit 220 adjusts the first delay time according to an adjustment signal Tune_2. In this example, the delay circuit 220 may have ten stages of delay elements. The designer of the voltage monitoring module 200 uses the adjustment signal Tune_2 to trigger the first to fourth stage delay elements of the delay circuit 220. At this time, the total delay time of the first to fourth stage delay elements is the first delay time.

延遲電路240延遲觸發信號TMO,用以產生一延遲信號TMO_delay。在本實施例中,延遲電路240接收一操作電壓VDD。在此例中,即使操作電壓VDDR下降至一待機電壓,操作電壓VDD維持在一固定值。舉例而言,當第1圖的微控制電路120進入一休眠模式下,操作電壓VDDR下降至一待機電壓(如0V)。此時,操作電壓VDD維持在一固定值(如1.8V)。當微控制電路120離開休眠模式並進入正常模式時,操作電壓VDDR逐漸上升。此時,操作電壓VDD仍維持在固定值。換句話說,不論微控制電路120操作於休眠模式或正常模式,操作電壓VDD維持不變(如維持在1.8V)。The delay circuit 240 delays the trigger signal TMO to generate a delay signal TMO_delay. In this embodiment, the delay circuit 240 receives an operating voltage VDD. In this example, even if the operating voltage VDDR drops to a standby voltage, the operating voltage VDD is maintained at a fixed value. For example, when the micro-control circuit 120 in FIG. 1 enters a sleep mode, the operating voltage VDDR drops to a standby voltage (such as 0V). At this time, the operating voltage VDD is maintained at a fixed value (for example, 1.8V). When the micro control circuit 120 leaves the sleep mode and enters the normal mode, the operating voltage VDDR gradually rises. At this time, the operating voltage VDD is still maintained at a fixed value. In other words, regardless of whether the micro-control circuit 120 is operating in the sleep mode or the normal mode, the operating voltage VDD remains unchanged (for example, maintained at 1.8V).

在其它實施例中,延遲電路240根據一調整信號Tune_1,調整觸發信號TMO與延遲信號TMO_delay之間的延遲時間,或稱為一第二延遲時間。在此例中,延遲電路240可能具有十級延遲元件,電壓監控模組的設計人員利用調整信號Tune_1,觸發延遲電路240的第一至第五級延遲元件。此時,第一至第五級延遲元件的總延遲時間作為第二延遲時間。第二延遲時間可能相同或不同於第一延遲時間。In other embodiments, the delay circuit 240 adjusts the delay time between the trigger signal TMO and the delay signal TMO_delay according to an adjustment signal Tune_1, or is referred to as a second delay time. In this example, the delay circuit 240 may have ten stages of delay elements, and the designer of the voltage monitoring module uses the adjustment signal Tune_1 to trigger the first to fifth stages of delay elements of the delay circuit 240. At this time, the total delay time of the first to fifth stage delay elements is used as the second delay time. The second delay time may be the same or different from the first delay time.

判斷電路230根據延遲信號TMO_delay,判斷參考信號Q1的位準是否等於延遲信號Q1_delay的位準。舉例而言,當延遲信號TMO_delay的位準由一第一位準變化至一第二位準時,判斷電路230判斷參考信號Q1是否等於延遲信號Q1_delay。當參考信號Q1的位準等於延遲信號Q1_delay的位準時,表示操作電壓VDDR已由一待機電壓(如0V)上升至一預期電壓。因此,判斷電路230根據外部信號SLEEP(或是延遲信號SL_latch)的位準,致能喚醒信號WKU。在本實施例中,判斷電路230包括邏輯電路231、232以及一D型正反器233。The judgment circuit 230 judges whether the level of the reference signal Q1 is equal to the level of the delay signal Q1_delay according to the delay signal TMO_delay. For example, when the level of the delay signal TMO_delay changes from a first level to a second level, the determining circuit 230 determines whether the reference signal Q1 is equal to the delay signal Q1_delay. When the level of the reference signal Q1 is equal to the level of the delay signal Q1_delay, it indicates that the operating voltage VDDR has risen from a standby voltage (such as 0V) to an expected voltage. Therefore, the judgment circuit 230 enables the wake-up signal WKU according to the level of the external signal SLEEP (or the delay signal SL_latch). In this embodiment, the judgment circuit 230 includes logic circuits 231 and 232 and a D-type flip-flop 233.

邏輯電路231接收參考信號Q1及延遲信號Q1_delay,用以產生一輸出信號CKO。在本實施例中,當參考信號Q1等於延遲信號Q1_delay時,輸出信號CKO等於一第一位準,當參考信號Q1不等於延遲信號Q1_delay時,輸出信號CKO等於一第二位準。第二位準相對於第一位準。舉例而言,當第一位準為一低位準時,第二位準為一高位準。當第一位準為一高位準時,第二位準為一低位準。本發明並不限定邏輯電路231的架構。在本實施例中,邏輯電路231係為一互斥或閘(XOR gate)。The logic circuit 231 receives the reference signal Q1 and the delay signal Q1_delay to generate an output signal CKO. In this embodiment, when the reference signal Q1 is equal to the delay signal Q1_delay, the output signal CKO is equal to a first level, and when the reference signal Q1 is not equal to the delay signal Q1_delay, the output signal CKO is equal to a second level. The second level is relative to the first level. For example, when the first level is a low level, the second level is a high level. When the first level is a high level, the second level is a low level. The present invention does not limit the structure of the logic circuit 231. In this embodiment, the logic circuit 231 is an XOR gate.

D型正反器233的輸入端D接收輸出信號CKO,其時脈端CK接收延遲信號TMO_delay,D型正反器233的輸出端Q用以提供一判斷信號Q2。在本實施例中,當延遲信號TMO_delay的位準由第一位準變化至第二位準時,D型正反器233將輸出信號CKO作為一判斷信號Q2。在一可能實施例中,當判斷信號Q2等於第一位準(如低位準)時,表示參考信號Q1的位準等於延遲信號Q1_delay的位準。當判斷信號Q2等於第二位準(如高位準)時,表示參考信號Q1的位準不等於延遲信號Q1_delay的位準。The input terminal D of the D-type flip-flop 233 receives the output signal CKO, the clock terminal CK receives the delay signal TMO_delay, and the output terminal Q of the D-type flip-flop 233 is used to provide a judgment signal Q2. In this embodiment, when the level of the delay signal TMO_delay changes from the first level to the second level, the D-type flip-flop 233 uses the output signal CKO as a judgment signal Q2. In a possible embodiment, when the judgment signal Q2 is equal to the first level (such as the low level), it means that the level of the reference signal Q1 is equal to the level of the delay signal Q1_delay. When the judgment signal Q2 is equal to the second level (for example, the high level), it means that the level of the reference signal Q1 is not equal to the level of the delay signal Q1_delay.

邏輯電路232耦接D型正反器233的輸出端Q,用以接收判斷信號Q2。在本實施例中,邏輯電路232根據判斷信號Q2以及外部信號SLEEP的位準,決定是否致能喚醒信號WKU。舉例而言,當外部信號SLEEP等於一特定位準(如低位準)時,表示發生一喚醒事件。此時,如果判斷信號Q2等於第一位準(如低位準)時,邏輯電路232致能喚醒信號WKU。然而,當判斷信號Q2等於第二位準(如高位準)時,即使發生一喚醒事件,邏輯電路232不致能喚醒信號WKU。The logic circuit 232 is coupled to the output terminal Q of the D-type flip-flop 233 for receiving the judgment signal Q2. In this embodiment, the logic circuit 232 determines whether to enable the wake-up signal WKU according to the level of the judgment signal Q2 and the external signal SLEEP. For example, when the external signal SLEEP is equal to a specific level (such as a low level), it indicates that a wake-up event has occurred. At this time, if the judgment signal Q2 is equal to the first level (such as the low level), the logic circuit 232 enables the wake-up signal WKU. However, when the judgment signal Q2 is equal to the second level (such as the high level), even if a wake-up event occurs, the logic circuit 232 cannot enable the wake-up signal WKU.

在另一實施例中,當外部信號SLEEP不等於特定位準時,表示未發生一喚醒事件。因此,邏輯電路232不致能喚醒信號WKU。此時,即使判斷信號Q2等於第一位準,邏輯電路232也不致能喚醒信號WKU。本發明並不限定邏輯電路232的架構。在一可能實施例中,邏輯電路232係為一或閘(OR gate)。In another embodiment, when the external signal SLEEP is not equal to a specific level, it means that a wake-up event has not occurred. Therefore, the logic circuit 232 cannot enable the wake-up signal WKU. At this time, even if the judgment signal Q2 is equal to the first level, the logic circuit 232 cannot enable the wake-up signal WKU. The present invention does not limit the structure of the logic circuit 232. In a possible embodiment, the logic circuit 232 is an OR gate.

在其它實施例中,邏輯電路232根據判斷信號Q2以及延遲信號SL_latch的位準,決定是否致能喚醒信號WKU。在此例中,延遲信號SL_latch為外部信號SLEEP的延遲信號。延遲信號SL_latch可能由一外部裝置(如計時電路111)所產生。在一些實施例中,電壓監控模組200更包括一延遲電路(未顯示)。該延遲電路接收並延遲外部信號SLEEP,用以產生延遲信號SL_latch。In other embodiments, the logic circuit 232 determines whether to enable the wake-up signal WKU according to the levels of the determination signal Q2 and the delay signal SL_latch. In this example, the delay signal SL_latch is the delay signal of the external signal SLEEP. The delay signal SL_latch may be generated by an external device (such as the timing circuit 111). In some embodiments, the voltage monitoring module 200 further includes a delay circuit (not shown). The delay circuit receives and delays the external signal SLEEP to generate the delay signal SL_latch.

當延遲信號SL_latch等於一特定位準時,表示發生一喚醒事件。此時,如果判斷信號Q2等於第一位準(如低位準)時,邏輯電路232致能喚醒信號WKU。然而,當判斷信號Q2等於第二位準(如高位準)時,即使發生一喚醒事件,邏輯電路232不致能喚醒信號WKU。在另一實施例中,當延遲信號SL_latch不等於特定位準時,表示未發生一喚醒事件。因此,邏輯電路232不致能喚醒信號WKU。此時,即使判斷信號Q2等於第一位準,邏輯電路232也不致能喚醒信號WKU。When the delay signal SL_latch is equal to a specific level, it indicates that a wake-up event has occurred. At this time, if the judgment signal Q2 is equal to the first level (such as the low level), the logic circuit 232 enables the wake-up signal WKU. However, when the judgment signal Q2 is equal to the second level (such as the high level), even if a wake-up event occurs, the logic circuit 232 cannot enable the wake-up signal WKU. In another embodiment, when the delay signal SL_latch is not equal to a specific level, it means that a wake-up event has not occurred. Therefore, the logic circuit 232 cannot enable the wake-up signal WKU. At this time, even if the judgment signal Q2 is equal to the first level, the logic circuit 232 cannot enable the wake-up signal WKU.

第3圖為第2圖的電壓監控模組的信號示意圖。當一喚醒事件發生時,外部信號SLEEP的位準發生變化。在一可能實施例中,外部信號SLEEP由一高位準變化至一低位準,但並非用以限制本發明。在其它實施例中,當發生一喚醒事件時,外部信號SLEEP由一低位準變化至一高位準。Figure 3 is a schematic diagram of the signals of the voltage monitoring module shown in Figure 2. When a wake-up event occurs, the level of the external signal SLEEP changes. In a possible embodiment, the external signal SLEEP changes from a high level to a low level, but it is not intended to limit the present invention. In other embodiments, when a wake-up event occurs, the external signal SLEEP changes from a low level to a high level.

由於外部信號SLEEP等於一特定位準(如低位準),故計時電路111開始進行一計時操作。在本實施例中,計時電路111每隔一固定時間TF1,致能觸發信號TMO。當觸發信號TMO被致能時,觸發信號TMO的位準發生變化,如由一低位準變化至高位準,並維持一固定時間TF2後,再由高位準回復到低位準。然後,計時電路111再次進行計時操作。Since the external signal SLEEP is equal to a specific level (such as a low level), the timing circuit 111 starts a timing operation. In this embodiment, the timing circuit 111 enables the trigger signal TMO every fixed time TF1. When the trigger signal TMO is enabled, the level of the trigger signal TMO changes, such as changing from a low level to a high level and maintaining a fixed time TF2, and then returning from the high level to the low level. Then, the timing circuit 111 performs a timing operation again.

在觸發信號TMO被致能時,參考信號Q1的位準發生變化。在本實施例中,參考信號Q1的初始位準係為一低位準。因此,當觸發信號TMO被致能時,參考信號Q1由低位準變化至一高位準,並維持在高位準,直到觸發信號TMO再次被致能。在其它實施例中,如果參考信號Q1的初始位準係為一高位準時,則觸發信號TMO被致能時,參考信號Q1係由高位準變化至一低位準。When the trigger signal TMO is enabled, the level of the reference signal Q1 changes. In this embodiment, the initial level of the reference signal Q1 is a low level. Therefore, when the trigger signal TMO is enabled, the reference signal Q1 changes from a low level to a high level, and remains at a high level until the trigger signal TMO is enabled again. In other embodiments, if the initial level of the reference signal Q1 is a high level, when the trigger signal TMO is enabled, the reference signal Q1 changes from a high level to a low level.

由於延遲電路220延遲參考信號Q1,故延遲信號Q1_delay落後參考信號Q1。在本實施例中,由於延遲電路220接收操作電壓VDDR,故在操作電壓VDDR逐漸上升時,延遲信號Q1_delay與參考信號Q1之間的延遲時間逐漸減少。當操作電壓VDDR達一預期電壓時,延遲信號Q1_delay與參考信號Q1之間的延遲時間維持在一固定值。Since the delay circuit 220 delays the reference signal Q1, the delay signal Q1_delay lags behind the reference signal Q1. In this embodiment, since the delay circuit 220 receives the operating voltage VDDR, when the operating voltage VDDR gradually rises, the delay time between the delay signal Q1_delay and the reference signal Q1 gradually decreases. When the operating voltage VDDR reaches a desired voltage, the delay time between the delay signal Q1_delay and the reference signal Q1 is maintained at a fixed value.

另外,由於延遲電路240延遲觸發信號TMO,故延遲信號TMO_delay落後觸發信號TMO。在時間a,由於延遲信號TMO_delay由一低位準變化至一高位準,故判斷電路230判斷參考信號Q1的位準是否相同於延遲信號Q1_delay的位準。此時,由於參考信號Q1的位準不同於延遲信號Q1_delay的位準,故判斷信號Q2的位準維持不變。在本實施例中,判斷信號Q2維持於一高位準。In addition, since the delay circuit 240 delays the trigger signal TMO, the delay signal TMO_delay lags behind the trigger signal TMO. At time a, since the delay signal TMO_delay changes from a low level to a high level, the determining circuit 230 determines whether the level of the reference signal Q1 is the same as the level of the delay signal Q1_delay. At this time, since the level of the reference signal Q1 is different from the level of the delay signal Q1_delay, the level of the judgment signal Q2 remains unchanged. In this embodiment, the judgment signal Q2 is maintained at a high level.

在時間b,由於延遲信號TMO_delay再次由低位準變化至高位準,故判斷電路230再次判斷參考信號Q1的位準是否相同於延遲信號Q1_delay的位準。此時,由於參考信號Q1的位準相同於延遲信號Q1_delay的位準,表示操作電壓VDDR已達一預期電壓。因此。判斷信號Q2的位準發生變化。此時,喚醒信號WKU被致能。At time b, since the delay signal TMO_delay changes from a low level to a high level again, the determining circuit 230 again determines whether the level of the reference signal Q1 is the same as the level of the delay signal Q1_delay. At this time, since the level of the reference signal Q1 is the same as the level of the delay signal Q1_delay, it indicates that the operating voltage VDDR has reached an expected voltage. therefore. The level of the judgment signal Q2 changes. At this time, the wake-up signal WKU is enabled.

本發明並不限定喚醒信號WKU被致能時的位準。在一可能實施例中,喚醒信號WKU被致能時,喚醒信號WKU也等於特定位準(如低位準)。在本實施例中,喚醒信號WKU與外部信號SLEEP之間具有一延遲時間,其中該延遲時間取決於操作電壓VDDR達一預期電壓的時間。舉例而言,當操作電壓VDDR達預期電壓的時間愈長,則喚醒信號WKU與外部信號SLEEP之間的延遲時間愈長。The present invention does not limit the level when the wake-up signal WKU is enabled. In a possible embodiment, when the wake-up signal WKU is enabled, the wake-up signal WKU is also equal to a specific level (such as a low level). In this embodiment, there is a delay time between the wake-up signal WKU and the external signal SLEEP, where the delay time depends on the time for the operating voltage VDDR to reach an expected voltage. For example, when the operating voltage VDDR reaches the expected voltage, the longer the delay time between the wake-up signal WKU and the external signal SLEEP is.

第4A圖為本發明之計時電路的示意圖。如圖所示,計時電路400包括一計數電路410、一判斷電路420以及一重置電路440。計數電路410根據外部信號SLEEP的位準,判斷是否發生一喚醒事件。在本實施例中,計數電路410包括一判斷電路411以及一計數器412。判斷電路411接收一時脈信號CLK,並根據外部信號SLEEP,決定是否提供時脈信號CLK予計數器412。舉例而言,當外部信號SLEEP等於一特定位準時,表示發生喚醒事件。因此,判斷電路411輸出時脈信號CLK予計數器412。然而,當外部信號SLEEP不等於一特定位準時,表示未發生喚醒事件。因此,判斷電路411不輸出時脈信號CLK予計數器412。Figure 4A is a schematic diagram of the timing circuit of the present invention. As shown in the figure, the timing circuit 400 includes a counting circuit 410, a judging circuit 420, and a reset circuit 440. The counting circuit 410 determines whether a wake-up event occurs according to the level of the external signal SLEEP. In this embodiment, the counting circuit 410 includes a judging circuit 411 and a counter 412. The judging circuit 411 receives a clock signal CLK, and determines whether to provide the clock signal CLK to the counter 412 according to the external signal SLEEP. For example, when the external signal SLEEP is equal to a specific level, it indicates that a wake-up event has occurred. Therefore, the judging circuit 411 outputs the clock signal CLK to the counter 412. However, when the external signal SLEEP is not equal to a specific level, it means that no wake-up event has occurred. Therefore, the judging circuit 411 does not output the clock signal CLK to the counter 412.

計數器412根據時脈信號CLK,執行一計數操作,用以調整一計數值VLC。本發明並不限定計數器412的種類。在一可能實施例中,計數器412係為一上數計數器(up counter)。在另一可能實施例中,計數器412係為一下數計數器(down counter)。The counter 412 performs a counting operation according to the clock signal CLK to adjust a count value VLC. The invention does not limit the type of the counter 412. In one possible embodiment, the counter 412 is an up counter. In another possible embodiment, the counter 412 is a down counter.

判斷電路420判斷計數值VLC是否達一目標值VLT。當計數值VLC達目標值VLT時,表示計數器412執行計數操作的持續時間已達一預設值(如第3圖的固定時間TF1)。因此,判斷電路420致能觸發信號TMO。當計數值VLC未達目標值VLT時,表示計數器412執行計數操作的持續時間未達一預設值。因此,判斷電路420不致能觸發信號TMO。在一可能實施例中,計時電路400更包括一暫存器430,用以儲存目標值VLT。暫存器430根據一設定信號SET,設定本身的數值。判斷電路420讀取暫存器430及計數器412,用以取得目標值VLT及計數值VLC。The judging circuit 420 judges whether the count value VLC reaches a target value VLT. When the count value VLC reaches the target value VLT, it means that the duration of the counting operation performed by the counter 412 has reached a preset value (for example, the fixed time TF1 in FIG. 3). Therefore, the judgment circuit 420 enables the trigger signal TMO. When the count value VLC does not reach the target value VLT, it means that the duration of the counting operation performed by the counter 412 has not reached a preset value. Therefore, the judgment circuit 420 cannot enable the trigger signal TMO. In one possible embodiment, the timing circuit 400 further includes a register 430 for storing the target value VLT. The register 430 sets its own value according to a setting signal SET. The judgment circuit 420 reads the register 430 and the counter 412 to obtain the target value VLT and the count value VLC.

重置電路440根據觸發信號TMO,重置計數器412,使得計數值VLC回到一初始值。在本實施例中,當判斷電路420致能觸發信號TMO時,表示計數器412執行計數操作的持續時間已達一固定時間。因此,重置電路440重置計數器412。本發明並不限定重置電路440的架構。在一可能實施例中,重置電路440包括一邏輯電路441。邏輯電路441反相觸發信號TMO,用以產生一反相信號TMO_inv。本發明並不限定邏輯電路441的架構。邏輯電路441可能為一反閘(NOT gate)。The reset circuit 440 resets the counter 412 according to the trigger signal TMO, so that the count value VLC returns to an initial value. In this embodiment, when the determination circuit 420 enables the trigger signal TMO, it indicates that the duration of the counting operation performed by the counter 412 has reached a fixed time. Therefore, the reset circuit 440 resets the counter 412. The present invention does not limit the structure of the reset circuit 440. In a possible embodiment, the reset circuit 440 includes a logic circuit 441. The logic circuit 441 inverts the trigger signal TMO to generate an inverted signal TMO_inv. The present invention does not limit the structure of the logic circuit 441. The logic circuit 441 may be a NOT gate.

第4B圖為本發明之計時電路的另一示意圖。第4B圖相似第4A圖,不同之處在於,第4B圖多了一延遲電路450。延遲電路450耦接判斷電路420。當觸發信號TMO被致能時,延遲電路450延遲外部信號SLEEP,用以產生一延遲信號SL_latch(或稱一閂鎖信號)。本發明並不限定延遲電路450的架構。在一可能實施例中,延遲電路450係為一D型正反器451。D型正反器451的輸入端D接收外部信號SLEEP。D型正反器451的時脈端CK接收觸發信號TMO。D型正反器451的輸出端Q提供延遲信號SL_latch。Figure 4B is another schematic diagram of the timing circuit of the present invention. Fig. 4B is similar to Fig. 4A, except that Fig. 4B has an additional delay circuit 450. The delay circuit 450 is coupled to the judgment circuit 420. When the trigger signal TMO is enabled, the delay circuit 450 delays the external signal SLEEP to generate a delay signal SL_latch (or called a latch signal). The invention does not limit the structure of the delay circuit 450. In a possible embodiment, the delay circuit 450 is a D-type flip-flop 451. The input terminal D of the D-type flip-flop 451 receives the external signal SLEEP. The clock terminal CK of the D-type flip-flop 451 receives the trigger signal TMO. The output terminal Q of the D-type flip-flop 451 provides the delay signal SL_latch.

另外,第4B圖的重置電路440更包括邏輯電路442及443。邏輯電路443反相外部信號SLEEP,用以產生一反相信號SL_inv。在一可能實施例中,邏輯電路443係為一反閘。邏輯電路442根據反相信號SL_inv及TMO_inv,致能一重置信號CLR。舉例而言,當反相信號SL_inv及TMO_inv之一者等於一特定位準時,邏輯電路442致能重置信號CLR,用以重置計數器412。當反相信號SL_inv及TMO_inv均不等於一特定位準時,邏輯電路442不致能重置信號CLR。在一可能實施例中,邏輯電路442係為一及閘(AND gate)。In addition, the reset circuit 440 in FIG. 4B further includes logic circuits 442 and 443. The logic circuit 443 inverts the external signal SLEEP to generate an inverted signal SL_inv. In one possible embodiment, the logic circuit 443 is a flip-flop. The logic circuit 442 enables a reset signal CLR according to the inverted signals SL_inv and TMO_inv. For example, when one of the inverted signals SL_inv and TMO_inv is equal to a specific level, the logic circuit 442 enables the reset signal CLR to reset the counter 412. When the inverted signals SL_inv and TMO_inv are not equal to a specific level, the logic circuit 442 does not enable the reset signal CLR. In one possible embodiment, the logic circuit 442 is an AND gate.

第5圖為第4B圖的計數電路的信號示意圖。在一可能實施例中,當暫存器430接收到設定信號SET時,暫存器430儲存一數值(如2)。在此例中,目標值VLT為數值2。當外部信號SLEEP不等於一特定位準(如低位準)時,判斷電路411不輸出時脈信號CLK予計數器412。因此,判斷電路411的輸出信號O411維持不變,如維持在一低位準。Fig. 5 is a signal schematic diagram of the counting circuit in Fig. 4B. In a possible embodiment, when the register 430 receives the setting signal SET, the register 430 stores a value (such as 2). In this example, the target value VLT is the value 2. When the external signal SLEEP is not equal to a specific level (such as a low level), the determining circuit 411 does not output the clock signal CLK to the counter 412. Therefore, the output signal O411 of the judgment circuit 411 remains unchanged, such as at a low level.

當外部信號SLEEP等於一特定位準時,表示發生一喚醒事件。因此,判斷電路411輸出時脈信號CLK予計數器412。此時,判斷電路411的輸出信號O411等於時脈信號CLK。在計數器412接收到時脈信號CLK後,計數器412開始進行一計數操作,並調整計數值VLC。當計數值VLC等於數值2時,觸發信號TMO被致能,並且重置電路440重置計數值VLC。因此,計數值VLC回復到一初始值,如0。另外,當觸發信號TMO被致能時,延遲電路450設定延遲信號SL_latch的位準等於外部信號SLEEP的位準(如低位準)。When the external signal SLEEP is equal to a specific level, it indicates that a wake-up event has occurred. Therefore, the judging circuit 411 outputs the clock signal CLK to the counter 412. At this time, the output signal O411 of the judgment circuit 411 is equal to the clock signal CLK. After the counter 412 receives the clock signal CLK, the counter 412 starts a counting operation and adjusts the count value VLC. When the count value VLC is equal to the value 2, the trigger signal TMO is enabled, and the reset circuit 440 resets the count value VLC. Therefore, the count value VLC returns to an initial value, such as zero. In addition, when the trigger signal TMO is enabled, the delay circuit 450 sets the level of the delay signal SL_latch to be equal to the level of the external signal SLEEP (such as a low level).

由於計數電路400每隔一固定時間(即計數器412的計數值VLC由數值0增加至數值2的時間)致能觸發信號TMO,故後端的電壓監控模組可在觸發信號TMO被致能時,判斷操作電壓VDDR是否達一預期電壓。在操作電壓VDDR達一預期電壓時,電壓監控模組致能一喚醒信號WKU,用以喚醒後續的電路(即接收喚醒信號WKU的電路)。當後續的電路係依據操作電壓VDDR而動作時,由於操作電壓VDDR已達一預期電壓,故可避免後續的電路誤動作。Since the counting circuit 400 enables the trigger signal TMO at regular intervals (that is, the time when the count value VLC of the counter 412 increases from a value of 0 to a value of 2), the voltage monitoring module at the back end can activate the trigger signal TMO when the trigger signal TMO is enabled. It is determined whether the operating voltage VDDR reaches an expected voltage. When the operating voltage VDDR reaches an expected voltage, the voltage monitoring module enables a wake-up signal WKU to wake up the subsequent circuit (ie, the circuit that receives the wake-up signal WKU). When the subsequent circuit operates according to the operating voltage VDDR, since the operating voltage VDDR has reached an expected voltage, the subsequent malfunction of the circuit can be avoided.

除非另作定義,在此所有詞彙(包含技術與科學詞彙)均屬本發明所屬技術領域中具有通常知識者之一般理解。此外,除非明白表示,詞彙於一般字典中之定義應解釋為與其相關技術領域之文章中意義一致,而不應解釋為理想狀態或過分正式之語態。雖然“第一”、“第二”等術語可用於描述各種元件,但這些元件不應受這些術語的限制。這些術語只是用以區分一個元件和另一個元件。Unless otherwise defined, all vocabulary (including technical and scientific vocabulary) herein belong to the general understanding of persons with ordinary knowledge in the technical field of the present invention. In addition, unless expressly stated, the definition of a word in a general dictionary should be interpreted as consistent with the meaning in an article in its related technical field, and should not be interpreted as an ideal state or an overly formal voice. Although terms such as "first" and "second" can be used to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another.

雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾。舉例來說,本發明實施例所述之系統、裝置或是方法可以硬體、軟體或硬體以及軟體的組合的實體實施例加以實現。因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。Although the present invention has been disclosed as above in the preferred embodiment, it is not intended to limit the present invention. Anyone with ordinary knowledge in the relevant technical field can make some changes and modifications without departing from the spirit and scope of the present invention. . For example, the system, device, or method described in the embodiment of the present invention can be implemented in a physical embodiment of hardware, software, or a combination of hardware and software. Therefore, the scope of protection of the present invention shall be subject to the scope of the attached patent application.

100:操作系統 110:控制電路 120:微控制電路 SLEEP:外部信號 WKU:喚醒信號 111、400:計時電路 112、200:電壓監控模組 TMO:觸發信號 VDDR:操作電壓 SL_latch:延遲信號 VDDQ:操作電壓 210:信號產生電路 220、240、450:延遲電路 230、411、420:判斷電路 Q1:參考信號 Q2:判斷信號 211:反相器 212、233、451:D型正反器 Q1_delay、TMO_delay:延遲信號 Tune_1、Tune_2:調整信號 231、232、441~443:邏輯電路 CKO:輸出信號 410:計數電路 412:計數器 430:暫存器 440:重置電路 VLT:目標值 VLC:計數值 SL_inv、TMO_ivn:反相信號100: operating system 110: control circuit 120: Micro control circuit SLEEP: external signal WKU: Wake-up signal 111, 400: timing circuit 112, 200: Voltage monitoring module TMO: trigger signal VDDR: Operating voltage SL_latch: Delayed signal VDDQ: operating voltage 210: signal generating circuit 220, 240, 450: delay circuit 230, 411, 420: judgment circuit Q1: Reference signal Q2: Judgment signal 211: Inverter 212, 233, 451: D-type flip-flop Q1_delay, TMO_delay: Delay signal Tune_1, Tune_2: adjust the signal 231, 232, 441~443: logic circuit CKO: output signal 410: Counting Circuit 412: Counter 430: register 440: reset circuit VLT: target value VLC: count value SL_inv, TMO_ivn: inverted signal

第1圖為本發明之操作系統的示意圖。 第2圖為本發明之電壓監控模組的一可能示意圖。 第3圖為本發明之電壓監控模組的信號示意圖。 第4A圖為本發明之計時電路的示意圖。 第4B圖為本發明之計時電路的另一示意圖。 第5圖為第4B圖的計數電路的信號示意圖。 Figure 1 is a schematic diagram of the operating system of the present invention. Figure 2 is a possible schematic diagram of the voltage monitoring module of the present invention. Figure 3 is a schematic diagram of the signals of the voltage monitoring module of the present invention. Figure 4A is a schematic diagram of the timing circuit of the present invention. Figure 4B is another schematic diagram of the timing circuit of the present invention. Fig. 5 is a signal schematic diagram of the counting circuit in Fig. 4B.

100:操作系統 100: operating system

110:控制電路 110: control circuit

120:微控制電路 120: Micro control circuit

SLEEP:外部信號 SLEEP: external signal

WKU:喚醒信號 WKU: Wake-up signal

111:計時電路 111: Timing circuit

112:電壓監控模組 112: Voltage monitoring module

TMO:觸發信號 TMO: trigger signal

VDDR、VDDQ:操作電壓 VDDR, VDDQ: operating voltage

SL_latch:延遲信號 SL_latch: Delayed signal

Claims (10)

一種控制電路,包括: 一計時電路,當一喚醒事件發生時,每隔一固定時間,致能一觸發信號;以及 一電壓監控模組,用以監控一操作電壓是否達到一預期電壓,並包括: 一信號產生電路,根據該觸發信號,產生一參考信號; 一第一延遲電路,接收該操作電壓,並延遲該參考信號,用以產生一第一延遲信號; 一第二延遲電路,延遲該觸發信號,用以產生一第二延遲信號;以及 一第一判斷電路,當該喚醒事件發生時,根據該參考信號、該第一延遲信號以及該第二延遲信號,致能一喚醒信號。 A control circuit, including: A timing circuit that enables a trigger signal at regular intervals when a wake-up event occurs; and A voltage monitoring module is used to monitor whether an operating voltage reaches an expected voltage, and includes: A signal generating circuit generates a reference signal according to the trigger signal; A first delay circuit that receives the operating voltage and delays the reference signal to generate a first delay signal; A second delay circuit for delaying the trigger signal to generate a second delay signal; and A first judgment circuit, when the wake-up event occurs, enables a wake-up signal according to the reference signal, the first delay signal and the second delay signal. 如請求項1之控制電路,其中該信號產生電路包括: 一第一D型正反器,具有一第一輸入端、一第一時脈端以及一第一輸出端,該第一時脈端接收該觸發信號;以及 一第一反相器,耦接於該第一輸入端及該第一輸出端之間。 Such as the control circuit of claim 1, wherein the signal generating circuit includes: A first D-type flip-flop with a first input terminal, a first clock terminal and a first output terminal, the first clock terminal receiving the trigger signal; and A first inverter is coupled between the first input terminal and the first output terminal. 如請求項1之控制電路,其中該第一判斷電路包括: 一第一邏輯電路,接收該參考信號及該第一延遲信號,用以產生一輸出信號,其中當該參考信號等於該第一延遲信號時,該輸出信號等於一第一位準,當該參考信號不等於該第一延遲信號時,該輸出信號等於一第二位準,該第二位準相對於該第一位準; 一第二D型正反器,具有一第二輸入端、一第二時脈端以及一第二輸出端,該第二輸入端接收該輸出信號,該第二時脈端接收該第二延遲信號;以及 一第二邏輯電路,耦接該第二D型正反器的該第二輸出端。 For example, the control circuit of claim 1, wherein the first judgment circuit includes: A first logic circuit receives the reference signal and the first delay signal to generate an output signal. When the reference signal is equal to the first delay signal, the output signal is equal to a first level, and when the reference signal is equal to the first delay signal, the output signal is equal to a first level. When the signal is not equal to the first delayed signal, the output signal is equal to a second level, and the second level is relative to the first level; A second D-type flip-flop with a second input terminal, a second clock terminal, and a second output terminal. The second input terminal receives the output signal, and the second clock terminal receives the second delay Signal; and A second logic circuit is coupled to the second output terminal of the second D-type flip-flop. 如請求項3之控制電路,其中當該第二延遲信號由該第一位準變化至該第二位準時,該第二D型正反器提供該輸出信號予該第二邏輯電路。Such as the control circuit of claim 3, wherein when the second delay signal changes from the first level to the second level, the second D-type flip-flop provides the output signal to the second logic circuit. 如請求項4之控制電路,其中當該喚醒事件發生並且該輸出信號等於該第一位準時,該第二邏輯電路致能該喚醒信號,當該喚醒事件未發生或是該輸出信號等於該第二位準時,該第二邏輯電路不致能該喚醒信號。For example, the control circuit of claim 4, wherein when the wake-up event occurs and the output signal is equal to the first level, the second logic circuit enables the wake-up signal, and when the wake-up event does not occur or the output signal is equal to the first level When the two bits are on time, the second logic circuit does not enable the wake-up signal. 如請求項5之控制電路,其中: 該參考信號與該第一延遲信號之間具有一第一延遲時間,以及 該觸發信號與該第二延遲信號之間具有一第二延遲時間,該第一延遲時間不同於該第二延遲時間。 Such as the control circuit of claim 5, where: There is a first delay time between the reference signal and the first delayed signal, and There is a second delay time between the trigger signal and the second delay signal, and the first delay time is different from the second delay time. 如請求項1之控制電路,其中該計時電路包括: 一計數電路,當該喚醒事件發生時,根據一時脈信號,調整一計數值; 一第二判斷電路,判斷該計數值是否達一目標值,當該計數值達該目標值時,該第二判斷電路致能該觸發信號;以及 一重置電路,當該第二判斷電路致能該觸發信號時,重置該計數值。 Such as the control circuit of claim 1, wherein the timing circuit includes: A counting circuit, when the wake-up event occurs, adjust a count value according to a clock signal; A second judgment circuit for judging whether the count value reaches a target value, and when the count value reaches the target value, the second judgment circuit enables the trigger signal; and A reset circuit resets the count value when the second judgment circuit enables the trigger signal. 如請求項7之控制電路,其中該重置電路包括: 一第二反相器,反相該觸發信號,用以產生一反相信號; 其中該計數電路根據該反相信號,重置該計數值。 Such as the control circuit of claim 7, wherein the reset circuit includes: A second inverter to invert the trigger signal to generate an inverted signal; The counting circuit resets the count value according to the inverted signal. 一種操作系統,包括: 一微控制電路,接收一第一操作電壓,當該第一操作電壓小於一預期電壓時,該微控制電路進入一休眠模式,當一喚醒信號被致能時,該微控制電路離開該休眠模式並進入一正常模式,在該正常模式下,該微控制電路根據該第一操作電壓而動作;以及 一控制電路,當一喚醒事件發生時,判斷該第一操作電壓是否達該預期電壓,當該第一操作電壓達該預期電壓時,該控制電路致能該喚醒信號,其中該控制電路包括: 一計時電路,當該喚醒事件發生時,每隔一固定時間,致能一觸發信號;以及 一電壓監控模組,根據該觸發信號,監控該第一操作電壓是否達到一預期電壓,當該第一操作電壓達該預期電壓時,該電壓監控模組致能該喚醒信號。 An operating system including: A micro-control circuit receives a first operating voltage, when the first operating voltage is less than an expected voltage, the micro-control circuit enters a sleep mode, and when a wake-up signal is enabled, the micro-control circuit leaves the sleep mode And enter a normal mode, in which the micro-control circuit operates according to the first operating voltage; and A control circuit, when a wake-up event occurs, determines whether the first operating voltage reaches the expected voltage, and when the first operating voltage reaches the expected voltage, the control circuit enables the wake-up signal, wherein the control circuit includes: A timing circuit that enables a trigger signal at regular intervals when the wake-up event occurs; and A voltage monitoring module monitors whether the first operating voltage reaches an expected voltage according to the trigger signal, and when the first operating voltage reaches the expected voltage, the voltage monitoring module enables the wake-up signal. 如請求項9之操作系統,其中該電壓監控模組包括: 一信號產生電路,根據該觸發信號,產生一參考信號; 一第一延遲電路,接收該第一操作電壓,並延遲該參考信號,用以產生一第一延遲信號; 一第二延遲電路,延遲該觸發信號,用以產生一第二延遲信號;以及 一判斷電路,當該喚醒事件發生時,根據該參考信號、該第一延遲信號以及該第二延遲信號,致能該喚醒信號。 For example, the operating system of claim 9, wherein the voltage monitoring module includes: A signal generating circuit generates a reference signal according to the trigger signal; A first delay circuit that receives the first operating voltage and delays the reference signal to generate a first delay signal; A second delay circuit for delaying the trigger signal to generate a second delay signal; and A judgment circuit, when the wake-up event occurs, enables the wake-up signal according to the reference signal, the first delay signal and the second delay signal.
TW109147014A 2020-12-31 2020-12-31 Control circuit and operation system TWI749979B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW109147014A TWI749979B (en) 2020-12-31 2020-12-31 Control circuit and operation system
CN202111552730.9A CN114691221B (en) 2020-12-31 2021-12-17 Control circuit and operating system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109147014A TWI749979B (en) 2020-12-31 2020-12-31 Control circuit and operation system

Publications (2)

Publication Number Publication Date
TWI749979B true TWI749979B (en) 2021-12-11
TW202227835A TW202227835A (en) 2022-07-16

Family

ID=80681331

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109147014A TWI749979B (en) 2020-12-31 2020-12-31 Control circuit and operation system

Country Status (2)

Country Link
CN (1) CN114691221B (en)
TW (1) TWI749979B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI842073B (en) * 2022-08-30 2024-05-11 新唐科技股份有限公司 Control circuit, method and electronic device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005067144A1 (en) * 2003-12-23 2005-07-21 Microchip Technology Incorporated Wake-up reset circuit
US20100162063A1 (en) * 2008-12-19 2010-06-24 Arm Limited Control of clock gating
CN103809994A (en) * 2012-11-13 2014-05-21 建兴电子科技股份有限公司 Solid-state storage device and sleep control circuit thereof
TW201813303A (en) * 2016-07-21 2018-04-01 新唐科技股份有限公司 Mitigation of long wake-up delay of a crystal oscillator
TWI676879B (en) * 2018-05-11 2019-11-11 瑞昱半導體股份有限公司 Clock management circuit and clock management method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7282972B2 (en) * 2005-07-29 2007-10-16 Micron Technology, Inc. Bias generator with feedback control
CN101452306B (en) * 2007-11-30 2010-10-27 瑞昱半导体股份有限公司 Delay grade selective circuit and correlation method thereof
CN101355256B (en) * 2008-06-04 2013-04-17 李幸 Electric power system for power adapter
TWI568182B (en) * 2012-03-09 2017-01-21 鈺創科技股份有限公司 Input receiver and operation method thereof
CN104539272A (en) * 2014-11-27 2015-04-22 英业达科技有限公司 Computer system provided with wake-up circuit
TWI528156B (en) * 2014-12-09 2016-04-01 英業達股份有限公司 Computing system having wake-up circuit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005067144A1 (en) * 2003-12-23 2005-07-21 Microchip Technology Incorporated Wake-up reset circuit
US20100162063A1 (en) * 2008-12-19 2010-06-24 Arm Limited Control of clock gating
CN103809994A (en) * 2012-11-13 2014-05-21 建兴电子科技股份有限公司 Solid-state storage device and sleep control circuit thereof
TW201813303A (en) * 2016-07-21 2018-04-01 新唐科技股份有限公司 Mitigation of long wake-up delay of a crystal oscillator
TWI676879B (en) * 2018-05-11 2019-11-11 瑞昱半導體股份有限公司 Clock management circuit and clock management method

Also Published As

Publication number Publication date
CN114691221B (en) 2023-12-15
TW202227835A (en) 2022-07-16
CN114691221A (en) 2022-07-01

Similar Documents

Publication Publication Date Title
US9778711B2 (en) Control device and reset system utilizing the same
EP2519949B1 (en) Controlling clock input buffers
US6985025B1 (en) System for adjusting a power supply level of a digital processing component and method of operating the same
US11936394B2 (en) Method and apparatus for controlling clock cycle time
TWI749979B (en) Control circuit and operation system
JP4127283B2 (en) Reset circuit and digital communication device
JPH10177058A (en) Integrated circuit having speed detector
US8738940B2 (en) Power controller for SoC power gating applications
KR950003648Y1 (en) Power on reset circuit
JP2003273716A (en) Power on reset circuit
US20080133957A1 (en) Circuit to Reduce Power Supply Fluctuations in High Frequency/High Power Circuits
US7042267B1 (en) Gated clock circuit with a substantially increased control signal delay
US11962306B2 (en) Clock anomaly detection
US11656277B2 (en) Clock anomaly detection with dynamic calibration
US6556057B2 (en) Noise suppression circuitry and method
CN115459720A (en) Audio power amplifier circuit and duty ratio modulation circuit and noise suppression circuit thereof
US11784635B1 (en) Control circuit and operation system
WO2022032526A1 (en) Monitoring sensor and chip
US6496078B1 (en) Activating on-chip oscillator using ring oscillator
US20190346875A1 (en) Clock management circuit and clock management method
US8446189B2 (en) Power-on reset circuit
TWI740764B (en) Signal generation circuit and operation system
US11860686B2 (en) Clock oscillator control circuit
TWI796881B (en) Wake-up circuit and processing circuit utilizing the same
US12079028B2 (en) Fast clock detection