TWI749698B - 用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品 - Google Patents

用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品 Download PDF

Info

Publication number
TWI749698B
TWI749698B TW109127236A TW109127236A TWI749698B TW I749698 B TWI749698 B TW I749698B TW 109127236 A TW109127236 A TW 109127236A TW 109127236 A TW109127236 A TW 109127236A TW I749698 B TWI749698 B TW I749698B
Authority
TW
Taiwan
Prior art keywords
image
deep learning
substrate
features
feature
Prior art date
Application number
TW109127236A
Other languages
English (en)
Other versions
TW202113633A (zh
Inventor
安卓尼斯 康納力司 馬修士 庫博曼
史考特 安德森 米德雷布魯克斯
安東尼 蓋斯頓 馬利 凱爾斯
馬克 約翰 莫斯羅
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202113633A publication Critical patent/TW202113633A/zh
Application granted granted Critical
Publication of TWI749698B publication Critical patent/TWI749698B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/241Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches
    • G06F18/2413Classification techniques relating to the classification model, e.g. parametric or non-parametric approaches based on distances to training or reference patterns
    • G06F18/24133Distances to prototypes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/243Classification techniques relating to the number of classes
    • G06F18/2431Multiple classes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/11Region-based segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/764Arrangements for image or video recognition or understanding using pattern recognition or machine learning using classification, e.g. of video objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

本文中描述一種用於訓練一圖案化程序之一深度學習模型的方法。該方法包括:獲得(i)訓練資料,其包含一基板之至少一部分之具有複數個特徵的一輸入影像及一實況影像、(ii)一分類集,各分類在該輸入影像內對應於該基板之該複數個特徵中的一特徵、及(iii)經組態以接收該訓練資料及該分類集之一深度學習模型;藉由使用該輸入影像來模型化及/或模擬該深度學習模型來產生一預測影像;基於該預測影像內之一特徵與該實況影像內之一對應特徵的匹配而將該分類集中之一分類指派給該特徵;及藉由使用一損失函數來反覆地指派權重而藉由模型化及/或模擬來產生一經訓練深度學習模型。

Description

用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品
本發明係關於改良裝置製造程序之效能的技術。該等技術可結合微影設備或度量衡設備使用。
微影設備係將所要圖案施加至基板之目標部分上的機器。微影設備可用於例如積體電路(integrated circuit,IC)之製造中。在彼情形下,被替代地稱作光罩或倍縮光罩之圖案化裝置可用以產生對應於IC之個別層的電路圖案,且此圖案可成像至具有一層輻射敏感材料(抗蝕劑)之基板(例如,矽晶圓)上的目標部分(例如,包含一個或若干個晶粒之部分)上。一般而言,單一基板將含有經順次地曝光之鄰近目標部分之網路。已知微影設備包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照各目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照各目標部分。
在將電路圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如曝光後烘烤(PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此工序陣列係用作製造裝置(例如,IC)之個別層的基礎。基板接著可經歷各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等等,該等程序皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對各層來重複整個工序或其變體。最終,在基板上之各目標部分中將存在裝置。接著藉由諸如切塊或鋸切之技術來使此等裝置彼此分離,據此,可將個別裝置安裝於載體上、連接至銷釘等。
因此,製造諸如半導體裝置之裝置通常涉及使用多個製作程序來處理基板(例如,半導體晶圓)以形成該等裝置之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造且處理此等層及特徵。可在基板上之複數個晶粒上製作多個裝置,且接著將該等裝置分離成個別裝置。此裝置製造程序可被認作是圖案化程序。圖案化程序涉及使用微影設備中之圖案化裝置進行圖案化步驟(諸如光學及/或奈米壓印微影)以將圖案化裝置上之圖案轉印至基板,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備而使用圖案進行蝕刻等等。
根據一實施例,提供一種用於訓練一圖案化程序之一深度學習模型的方法。該方法包括:獲得(i)訓練資料,其包含一基板之至少一部分之具有複數個特徵的一輸入影像及對應於該輸入影像之一實況影像、(ii)一分類集,各分類在該輸入影像內對應於該基板之該複數個特徵中的一特徵、及(iii)經組態以接收該訓練資料及該分類集之一深度學習模型;藉由使用該輸入影像來模型化及/或模擬該深度學習模型來產生一預測影像,其中該深度學習模型運用包含複數個權重之至少一個擴張核心反覆地執行一廻旋運算;基於該預測影像內之一特徵與該實況影像內之一對應特徵的匹配而將該分類集中之一分類指派給該特徵、及藉由使用一損失函數來基於穿過該深度學習模型之一反向傳播而反覆地將權重指派給該至少一個擴張核心使得該預測影像再現該輸入影像之該複數個特徵,藉由模型化及/或模擬來產生一經訓練深度學習模型。
在一實施例中,該廻旋運算包含使該輸入影像與該至少一個擴張核心重疊,判定包括該至少一個擴張核心之一權重的各重疊元素與該輸入影像之一像素的一值之間的一乘積,及對該乘積之結果進行求和以判定該廻旋運算之一值。
在一實施例中,該至少一個擴張核心包含複數個權重、一擴張速率及一深度,其中該深度係該分類集中之分類之一數目的一倍數。
在一實施例中,該損失函數係該實況影像之一函數及該分類集中之各分類經指派給該預測影像的一機率。
在一實施例中,該反覆地指派權重包含修改該擴張核心之該複數個權重、該擴張速率及/或該深度,以基於該損失函數之一局部導數而特性化該圖案化程序之至少一部分。
在一實施例中,該至少一個擴張核心包含:該至少一個擴張核心中之一第一擴張核心包含一第一權重集、一第一擴張速率及等於該分類集中之分類之一數目的一深度,該至少一個擴張核心中之一第二擴張核心包含一第二權重集、一第二擴張速率及等於該分類集中之分類之一數目的一深度,其中該第二權重集不同於該第一權重集及/或該第二擴張速率不同於該第一擴張速率。
在一實施例中,該第一擴張核心特性化該圖案化程序之一第一部分,且一第二核心特性化該圖案化程序之一第二部分,其中該第二部分係該圖案化程序之一投影系統且該第二部分係與該基板之該特徵相關的一參數。
在一實施例中,該擴張核心係對稱的以特性化該圖案化程序之一設備的一投影系統。
在一實施例中,該反覆地指派權重包含將一第一權重集指派給該擴張核心之該複數個權重,以特性化該圖案化程序之一設備的一投影系統。
在一實施例中,該擴張速率係介於1與10之間的一整數值。
此外,根據一實施例,提供一種將一經訓練深度學習模型應用於一圖案化程序之方法。該方法包括:獲得(i)該經訓練深度學習模型、(ii)一分類集,各分類對應於用於訓練該經訓練深度學習模型之一訓練基板的一特徵、及(iii)經歷該圖案化程序之具有複數個特徵之一基板之至少部分的一輸入影像;藉由使用該輸入影像及該分類集來模型化及/或模擬該經訓練深度學習模型來產生一經分段影像,其中該輸入影像之該複數個特徵在該經分段影像中再現、及基於該經分段影像之該複數個特徵而判定該圖案化程序之一參數。
在一實施例中,產生一經分段影像包含將該分類集中之一分類指派給該經分段影像之各像素,其中標記對應於特徵集中之具有最大機率的一特徵,且該經分段影像經像素化。
在一實施例中,該經分段影像之各像素與包含該分類集之資訊、對應於該分類集中之各分類的一機率集及該經分段影像上之一位置相關聯。
在一實施例中,判定該圖案化程序之一參數包含:對該經分段影像內之該複數個特徵執行量測,該等量測包含一特徵尺寸、及/或該複數個特徵中之一或多個特徵之間的一距離;及基於與該複數個特徵相關之該等量測而判定該參數。
在一實施例中,該參數係一邊緣置放誤差、疊對、一線邊緣粗糙度、頸縮及/或CD。
在一實施例中,該方法進一步包括基於與一特徵相關之該參數而判定對該圖案化程序之一調整、及回應於該調整而調整該圖案化程序。
在一實施例中,該調整包括對包括劑量及/或焦點之一程序變數的調整。
在詳細地描述實施例之前,有指導性的是呈現可供實施實施例之實例環境。
圖1示意性地描繪微影設備LA之實施例。該設備包含: -     照明系統(照明器) IL,其經組態以調節輻射光束B (例如,UV輻射、EUV或DUV輻射); -     支撐結構(例如,光罩台) MT,其經建構以支撐圖案化裝置(例如,光罩) MA,且連接至經組態以根據某些參數來準確地定位圖案化裝置之第一定位器PM; -     基板台(例如,晶圓台) WT (例如,WTa、WTb或兩者),其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W且連接至經組態以根據某些參數而準確地定位基板之第二定位器PW;及 -     投影系統(例如,折射投影透鏡系統) PS,其經組態以將由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒且常常被稱作場)上,該投影系統支撐於參考框架(RF)上。
如此處所描繪,設備屬於透射類型(例如,使用透射光罩)。替代地,設備可屬於反射類型(例如,使用上文所提及之類型的可程式化鏡面陣列,或使用反射光罩)。
照明器IL自輻射源SO接收輻射光束。舉例而言,當輻射源為準分子雷射時,輻射源與微影設備可係分離實體。在此類狀況下,不認為源形成微影設備之部分,且輻射光束係憑藉包含例如合適導向鏡及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,例如舉例而言,當源為水銀燈時,源可係設備之整體部分。源SO及照明器IL連同光束傳遞系統BD (在需要時)可被稱作輻射系統。
照明器IL可變更光束之強度分佈。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面中之環形區內的強度分佈為非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面中之分佈使得在光瞳平面中之複數個等距間隔開之區段中的強度分佈係非零。輻射光束在照明器IL之光瞳平面中之強度分佈可被稱作照明模式。
因此,照明器IL可包含經組態以調整光束之(角度/空間)強度分佈之調整器AM。一般而言,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。照明器IL可操作以變化光束之角度分佈。舉例而言,照明器可操作以變更強度分佈為非零的光瞳平面中之區段之數目及角度範圍。藉由調整光束在照明器之光瞳平面中之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面中之強度分佈之徑向範圍及角範圍,強度分佈可具有多極分佈,諸如偶極、四極或六極分佈。可藉由將提供彼照明模式之光學件插入至照明器IL中或使用空間光調變器來獲得所要照明模式。
照明器IL可操作以變更光束之偏振且可操作以使用調整器AM來調整偏振。橫越照明器IL之光瞳平面之輻射光束的偏振狀態可被稱作偏振模式。使用不同偏振模式可允許在形成於基板W上之影像中達成較大對比度。輻射光束可係非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可跨越照明器IL之光瞳平面而變化。輻射之偏振方向在照明器IL之光瞳平面中之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。對於多極照明模式,輻射光束之各極之偏振可大體上垂直於照明器IL之光瞳平面中之彼極的位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於平分偶極之兩個對置區段之線的方向上線性地偏振。輻射光束可在兩個不同正交方向中之一者上偏振,其可被稱作經X偏振狀態及經Y偏振狀態。對於四極照明模式,各極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作XY偏振。相似地,對於六極照明模式,各極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作TE偏振。
另外,照明器IL通常包含各種其他組件,諸如積光器IN及聚光器CO。照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
因此,照明器提供在橫截面中具有所要均一性及強度分佈的經調節輻射光束B。
支撐結構MT以取決於圖案化裝置之定向、微影設備之設計及諸如圖案化裝置是否被固持於真空環境中之其他條件的方式支撐圖案化裝置。支撐結構可使用機械、真空、靜電或其他夾持技術來固持圖案化裝置。支撐結構可係例如框架或台,其可視需要而固定或可移動。支撐結構可確保圖案化裝置例如相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用與更一般術語「圖案化裝置」同義。
本文中所使用之術語「圖案化裝置」應被廣泛地解譯為係指可用以在基板之目標部分中賦予圖案的任何裝置。在一實施例中,圖案化裝置為可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何裝置。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之裝置(諸如積體電路)中的特定功能層。
圖案化裝置可係透射的或反射的。圖案化裝置之實例包括遮罩、可程式化鏡面陣列及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減式相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文中所使用之術語「投影系統」應被廣泛地解釋為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用均與更一般術語「投影系統」同義。
投影系統PS具有可非均一且可影響成像於基板W上之圖案之光學轉移函數。對於非偏振輻射,此類效應可由兩個純量映圖相當良好地描述,該兩個純量映圖描述依據射出投影系統PS之輻射之光瞳平面中之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可被稱作透射映圖及相對相位映圖之此等純量映圖表達為基底函數之全集之線性組合。特別適宜的集合為任尼克(Zernike)多項式,其形成單位圓上所定義之正交多項式集合。各純量映圖之判定可涉及判定此展開式中之係數。由於任尼克多項式在單位圓上正交,所以可藉由依次計算經量測純量映圖與各任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射映圖及相對相位映圖係場及系統相依的。亦即,一般而言,各投影系統PS將針對各場點(即,針對投影系統PS之影像平面中之各空間位置)具有不同任尼克展開式。可藉由將例如來自投影系統PS之物件平面(亦即,圖案化裝置MA之平面)中之類點源之輻射投影通過投影系統PS且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PS在其光瞳平面中之相對相位。剪切干涉計為共同路徑干涉計,且因此有利地,無需次級參考光束來量測波前。剪切干涉計可包含投影系統(即,基板台WT)之影像平面上的繞射光柵,例如二維柵格、及經配置以偵測與投影系統PS之光瞳平面共軛之平面上之干擾圖案的偵測器。干涉圖案係與輻射之相位相對於在剪切方向上之光瞳平面中之座標的導數相關。偵測器可包含感測元件陣列,諸如電荷耦接裝置(CCD)。
微影設備之投影系統PS可不產生可見條紋,且因此,可使用相位步進技術(諸如移動繞射光柵)來增強波前判定之準確度。可在繞射光柵之平面中且及在垂直於量測之掃描方向的方向上執行步進。步進範圍可係一個光柵週期,且可使用至少三個(均一地分佈)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,在x方向上針對不同位置執行各掃描量測。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可在兩個垂直方向上依序地掃描繞射光柵,該兩個垂直方向可與投影系統PS之座標系統之軸線(x及y)重合或可與此等軸線成諸如45度之角度。可遍及整數個光柵週期(例如,一個光柵週期)執行掃描。掃描使在一個方向上之相位變化達到平均數,從而允許重新建構在另一方向上之相位變化。此允許依據兩個方向而判定波前。
可藉由將例如來自投影系統PS之物件平面(亦即,圖案化裝置MA之平面)中之類點源之輻射投影通過投影系統PS且使用偵測器來量測與投影系統PS之光瞳平面共軛的平面中之輻射強度來判定投影系統PS在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。
投影系統PS可包含複數個光學(例如,透鏡)元件,且可進一步包含經組態以調整光學元件中之一或多者以便糾正像差(跨越整個場中之光瞳平面的相位變化)的調整機構AM。以達成此情形,調整機構可操作來以一或多個不同方式操控投影系統PS內之一或多個光學(例如,透鏡)元件。投影系統可具有座標系,其中該投影系統之光軸在z方向上延伸。調整機構可操作以進行以下各者之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。可在任何方向(x、y、z或其組合)上進行光學元件之移位。光學元件之傾斜通常出自垂直於光軸之平面藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,像散)及/或高頻形狀(例如,自由形式非球面)。可例如藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,沒有可能調整投影系統PS以校正變跡(橫越跨光瞳平面之透射變化)。當設計用於微影設備LA之圖案化裝置(例如,光罩) MA時,可使用投影系統PS之透射率映圖。使用計算微影技術,圖案化裝置MA可經設計為用以至少部分地校正變跡。
微影設備可屬於具有兩個(雙載物台)或多於兩個台(例如,兩個或多於兩個基板台WTa、WTb、兩個或多於兩個圖案化裝置台、在無專用於促進量測及/或清潔等之基板的情況下在投影系統下方之基板台WTa及台WTb)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,可進行使用對準感測器AS之對準量測及/或使用位階感測器LS之位階(高度、傾角等等)量測。
微影設備亦可屬於以下類型:基板之至少一部分可由具有相對高折射率之液體,例如水,覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影設備中之其他空間,例如圖案化裝置與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增大投影系統之數值孔徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
因此,在微影設備之操作中,輻射光束經調節且由照明系統IL提供。輻射光束B入射於固持於支撐結構(例如,光罩台) MT上之圖案化裝置(例如,光罩) MA上,且由該圖案化裝置圖案化。在已橫穿圖案化裝置MA之情況下,輻射光束B通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置感測器IF(例如,干涉量測裝置、線性編碼器、2D編碼器或電容式感測器),可準確地移動基板台WT,例如以便在輻射光束B之路徑中定位不同目標部分C。類似地,第一定位器PM及另一位置感測器(在圖1中未明確地描繪)可用以例如在自光罩庫進行機械擷取之後或在掃描期間相對於輻射光束B之路徑準確地定位圖案化裝置MA。一般而言,可藉助於形成第一定位器PM之部分的長衝程模組(粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之情況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA及基板W。儘管所說明基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒被提供於圖案化裝置MA上之情形中,圖案化裝置對準標記可位於該等晶粒之間。
可在以下模式中之至少一者下使用所描繪設備: 1.   在步進模式下,是支撐結構MT及基板台WT保持基本上靜止,同時將賦予至輻射光束之整個圖案一次性投影至目標部分C上(即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像的目標部分C之大小。 2.   在掃描模式下,掃描同步地支撐結構MT及基板台WT,同時將賦予至輻射光束之圖案投影至目標部分C上(即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式下,曝光場之最大大小限制單次動態曝光中之目標部分的寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。 3.   在另一模式下,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化裝置,且移動或掃描基板台WT。在此模式下,通常使用脈衝式輻射源,且在基板台WT之各移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如上文所提及之類型的可程式化鏡面陣列)之無遮罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。
儘管在本文中可特定地參考微影設備在IC製造中之使用,但應理解,本文中所描述之微影設備可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示器(liquid-crystal display,LCD)、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文所提及之基板。在適用情況下,可將本文中之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,例如以便產生多層IC,使得本文所使用之術語「基板」亦可指已經含有多個經處理層之基板。
本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外(UV)輻射(例如,具有365、248、193、157或126 nm之波長)及極紫外光(EUV)輻射(例如,具有5至20 nm之範圍內的波長)以及粒子束,諸如離子束或電子束。
圖案化裝置上或由圖案化裝置提供之各種圖案可具有不同程序窗。即,將在規格內產生圖案所根據之處理變數的空間。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併各個別圖案之程序窗口(例如,使該等程序窗口重疊)來獲得圖案化裝置或其區域上之所有圖案之程序窗口。所有圖案之程序窗口之邊界含有個別圖案中之一些之程序窗口之邊界。換言之,此等個別圖案限制所有圖案之程序窗。此等圖案可被稱作「熱點」或「程序窗限制圖案(PWLP)」,「熱點」與「程序窗限制圖案(PWLP)」可在本文中可互換地使用。當控制圖案化程序之一部分時,有可能且經濟的是集中於熱點。當熱點並未有缺陷時,最有可能的是,所有圖案未有缺陷。
如圖2中所展示,微影設備LA可形成微影製造單元LC (有時亦被稱作叢集)之部件,微影製造單元LC亦包括用以對基板執行曝光前程序及曝光後程序之設備。習知地,此等設備包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入埠I/O1/輸出埠I/O2拾取一或多個基板,將其在不同程序裝置之間移動且將其遞送至微影設備之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等設備由塗佈顯影系統控制單元TCU控制,塗佈顯影系統控制單元TCU自身受監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影設備。因此,不同設備可經操作以最大化產出率及處理效率。
為了正確且一致地曝光由微影設備曝光之基板及/或為了監視包括至少一個圖案轉印步驟(例如,光學微影步驟)之圖案化程序(例如,裝置製造程序)的一部分,需要檢測基板或其他物件以量測或判定一或多個特性,諸如對準、疊對(其可例如介於上覆層中之結構之間的或已例如藉由雙重圖案化程序單獨地提供至該層之同一層中之結構之間)、線厚度、臨界尺寸(CD)、焦點偏移、材料特性等等。因此,經定位有微影製造單元LC之製造設施通常亦包括度量衡系統MET,該度量衡系統量測已在該微影製造單元中處理的基板W中之一些或全部或該微影製造單元中之其他物件。度量衡系統MET可係微影製造單元LC之部分,舉例而言,其可係微影設備LA之部分(諸如對準感測器AS)。
舉例而言,該一或多個參數可包括:形成於經圖案化基板中或上之順次層之間的疊對、例如形成於經圖案化基板中或上之特徵之臨界尺寸(CD) (例如,臨界線寬)、光學微影步驟之焦點或聚焦誤差、光學微影步驟之劑量或劑量誤差、光學微影步驟之光學像差等。可對產品基板自身之目標及/或對提供於基板上之專用度量衡目標執行此量測。可在抗蝕劑顯影後但在蝕刻前執行量測,或可在蝕刻後執行量測。
存在用於對在圖案化程序中形成之結構進行量測的各種技術,包括使用掃描電子顯微鏡、以影像為基礎之量測工具及/或各種特殊化工具。如上文所論述,特殊化度量衡工具之快速及非侵入性形式為輻射光束經導向至基板之表面上之目標上且量測經散射(經繞射/經反射)光束之屬性的度量衡工具。藉由評估由基板散射之輻射之一或多個屬性,可判定基板之一或多個屬性。此可被稱為以繞射為基礎之度量衡。此以繞射為基礎之度量衡之一個此類應用係在目標內之特徵不對稱性之量測中。此特徵不對稱性之量測可用作例如疊對之量度,但其他應用亦係已知的。舉例而言,可藉由比較繞射光譜之相對部分(例如,比較週期性光柵之繞射光譜中之-1階與+1階)而量測不對稱性。此量測可如以上所描述來完成,且如例如全文以引用方式併入本文中之美國專利申請公開案US 2006-066855中所描述來完成。以繞射為基礎之度量衡之另一應用係在目標內之特徵寬度(CD)之量測中。此等技術可使用下文所描述之設備及方法。
因此,在裝置製造程序(例如,圖案化程序或微影程序)中,基板或其他物件可在程序期間或之後經受各種類型之量測。量測可判定特定基板是否有缺陷,可建立對用於程序中之程序及設備的調整(例如,在基板上對準二個層或對準圖案化裝置與基板),可量測程序及設備之效能,或可用於其他目的。量測之實例包括光學成像(例如,光學顯微鏡)、非成像光學量測(例如,基於繞射之量測,諸如ASML YieldStar度量衡工具、ASML SMASH度量衡系統)、機械量測(例如,使用觸控筆之剖面探測、原子力顯微法(atomic force microscopy,AFM)),及/或非光學成像(例如,掃描電子顯微法(scanning electron microscopy,SEM))。如全文以引用方式併入本文中之美國專利第6,961,116號中所描述之智慧型對準感測器混合式(SMart Alignment Sensor Hybrid,SMASH)系統使用自參考干涉計,該自參考干涉計產生對準標記物之兩個重疊且相對旋轉之影像、偵測在使影像之傅立葉變換進行干涉之光瞳平面中之強度,且自兩個影像之繞射階之間的相位差提取位置資訊,該相位差表現為經干涉階中之強度變化。
可將度量衡結果直接或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光(尤其在可足夠迅速且快速完成檢測使得該批量之一或多個其他基板仍待曝光之情況下)及/或對經曝光基板之後續曝光進行調整。又,已曝光之基板可被剝離及重工以改良良率,或被捨棄,藉此避免對已知有疵點之基板執行進一步處理。在基板之僅一些目標部分有缺陷之情況下,可僅對良好的彼等目標部分執行另外曝光。
在度量衡系統MET內,度量衡設備用以判定基板之一或多個屬性,且尤其判定不同基板之一或多個屬性如何變化或同一基板之不同層在不同層間如何變化。如上文所提及,度量衡設備可整合至微影設備LA或微影製造單元LC中,或可係單機裝置。
為了實現度量衡,可在基板上提供一或多個目標。在一實施例中,目標經專門設計且可包含週期性結構。在一實施例中,目標係裝置圖案之一部分,例如裝置圖案之週期性結構。在一實施例中,裝置圖案為記憶體裝置之週期性結構(例如,雙極電晶體(Bipolar Transistor,BPT)、位元線接點(Bit Line Contact,BLC)等結構)。
在一實施例中,基板上之目標可包含一或多個1-D週期性結構(例如,光柵),該等週期性結構經印刷成使得在顯影之後,週期性結構特徵由固體抗蝕劑線形成。在一實施例中,目標可包含一或多個2-D週期性結構(例如,光柵),該週期性結構經印刷成使得在顯影之後,一或多個週期性結構由抗蝕劑中之固體抗蝕劑導柱或通孔形成。桿體、導柱或通孔可替代地經蝕刻至基板中(例如,經蝕刻至基板上之一或多個層中)。
在一實施例中,圖案化程序之所關注參數中之一者為疊對。可使用暗場散射量測來量測疊對,其中阻擋零階繞射(對應於鏡面反射),且僅處理高階。可在PCT專利申請公開案第WO 2009/078708號及第WO 2009/106279號中發現暗場度量衡之實例,該等專利申請公開案之全文特此以引用之方式併入。美國專利申請公開案US2011-0027704、US2011-0043791及US2012-0242970中已描述該技術之進一步開發,該等專利申請公開案之全文係特此此以引用之方式併入。使用繞射階之暗場偵測的以繞射為基礎之疊對實現對較小目標之疊對量測。此等目標可小於照明光點且可由基板上之裝置產品結構環繞。在一實施例中,可在一個輻射捕捉中量測多個目標。
圖3描繪實例檢測設備(例如,散射計)。散射計包含將輻射投影至基板W上之寬頻帶(白光)輻射投影儀2。重新導向之輻射傳遞至光譜儀偵測器4,該光譜儀偵測器量測鏡面反射輻射之光譜10 (強度依據波長而變化),如例如在左下方的曲線圖中所展示。根據此資料,可藉由處理器PU例如藉由嚴密耦合波分析及非線性回歸或藉由與如圖3之右下方所展示之模擬光譜庫的比較來重建構導致偵測到之光譜的結構或剖面。一般而言,對於重建構,結構之一般形式為吾人所知,且根據供製造結構之程序之知識來採取一些變數,從而僅留下結構之幾個變數以自量測資料予以判定。此檢測設備可經組態為正入射檢測設備或斜入射檢測設備。
在圖4中展示可使用之另一檢測設備。在此裝置中,由輻射源2發射之輻射係使用透鏡系統12被準直且透射穿過干涉濾光器13及偏振器17、由部分反射表面16反射且經由物鏡15而聚焦至基板W上之光點S中,該物鏡具有高數值孔徑(NA),理想地為至少0.9或至少0.95。浸潤檢測設備(使用相對高折射率之流體,諸如水)甚至可具有大於1之數值孔徑。
如在微影設備LA中一樣,可在量測操作期間提供一或多個基板台以固持基板W。該等基板台可在形式上與圖1之基板台WT類似或相同。在檢測設備與微影設備整合之實例中,該等基板台可甚至為相同基板台。可將粗略定位器及精細定位器提供至第二定位器PW,該第二定位器經組態以相對於量測光學系統準確地定位基板。提供各種感測器及致動器例如以獲取所關注目標之位置,且將所關注目標帶入至接物鏡15下方之位置。通常將對橫越基板W之不同部位處之目標進行許多量測。可在X方向及Y方向上移動基板支撐件以獲取不同目標,且可在Z方向上移動基板支撐件以獲得目標相對於光學系統之焦點的所要位置。舉例而言,當光學系統實務上可保持實質上靜止(通常在X及Y方向上,但可能亦在Z方向上)且僅基板移動時,方便地將操作考慮且描述為如同物鏡被帶入至相對於基板之不同部位。倘若基板及光學系統之相對位置係正確的,或若兩者皆移動或光學系統之一部分移動(例如,在Z及/或傾斜方向上)接合光學系統之剩餘部分靜止,且基板移動(例如,在X方向及Y方向上,且視情況在Z及/或傾斜方向上),則原則上在真實世界中彼等各者中之哪一者移動並不重要。
由基板W重新導向之輻射接著通過部分反射表面16至偵測器18中以便使光譜被偵測到。偵測器18可定位於背向投影式聚焦平面11處(即,透鏡系統15之焦距處),或可運用輔助光學件(未展示)將平面11再成像至偵測器18上。該偵測器可係二維偵測器,使得可量測基板目標30之二維角度散射光譜。偵測器18可係例如CCD或CMOS感測器陣列,且可使用為例如每圖框40毫秒之積分時間。
參考光束可用以例如量測入射輻射之強度。為進行此量測,當輻射光束入射於部分反射表面16上時,將輻射光束之部分通過部分反射表面16作為參考光束而透射朝向參考鏡面14。接著將參考光束投影至同一偵測器18之不同部分上或替代地投影至不同偵測器(未展示)上。
一或多個干涉濾光器13可用以選擇在比如405至790 nm或甚至更低,諸如200至300 nm,之範圍內的所關注波長。干涉濾光器可係可調諧的,而非包含不同濾光器之集合。可使用光柵代替干涉濾光器。孔徑光闌或空間光調變器(圖中未繪示)可提供於照明路徑中以控制輻射在目標上之入射角之範圍。
偵測器18可量測在單一波長(或窄波長範圍)下之經重新導向輻射之強度、分離地在多個波長下之經重新導向輻射之強度,或遍及一波長範圍而積分之經重新導向輻射之強度。此外,偵測器可分別量測橫向磁偏振輻射及橫向電偏振輻射之強度,及/或橫向磁偏振輻射與橫向電偏振輻射之間的相位差。
基板W上之目標30可係1-D光柵,其經印刷成使得在顯影之後,長條係由固體抗蝕劑線形成。目標30可係2-D光柵,其經印刷成使得在顯影之後,光柵係由抗蝕劑中之固體抗蝕劑導柱或介層孔形成。桿體、導柱或通孔可經蝕刻至基板中或基板上(例如,經蝕刻至基板上之一或多個層中)。圖案(例如,桿體、導柱或通孔之圖案)對圖案化程序中之處理改變(例如,微影投影設備(特定言之,投影系統PS)中之光學像差、聚焦改變、劑量改變等等)敏感,且將以印刷光柵之變化顯現。因此,印刷光柵之量測資料被用於重建構光柵。可根據印刷步驟及/或其他檢測程序之知識,將1-D光柵之一或多個參數(諸如線寬及/或形狀)或2-D光柵之一或多個參數(諸如導柱或通孔寬度或長度或形狀)輸入至由處理器PU執行之重新建構程序。
除了藉由重新建構進行參數之量測以外,角度解析散射量測亦用於產品及/或抗蝕劑圖案中之特徵之不對稱性之量測。不對稱性量測之特定應用係用於疊對之量測,其中目標30包含疊置於另一組週期性特徵上的一組週期性特徵。使用圖3或圖4之儀器的不對稱性量測之概念描述例如於美國專利申請公開案US2006-066855中,該公開案之全文併入本文中。簡單地陳述,雖然目標之繞射光譜中之繞射階的位置僅藉由目標之週期性而判定,但繞射光譜中之不對稱性指示構成目標之個別特徵中的不對稱性。在圖4之偵測器18可係影像感測器的儀器中,繞射階中之此不對稱性直接呈現為由偵測器18記錄之光瞳影像中之不對稱性。此不對稱性可藉由單元PU中之數位影像處理來量測,且可對照已知疊對值來校準。
圖5說明典型目標30之平面視圖,及圖4之設備中之照明光點S之範圍。為了獲得不含來自包圍結構之干擾的繞射光譜,在一實施例中,目標30係大於照明光點S之寬度(例如,直徑)的週期性結構(例如,光柵)。光點S之寬度可小於目標之寬度及長度。換言之,目標係由照明「填充不足」,且繞射信號基本上不含來自目標自身外部之產品特徵等之任何信號。照明配置2、12、13、17可經組態以提供橫越物鏡15之背焦平面之均一強度的照明。替代地,藉由例如在照明路徑中包括孔徑,照明可限於同軸方向或離軸方向。
圖6示意性地描繪基於使用度量衡獲得之量測資料來進行目標圖案30'之一或多個所關注變數的值之判定的實例程序。由偵測器18偵測到之輻射提供用於目標30'之經量測輻射分佈108。
對於給定目標30',可使用例如數值馬克士威求解程序210自經參數化模型206計算/模擬輻射分佈208。經參數化模型206展示構成目標及與該目標相關聯的各種材料之實例層。經參數化模型206可包括用於在考慮中的目標之部分之特徵及層之變數中的一或多者,其可變化且被導出。如圖6中所展示,變數中之一或多者可包括一或多個層之厚度t 、一或多個特徵之寬度w (例如,CD)、一或多個特徵之高度h 及/或一或多個特徵之側壁角α。儘管未展示,但變數中之一或多者可進一步包括但不限於:層中之一或多者之折射率(例如,真折射率或複折射率、折射率張量等等)、一或多個層之消光係數、一或多個層之吸收率、在顯影期間之抗蝕劑損失、一或多個特徵之基腳,及/或一或多個特徵之線邊緣粗糙度。該等變數之初始值可係針對經量測之目標所預期的值。接著在212處比較經量測輻射分佈108與經計算輻射分佈208以判定兩者之間的差。若存在差,則可變化參數化模型206之變數中之一或多者之值,計算新的所計算輻射分佈208且將其與經量測輻射分佈108比較直至在經量測輻射分佈108與所計算輻射分佈208之間存在足夠匹配為止。彼時,參數化模型206之變數之值提供實際目標30'之幾何形狀的良好或最佳匹配。在一實施例中,當經量測輻射分佈108與所計算輻射分佈208之間的差在容許臨限值內時存在足夠匹配。
圖案化程序之變數被稱為「處理變數」。圖案化程序可包括微影設備中之圖案之實際轉印上游及下游的程序。圖7展示處理變數370之實例類別。第一類別可係微影設備或用於微影程序中之任何其他設備的變數310。此類別之實例包括微影設備之照明件、投影系統、基板載物台等之變數。第二類別可係在圖案化程序中執行之一或多個工序之變數320。此類別之實例包括焦點控制或焦點量測、劑量控制或劑量量測、頻寬、曝光持續時間、顯影溫度、用於顯影中之化學成份等等。第三類別可係設計佈局及其在圖案化裝置中或使用圖案化裝置進行之實施之變數330。此類別之實例可包括輔助特徵之形狀及/或部位、藉由解析度增強技術(RET)而應用之調整、光罩特徵之CD等。第四類別可係基板之變數340。實例包括抗蝕劑層下方之結構之特性、抗蝕劑層之化學成份及/或實體尺寸等等。第五類別可係圖案化程序之一或多個變數之時間變化的特性350。此類別之實例包括高頻載物台移動(例如,頻率、振幅等)、高頻雷射頻寬改變(例如,頻率、振幅等)及/或高頻雷射波長改變之特性。此等高頻改變或移動係高於用以調整基礎變數(例如,載物台位置、雷射強度)之機構之回應時間的高頻改變或移動。第六類別可係微影設備中之圖案轉印上游或下游的程序之特性360,該等程序諸如旋塗、曝光後烘烤(PEB)、顯影、蝕刻、沈積、摻雜及/或封裝。
如應瞭解,此等變數中之許多變數(若非全部)將對圖案化程序之參數有影響且常常對所關注參數有影響。圖案化程序之參數之非限制性實例可包括臨界尺寸(CD)、臨界尺寸均一性(CDU)、焦點、疊對、邊緣位置或置放、側壁角、圖案移位等。常常,此等參數表達自標稱值(例如,設計值、平均值等)之誤差。該等參數值可係個別圖案之特性之值或圖案群組之特性之統計量(例如,平均值、方差等)。
處理變數中之一些或全部或與其相關之參數之值可藉由合適方法予以判定。舉例而言,可自藉由各種度量衡工具(例如,基板度量衡工具)獲得之資料判定該等值。可自圖案化程序中之設備的各種感測器或系統(例如,微影設備之感測器,諸如位階量測感測器或對準感測器、微影設備之控制系統(例如,基板或圖案化裝置台控制系統)、追蹤工具中之感測器等等)獲得該等值。該等值可來自圖案化程序之業者。
圖8中說明用於模型化及/或模擬圖案化程序之部分的例示性流程圖。如應瞭解,該等模型可表示不同圖案化程序且無需包含下文所描述之所有模型。源模型1200表示圖案化裝置之照明之光學特性(包括輻射強度分佈、頻寬及/或相位分佈)。源模型1200可表示照明之光學特性,包括但不限於數值孔徑設定、照明均方偏差(σ)設定以及任何特定照明形狀(例如,離軸輻射形狀,諸如環形、四極、偶極等等),其中σ (或均方偏差)係照明器之外部徑向範圍。
投影光學件模型1210表示投影光學件之光學特性(包括由投影光學件造成的輻射強度分佈及/或相位分佈之改變)。投影光學件模型1210可表示投影光學件之光學特性,其包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。
圖案化裝置/設計佈局模型模組1220擷取在圖案化裝置之圖案中佈置設計特徵之方式,且可包含如例如美國專利第7,587,704號中所描述之圖案化裝置之詳細實體屬性的表示,該美國專利以全文引用之方式併入本文中。在一實施例中,圖案化裝置/設計佈局模型模組1220表示設計佈局(例如,對應於集成電路、記憶體、電子裝置等等之特徵的裝置設計佈局)的光學特性(包括由給定設計佈局產生之輻射強度分佈及/或相位分佈的改變),其係圖案化裝置上或由圖案化裝置形成之特徵配置的表示。由於可改變用於微影投影設備中之圖案化裝置,所以需要使圖案化裝置之光學屬性與至少包括照明及投影光學件的微影投影設備之其餘部分之光學屬性分離。模擬之目標常常係準確地預測例如邊緣置放及CD,可接著比較該等邊緣置放及CD與裝置設計。裝置設計通常被定義為預OPC圖案化裝置佈局,且將以諸如GDSII或OASIS之標準化數位檔案格式被提供。
可自源模型1200、投影光學件模型1210及圖案化裝置/設計佈局模型1220模擬空中影像1230。空中影像(AI)係在基板位階處之輻射強度分佈。微影投影設備之光學屬性(例如,照明、圖案化裝置及投影光學件之屬性)規定空中影像。
基板上之抗蝕劑層係藉由空中影像曝光,且該空中影像經轉印至抗蝕劑層而作為其中之潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。可使用抗蝕劑模型1240自空中影像1230模擬抗蝕劑影像1250。可使用抗蝕劑模型以自空中影像演算抗蝕劑影像,可在揭示內容特此以引用方式併入之美國專利申請公開案第US 2009-0157360號中找到此情形之實例。抗蝕劑模型通常描述在抗蝕劑曝光、曝光後烘烤(post exposure bake,PEB)及顯影期間出現的化學程序之效應,以便預測例如形成於基板上之抗蝕劑特徵之輪廓,且因此其通常僅與抗蝕劑層之此等屬性(例如,在曝光、曝光後烘烤及顯影期間出現的化學程序之效應)相關。在一實施例中,可擷取抗蝕劑層之光學特性,例如折射率、薄膜厚度、傳播及偏振效應,作為投影光學件模型1210的部分。
因此,一般而言,光學模型與抗蝕劑模型之間的連接係抗蝕劑層內之經模擬空中影像強度,其起因於輻射至基板上之投影、抗蝕劑界面處之折射及抗蝕劑膜堆疊中之多個反射。輻射強度分佈(空中影像強度)係藉由入射能量之吸收而變為潛伏「抗蝕劑影像」,該潛伏抗蝕劑影像係藉由擴散程序及各種負載效應予以進一步修改。足夠快以用於全晶片應用之有效率模擬方法藉由2維空中(及抗蝕劑)影像而近似抗蝕劑堆疊中之實際3維強度分佈。
在一實施例中,可將抗蝕劑影像用作至圖案轉印後程序模型模組1260之輸入。圖案轉印後程序模型1260界定一或多個抗蝕劑顯影後程序(例如,蝕刻、顯影等)之效能。
圖案化程序之模擬可例如預測抗蝕劑及/或經蝕刻影像中之輪廓、CD、邊緣置放(例如,邊緣置放誤差)等。因此,該模擬之目標為準確地預測例如經印刷圖案之邊緣置放,及/或空中影像強度斜率,及/或CD等。可將此等值與預期設計比較以例如校正圖案化程序,識別預測出現缺陷之地點等。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
因此,模型公式化描述總程序之大多數(若非全部)已知物理學及化學方法,且模型參數中各者理想地對應於一相異物理或化學效應。因此,模型公式化設定關於模型可用以模擬總製造程序之良好程度之上限。
在圖9中說明用於模型化及/或模擬度量衡程序的例示性流程圖。如應瞭解,以下模型可表示不同度量衡程序且無需包含下文所描述之所有模型(例如,可將一些模型組合)。源模型1300表示度量衡目標之照明之光學特性(包括輻射強度分佈、輻射波長、偏振等)。源模型1300可表示照明之光學特性,包括但不限於波長、偏振、照明均方偏差(σ)設定(其中σ (或均方偏差)係照明器中之照明的徑向範圍)、任何特定照明形狀(例如,諸如環形、四極、偶極等之離軸輻射形狀)等等。
度量衡光學件模型1310表示度量衡光學件之光學特性(包括由度量衡光學件引起之輻射強度分佈及/或相位分佈之改變)。度量衡光學件1310可表示由度量衡光學件對度量衡目標之照明之光學特性,及自度量衡目標重導向之輻射朝向度量衡設備偵測器之轉印的光學特性。度量衡光學件模型可表示涉及目標之照明及自度量衡目標重新導向之輻射朝向偵測器之轉印的各種特性,包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。
度量衡目標模型1320可表示由度量衡目標重導向之照明的光學特性(包括由度量衡目標造成的照明輻射強度分佈及/或相位分佈之改變)。因此,度量衡目標模型1320可模型化藉由度量衡目標進行之照明輻射至重導向輻射之轉換。因此,度量衡目標模型可模擬自度量衡目標重新導向之輻射之所得照明分佈。度量衡目標模型可表示涉及目標之照明及自度量衡重新導向之輻射之產生的各種特性,包括一或多個折射率、度量衡之一或多個實體大小、度量衡目標之實體佈局等。由於可改變所用之度量衡目標,所以需要使度量衡目標之光學屬性與至少包括照明及投影光學件及偵測器的度量衡設備之其餘部分的光學屬性分離。模擬之目標常常為準確地預測例如強度、相位等,其可接著用以導出圖案化程序之所關注參數,諸如疊對、CD、焦點等。
可自源模型1300、度量衡光學件模型1310及度量衡目標模型1320模擬光瞳或空中影像1330。光瞳或空中影像為偵測器位階處之輻射強度分佈。度量衡光學件及度量衡目標之光學性質(例如,照明件、度量衡目標及度量衡光學件之性質)規定光瞳或空中影像。
度量衡設備之偵測器經曝光至光瞳或空中影像且偵測該光瞳或空中影像之一或多個光學屬性(例如,強度、相位等)。偵測模型模組1320表示如何藉由度量衡設備之偵測器來偵測來自度量衡光學件之輻射。偵測模型可描述偵測器如何偵測光瞳或空中影像,且可包括信號對雜訊、對偵測器上之入射輻射之敏感度等。因此,一般而言,度量衡光學件模型與偵測器模型之間的連接係模擬光瞳或空中影像,其起因於由光學件對度量衡目標之照明、由目標對輻射之重新導向及經重新導向輻射至偵測器之轉移。輻射分佈(光瞳或空中影像)由於偵測器上之入射能量之吸收而變為偵測信號。
度量衡程序之模擬可例如基於由偵測器對光瞳或空中影像之偵測而預測偵測器處之空間強度信號、空間相位信號等,或預測來自偵測系統之其他計算值,諸如疊對、CD等值。因此,模擬之目標係準確地預測例如對應於度量衡目標的偵測器信號或此疊對、CD之導出值。可將此等值與預期設計值比較以例如校正圖案化程序,識別預測出現缺陷之地點等。
因此,模型公式化描述總體度量衡程序之大多數,若非全部,已知物理學及化學方法,且模型參數中之每一者理想地對應於度量衡程序中之相異物理及/或化學效應。
如上文所論述,圖案化程序之模擬可用以預測參數,例如預測抗蝕劑及/或經蝕刻影像中之輪廓、CD、邊緣置放(例如,邊緣置放誤差)等等。然而,對例如邊緣置放誤差(EPE)之此預測係基於具有高準確性之輪廓提取,此係因為輪廓被認為良好地表示相關物件邊界。通常,輪廓技術依賴於最佳參數常常取決於影像之輪廓演算法之參數的謹慎調諧,且結果引起所得輪廓之影像間方差。換言之,對於在圖案化程序期間獲取之各影像,即使在特徵可類似於先前獲取之影像時亦需要調諧輪廓演算法。輪廓技術亦依賴於影像之去雜訊,使得平滑化影像梯度,且儘管存在不會在空間上模糊影像之技術(例如,Chan-Vese levelset),但此等方法亦依賴於去雜訊演算法之謹慎選擇的參數。因此,輪廓技術依賴於可能不穩健及/或在未調諧無新獲取影像之情況下可用的手動及特用信號處理。
本發明包括使用可克服例如如上文所論述之輪廓技術的若干問題之機器學習的方法。在一實施例中,論述基於經圖案化基板之至少一部分之影像的語意分段而非發現輪廓(後者在需要時可自分段導出)的方法。此外,本發明包括一種用於訓練機器學習模型(特定言之,廻旋神經網路)以識別基於原始輸入影像而執行語意分段之深度學習模型(即,不執行去雜訊)的方法。但是,在一實施例中,可預處理所提供輸入影像以移除雜訊資料。
使用機器學習技術之方法可用以基於所獲取資料(例如,SEM影像、量測及內容脈絡資料)內存在之圖案及特徵的辨識而改良程序參數預測。另外,機器學習技術可適用於導引使用者選擇最適用於控制圖案化程序以改良圖案化程序之良率及準確性的圖案化程序資料(例如,處理窗、劑量、焦點、CD等等)。
無監督機器學習模型及監督機器學習模型兩者可用以置放輔助特徵。在不限制本發明之範疇的情況下,在下文描述對受監督機器學習演算法之應用。
受監督學習為自經標記訓練資料推斷函數之機器學習任務。訓練資料包括訓練實例集。在受監督學習中,各實例係具有輸入目標(例如,通常以張量或向量形式表示的像素化輸入影像)及所要輸出值(亦稱為監督信號)的對。受監督的學習演算法分析訓練資料且產生可用於映射新實例之經推斷模型。最佳情境將允許演算法正確地判定用於未見過的例項之輸出。此要求學習演算法以「合理」方式自訓練資料一般化成未見過的情形。
受監督學習之例示性模型包括決策樹、集(自助重抽、推升、隨機森林)、k-NN、線性回歸、貝氏機率、(深度)神經網路、羅吉斯回歸、支援向量機(support vector machine,SVM)及關聯向量機(relevance vector machine,RVM)。
深度學習指代訓練由多個層組成之神經網路,且可受監督或無監督。在一實施例中,深度學習模型可基於諸如殘餘網、廻旋神經網路、深度信度網路及複現神經網路。在基於廻旋之架構中,各層基於一或多個核心(通常由與核心相關聯之權重界定)而執行某些廻旋運算。在訓練程序期間,可藉由調整核心(即,改變核心權重值)來修改深度學習模型。
廻旋神經網路通常由以連續方式鏈接在一起之若干層組成,使得資訊自輸入流動至輸出。實際上,各層吸取張量Tin 且輸出新張量Tout 。輸運用核心張量W廻旋入張量,所得廻旋可隨偏壓向量增加且經由諸如整流器線性單元(ReLU)之激發函數傳遞。在本發明中,可使用一或多個擴張核心以便增大接收場而不進行最大合併(此係因為其會降低空間解析度)。擴張核心係與擴張運算一起使用之核心。通常,最大合併係使用來自先前層處之神經元叢集中之每一者之最大值的運算。
需要訓練深度學習模型,且物件(或影像內之特徵)標記可係域特定的。因而,在一實施例中,基於一些基本實況而產生或獲得訓練資料集。此基本實況可包括一組原始輸入影像連同輸出影像,其中各像素已被指派物件標記。藉由使用資料擴增(例如,自基本實況取出隨機裁剪及平移、旋轉、縮放等等),可產生具有充足變化及容積之訓練集。
以下揭示內容描述一種用於訓練深度學習模型以準確地執行影像(例如,灰度SEM影像)之語意分段的方法。舉例而言,本發明方法之目標係經由廻旋神經網路(深度學習)且特定言之擴張殘餘神經網路自一組預定標記L向各影像像素x指派標記L(x)。在本發明中,術語標記可與基板之分類或特徵互換使用以大體上指代基板之特定特徵。
圖10說明用於訓練深度學習模型之方法的流程圖。如先前所提及,深度學習模型包含具有以連續方式鏈接在一起之若干層的神經網路。各層運用(擴張)核心執行廻旋運算。擴張核心擴大輸入影像之接收場且保留輸入影像及因此輸入影像內之特徵的空間解析度。此使得能夠甚至準確地標記基板之最小特徵。因而,經訓練深度學習模型能夠偵測且準確地標記具有諸如小於20nm之較小大小的特徵。換言之,在一實施例中,模型可在可顯著地小於20 nm之像素位階下對影像進行分段。
經訓練深度學習模型可用以執行例如在圖案化程序期間獲得之SEM影像的語意分段,且藉由執行SEM影像之經分段影像中所識別之特徵的量測來判定圖案化程序之各種特徵及參數。
深度學習模型(例如,1006)使用訓練資料(例如,1002)及分類集(例如,1004)以訓練一組神經網路,以使得經訓練深度學習模型可預測任何輸入影像(例如,經圖案化基板或目標影像之一部分)的不同特徵,且標記輸入影像內之各特徵以產生準確地模仿輸入影像的經分段影像例如目標影像之特徵與經分段影像之特徵之間匹配度(例如,平均分割計分)大於99%)。訓練涉及輸入向前傳播穿過神經網路之不同層以到達輸出,其中各層運用擴張核心執行廻旋運算。然而,尤其在第一向前遍次中,最後一層處之輸出會偏離基本實況。此偏離由用以量化目標與輸出影像之間的偏離量之損失函數(或成本函數或目標函數)特性化。舉例而言,基本實況影像之特徵可能不匹配輸出影像之特徵。為了最大化偏離,相對於權重之損耗梯度被反向傳播穿過網路之不同層(即,自神經網路之最後一層至第一層)。以此方式,可判定損失函數相對於特定層(例如,神經網路之25層的第15層輸出)處之權重的局部導數。基於局部函數之導數,可調整彼特定層(例如,第15層)之權重以便最大化損失函數。換言之,以最小化輸出中之偏離。在訓練程序期間,深度學習模型1006可在神經網路之各隱藏層處產生若干中間影像,中間影像出於論述目的而通常被稱作輸出張量1010。深度學習模型1006之訓練可以初始化各層之權重且基於誤差信號相對於損失函數之反向傳播而漸進地修改權重開始。
在一實施例中,訓練程序在程序P10處開始。在程序P10中,可獲得及/或產生深度學習模型1006、訓練資料1002及分類集1004 (即,標記)。
訓練資料1002包括基板之至少一部分之具有複數個特徵的輸入影像1000 (例如,圖11之輸入影像1100)及對應於輸入影像1000之實況影像1001 (例如,圖11中之實況影像1130)。通常,具有不同類型之特徵的輸入影像集及實況影像之對應集可用作訓練資料以訓練深度學習模型1006。因為需要訓練深度學習模型1006且標記係域特定的,所以藉由使用資料擴增(例如,自基本實況取出隨機裁剪及平移、旋轉、縮放等等)以產生具有充足變化之訓練集,可基於例如如先前所論述之一些基本實況(即,實況影像1001)而產生訓練資料集。
輸入影像1000可係像素化影像,該像素化影像可以張量或向量形式表示以實現輸入影像1000之各像素的各種數學運算(例如,廻旋、加法、乘法、旋轉、縮放等等)及統計分析。此外,基於分析,可標記各像素(例如,運用一或多個分類)。在一實施例中,輸入影像1000可係在圖案化程序或之後期間獲得之經圖案化基板之至少部分的SEM影像(即,灰階影像)。SEM影像之各像素可具有諸如圖12中所說明之像素化輸入影像1200的灰階值。在一實施例中,輸入影像1000可表示為具有尺寸(b, w, h, c)之輸入張量,其中b表示批量大小(例如,用於訓練之影像的總數目),w表示輸入影像(或張量)之寬度,h表示輸入影像(或張量)之高度,c表示通道(即,分類/標記/特徵之數目)。在一實施例中,第n階張量X可定義為X=R^a1 \times a2 \times … \times an,其中ai係第i階之大小。
基本實況影像1001 (或基本實況影像集)係用以建立輸入影像1000 (或輸入影像集)之基本實況之輸入影像1000的經分段影像,以使得此等影像一起可用以訓練深度偏斜模型1006 (例如,具有擴張核心之廻旋神經網路)。在一實施例中,可手動地執行此語意分段,其中手動地向實況影像1001之各像素指派標記(即,經圖案化基板之分類或特徵)。在一實施例中,標記(即,分類)可係例如諸如接觸孔、線、桿體、光柵、氧化物層、CD等等。類似於輸入影像1000,實況影像1001可如以張量或向量形式。
此外,程序P10可獲得分類集1004,各分類在基板之輸入影像1000內對應於基板之複數個特徵中的特徵。各分類可係可屬於特徵、顏色或其他適當標識符之名稱的標記。舉例而言,分類集1004可係接觸孔、線、桿體、光柵、氧化物層、CD或可在經圖案化基板上出現之其他特徵。在訓練程序期間,可向預測影像之各像素指派來自標記集之一或多個標記。此外,各標記可與在向例如基板之特徵指派標記的過程中提供置信度量度的機率分佈相關聯。此外,標記中之每一者可與顏色相關聯以產生具有表示為顏色(例如,光柵係紫色,氧化物層係黑色,功率軌係黃色,桿體係綠色等等)的經分段影像。此顏色寫碼使得能夠易於識別基板之不同特徵,執行量測,諸如CD、面積、疊對、EPE、線邊緣粗糙度等等。
深度學習模型1006可係經組態以接收訓練資料且運用擴張核心執行(例如,藉由模型化及/或模擬)廻旋的神經網路。神經網路包括以連續方式鏈接在一起的複數個層。複數個層中之一層可包括含有「啟動函數」之數個互連節點。啟動函數將輸入張量之元素(例如,與輸入影像之像素相關的值)非線性地映射至輸出張量。舉例而言,激發函數可係非線性函數或線性函數,諸如S形曲線(見以下方程式1)或經整流線性單元(ReLU)。
Figure 02_image001
在以上方程式(1)中,(i)
Figure 02_image003
係啟動函數之啟動,其可係S形曲線函數,(ii)
Figure 02_image005
係輸入張量之第i 元素的權重,(iii)
Figure 02_image007
係輸入張量之第i元素的值,且(iv)
Figure 02_image009
係偏壓。所有權重及偏壓之集合亦可被稱作模型參數。此啟動函數通常係使得深度學習模型1006能夠一般化或適應多種資料(例如,輸入張量之不同像素)的非線性函數。非線性啟動函數允許定義含有對於基於電腦視覺之任務有效之模型的富集模型分類。在訓練程序期間,權重可初始化成隨機值(例如,基於0與1之間的高斯分佈),且在訓練程序期間,可經由反向傳播及特定更新規則(例如,亞當更新規則)最佳化此類權重。在本發明中稍後論述使用損失函數及反向傳播之最佳化。可更新權重以便準確地識別輸入影像1000之特定特徵,以使得經訓練深度學習模型可再現輸入影像1000之特徵。本發明不限於一種類型之啟動函數。舉例而言,替代地或另外,可使用Softmax函數。在一實施例中,softmax用以將啟動映射至比如啟動之機率分佈,且因此在可解譯此等值之最終層處使用。
深度學習模型1006之神經網路之複數個層中的各層可以張量(或向量)形式接收輸入影像1000,且輸出新張量(亦被稱作在最終層處獲得之預測影像)。輸入張量可以擴張核心張量W 廻旋,廻旋之結果可隨偏壓增大(或減小)且經由諸如S形曲線或整流器線性單元(ReLU)之激發函數傳遞。
另外,在程序P12中,訓練程序涉及藉由使用訓練資料之輸入影像1000來應用深度學習模型1006而產生預測影像。在一實例中,輸出張量1010或預測影像指代深度學習模型1006之最後一層的輸出張量。在另一實例中,輸出張量1010可係深度學習模型1006之中間層的輸出張量。產生輸出張量1010涉及在深度學習模型1006之各層處運用至少一個(擴張)核心反覆地執行廻旋運算。廻旋運算包含使輸入影像1000之一部分與擴張核心重疊,從而取得包括擴張核心之權重的各重疊元素與輸入影像1000之像素的值之間的乘積,且對乘積之結果進行求和。舉例而言,在圖14A中,第一3×3擴張核心1410與像素化輸入張量1400重疊,擴張核心之元素中的每一者與輸入張量之像素重疊。接著,可運算重疊元素中之每一者之值的乘積(例如,像素之值與核心之權重),且可對乘積進行進一步求和以判定輸出張量之元素。此外,第一擴張核心可接著經移位一個步幅(例如,向右移位一個像素的一列),且對輸入張量之新重疊元素及核心元素(即,權重)執行類似廻旋運算以判定輸出張量之第二元素。
在一實施例中,反覆地執行廻旋可涉及在彼層之輸入張量上的特定層內運用一或多個擴張核心執行複數個廻旋運算。舉例而言,第一層可接收輸入張量(例如,諸如圖12中之1200之輸入影像的表示)。在輸入張量上,第一層使用第一擴張核心來執行廻旋運算。第一擴張核心具有第一權重集(例如,圖13B中之核心1310的第一權重集)。廻旋之結果係第一輸出張量(例如,圖11中之1115a),其經由第一層之第一啟動函數傳遞至第二層。類似於第一層,第二層亦使用第二擴張核心來執行廻旋運算。第二擴張核心具有第二權重集,其可與第一核心之第一權重集相同或不同。第二層之輸出可係第二輸出張量(例如,圖11中之1115e)。在一實施例中,第一核心與第二核心自身可不同,次將產生不同之輸出張量。
本發明不限於每層具有一個擴張核心之一個廻旋運算。訓練程序可涉及運用深度學習模型1006之每層複數個擴張核心廻旋輸入張量,從而產生複數個輸出張量。舉例而言,在圖11中,第一層可輸出四個不同輸出張量1115a、1115b、1115c及1115d,其各自產生於具有不同各別擴張核心之廻旋,諸如具有1之擴張速率及2c之深度的第一擴張核心及具有2之擴張速率及2c之深度的第二擴張核心。類似地,第二層可輸出四個不同輸出張量1115e、1115f、1115g及1115h,其各自產生於具有不同各別擴張核心之廻旋,諸如具有2之擴張速率及4c之深度的第三擴張核心及具有4之擴張速率及4c之深度的第四擴張核心。
在一實施例中,擴張核心可由複數個權重、深度(即,分類)、擴張速率或其一組合特性化。在一實施例中,擴張速率係與模型/架構相關之參數,可將此視為超參數。在一實施例中,憑經驗判定擴張速率之「最佳」值;以及,考慮不同架構且相對於損失函數選擇針對其最佳地執行模型/架構的值。在第一遍次中,可隨機指派權重(例如,基於0與1之間的高斯分佈),且稍後在訓練程序期間修改權重。擴張速率可係例如介於1與10之間的整數值,在訓練程序之開始時選擇該整數值,且該整數值在訓練程序期間保持固定。舉例而言,圖13A以具有特定權重(例如,w1至w9)之柵格之3×3柵格各元素形式說明擴張核心1300。擴張核心1300亦具有深度D (例如,特徵之總數目) (例如,深度D等於最終層處之分類的數目)。諸如1300之任何擴張核心可亦以張量形式表示,張量亦被稱作權重張量(或權重核心)。在另一實例中,圖14A至圖14C說明不同擴張核心之實例,諸如具有1之擴張速率的第一3×3擴張核心1410 (圖14A中)、具有2之擴張速率的第二3×3擴張核心1420 (圖14B中)及具有3之擴張速率的第三3×3擴張核心1430 (圖14C中)。
在一實施例中,擴張核心可針對圖案化程序之一部分得以組態或約束。舉例而言,擴張核心可係對稱的以特性化圖案化程序之設備的投影系統。舉例而言,在3×3擴張核心中,中心元素(即,(2,2))可被視為原點,且原點可與像素化輸入影像之特定像素重疊。在一實施例中,核心受約束使得核心如效應模仿像差。在實施例中,作為非限制性實例,約束可係球形核心約束或點對稱--類似於任尼克。
在一實施例中,可使用複數個擴張核心使得一或多個擴張核心可經組態以特性化圖案化程序之一部分。舉例而言,複數個擴張核心中之第一擴張核心可包括第一權重集、第一擴張速率、及等於分類集1004中之分類數目的深度。複數個擴張核心中之第二擴張核心可包括第二權重集、第二擴張速率、及等於分類集1004中之分類數目的深度。在一實例中,第二權重集不同於第一權重集及/或第二擴張速率不同於第一擴張速率。
此外,在一實施例中,第一擴張核心可特性化圖案化程序之第一部分(例如,投影系統),且第二核心可特性化圖案化程序之第二部分(例如,與基板之特徵相關的參數)。
在訓練程序期間,可反覆地修改及/或指派一或多個擴張核心之權重。可執行權重之反覆指派以改良輸出張量1010之一或多個特徵的預測。基於損失函數之局部導數(在本發明中稍後論述),諸如使用反向傳播來在訓練程序期間完成權重之此類指派。在一實施例中,反覆地指派權重可包括指派/調整擴張核心之權重集以考慮例如圖案化程序之設備之投影系統的像差。在一實施例中,反覆地指派權重可包括指派/調整擴張核心之權重集以特性化圖案化程序之態樣。
在一實施例中,程序P12可基於具有一或多個擴張核心之廻旋運算而輸出可以一或多個輸出張量1010形式表示的輸出張量1010。在一實施例中,輸出張量1010可係深度學習模型1006之最後一層的輸出,其中選擇擴張核心之深度使得輸出張量具有等於分類及1004中之分類之總數目的深度。換言之,輸出張量將具有對應於輸入影像之各像素的元素,其中輸出張量之各元素亦具有深度(即,總分類數目)。舉例而言,若存在10個分類,則輸出張量之各元素將具有與其相關聯之10個分類。此外,各分類可被指派指示像素屬於彼特定分類之機率的機率分佈。
預測影像1010或輸出張量1010包括對應於輸入影像之像素的元素(即,像素),輸出張量1010之各元素具有與分類集1004 (即,基板之一或多個特徵)相關聯之值,在程序P14中可向該等元素指派唯一標記(即,諸如接觸孔、線、桿體等等之分類)。在一實施例中,將分類集1004中之分類指派給輸出張量之元素可基於比較輸出張量與基本實況影像1001或實況張量。在一實施例中,輸出張量1010之元素包括與分類集1004中之各分類相關聯的機率集(或每分類每像素機率分佈)。機率值指示輸出張量之特定元素屬於特定分類的機率。基於機率,可將標記指派給各像素,其中標記對應於具有彼元素之最大機率值的分類。舉例而言,與10個分類(例如,線分類、桿體分類、接觸孔分類等等)相關聯之第一元素可具有由向量[0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.5 0.95]表示之如下機率值。在一實施例中,向量可具有標準化機率值使得其總和為1以使其成為分佈。此處展示之此等值可係最終層之對數勝算值,接著softmax將產生機率分佈。舉例而言,在3個分類之情況下,對數勝算 = [9, 12, 10] probs = [0.04, 0.84, 0.11 ] = softmax(對數勝算),接著可向第一元素指派與第10分類(例如,接觸孔)相關聯之標記。
通常,在第一遍次中,標記之預測可能不準確,因而,輸出張量1010可能不準確地分段。為了產生再現對應輸入影像1000之特徵的準確經分段影像,可基於以下程序而執行若干反覆(例如,多於1000次反覆、50,000次反覆、100,000次反覆、1百萬次反覆、等等,取決於模型之執行會聚的速度)。
在程序P16中,可判定經分段影像是否匹配或準確地再現輸入影像1000。回應於經分段影像準確地再現的判定,訓練程序可結束且可獲得經訓練深度學習模型1050。經訓練深度學習模型1050可具有帶有節點之複數個層,該等節點具有如上文所論述而指派之複數個權重以獲得經分段影像。
然而,若經分段影像不再現輸入影像1000及/或訓練不會聚,則在程序P18中,訓練程序可進一步涉及使用損失函數來藉由基於穿過深度學習模型之反向傳播而向至少一個擴張核心反覆地指派權重來訓練深度學習模型,直至輸出張量1010再現輸入影像1000之複數個特徵為止。在一實施例中,損失函數係實況影像1001之函數及輸出張量1010之機率。舉例而言,損失函數可基於指派給輸出張量1010之分類的機率。損失函數可基於交叉熵、均方誤差或其他適當誤差函數。在一實例中,以下交叉熵函數(方程式2)可用作損失函數。
Figure 02_image011
在以上方程式中,L 代表損失函數,i 係像素化影像I 中之第i像素,c 係分類集C中之分類,
Figure 02_image013
係實況影像1001中之第i像素的張量,其中張量之元素經獨熱寫碼,從而指示該像素屬於特定分類c,
Figure 02_image015
係預測影像或輸出張量內之第i像素可屬於之各分類的機率。
在一實例中,分類C可表示為諸如[線、桿體、接觸孔、光柵、氧化物]之特徵向量。實況影像Y中之像素可屬於分類C中之一者。舉例而言,若第i像素
Figure 02_image017
屬於接觸孔分類,則實況影像張量之對應於像素的元素可經獨熱寫碼成接觸孔,即,
Figure 02_image019
= [0, 0, 1, 0, 0]。另外,使用預測影像之機率,可使用以上等式(2)來判定損失函數。隨著訓練程序繼續,損失函數之值漸進地減小,較佳地最小化。在一實施例中,實況亦可係機率性的。
穿過深度學習模型之反向傳播可涉及將層(例如,第25層)之輸出張量反向映射至彼特定層或多個先前層之輸入張量。舉例而言,可穿過多個層將層(例如,第25層)之輸出張量反向映射至例如第5層之輸入張量。在另一實例中,反向傳播可涉及將第25層之輸出張量反向映射至第25層之輸入張量(即,第24層之輸出),將第24層之輸出反向映射至第24層之輸入張量(即,第23層之輸出層)等等。在反向傳播期間在各步驟處(即,在特定層之輸出處),可運算損失函數之局部導數。損失函數之局部導數可進一步標繪為映圖(例如,見圖15)來以圖形方式判定應改變層之權重的方向。舉例而言,出於說明性目的,可標繪至多2個維度。梯度下降改變權重使得損耗減小。在一實施例中,不同最佳化方法中之一者,諸如梯度遞降,可用以判定最佳權重以使得輸出張量1010準確地描繪輸入影像1000。
圖15說明特定層處之局部導數的映圖,其中關於擴張核心之權重w1及w2取得局部導數。接著,可基於最佳化方法而更新權重,最佳化方法例如係針對彼特定層而產生鑒於彼特定層最小化損耗之更最佳權重的梯度遞降方法。
在一實施例中,可定義損失函數使得減小,較佳地最小化識別特定特徵之誤差。舉例而言,損失函數可係影像(例如,接觸孔)中之大於其他特徵的權重特定特徵,從而使得更加強調改良彼部分上之分段。應注意,不同影像特徵由不同分類表示,且因而不同分類權重允許特徵相依地貢獻於損失函數。
在修改一或多個層之權重之後,訓練方法可即刻自如先前所論述之程序P12以不同訓練實例繼續,其中再判定輸出張量1010,即,可基於經修改權重而產生不同輸出張量1010。接著,可執行如先前所論述之程序P14以再指派分類且產生經分段影像1020。另外,可如在先前論述之程序P16中進行判定,該程序可後跟者程序P18。在若干反覆之後,訓練程序可彙聚,即,損耗不會在影像驗證集上顯著地減小,此時訓練可停止且深度學習模型可被視為經訓練深度學習模型1050。
圖11說明使用包括輸入影像1100及輸出影像(即,經分段影像) 1130之訓練資料集來訓練諸如廻旋神經網路之深度學習模型的實例。在圖11之實例中,如圖10中所論述之訓練方法可應用於產生可準確地識別輸入影像1100之各特徵的經訓練深度學習模型。訓練可涉及判定神經網路之各層之擴張核心的權重,使得可識別輸入影像1100之特徵。
輸入影像1100係基板之一部分之具有特徵的SEM影像,特徵諸如光柵1104 (水平置放)、氧化物層1106 (水平置放於光柵之間)、電源軌1102、諸如1110之第一組豎直線(圖案中之最長線)、諸如1114之第二組豎直線(相對小於1110但大於最小線)等等。在一實施例中,第一組線可依據諸如大小及定向之不同幾何量測值特性化,例如縱向長度、厚度或寬度、交叉光柵之數目、相對於相鄰其他特徵之相對位置、或特徵之其他適當幾何特性。
具有複數個層之深度學習模型1006接收輸入影像1100及1130 (其係訓練資料1002之實例)。在訓練程序期間,可如先前所論述而執行向前遍次及向後遍次(或傳播)以匹配輸入影像1100與經分段影像1130,使得經訓練深度學習模型可自任何輸入影像預測經分段影像,從而較佳地具有在訓練程序期間使用之類似特徵或特徵子集。
在向前遍次(例如,如程序P12中所論述)中,深度學習模型可產生表示為輸出張量(統稱作輸出張量1115)之複數個預測影像。舉例而言,第一層可基於具有1之擴張速率及2c之深度的第一擴張核心而產生第一輸出張量1115a,且可基於具有2之擴張速率及2c之深度的第二擴張核心而產生第二輸出張量1115b。類似地,分別基於具有2之擴張速率及2c之深度的第三及第四擴張核心而使用擴張核心來產生第三輸出張量1115c及第四輸出張量1115d。在一實施例中,輸出張量1115b至1115d可由不同層產生。類似地,複數個輸出張量1115e、1115f、1115g及1115h可由深度學習模型之第二層或若干其他層輸出。
在向後傳播中,可基於例如如在程序P12及P18中先前論述之損失函數而修改前述擴張核心。在一實施例中,可基於待識別特徵而向擴張核心中之每一者指派不同權重。舉例而言,第一核心可經特性化以識別特徵1102,第二核心可經特性化以識別特徵1104等等。
最終,在向前及向後傳播之若干反覆之後,可產生具有指派給輸出張量之各元素之特定分類的輸出張量,以最終產生具有標記之經分段影像,諸如對應於分類功率軌(即,輸入影像之1102)的第一標記1132、對應於光柵(即,輸入影像之1104)之第二標記1134、對應於氧化物(即,輸入影像之1106)的第三標記1136、對應於線(即,輸入影像之1110)的第四標記1140、對應於線(即,輸入影像之1112)的第五標記1142及對應於線(即,輸入影像之1114)的第六標記1144等等。
在一實例中,參考圖16A至圖16D,經訓練深度學習模型可接收輸入影像1600且產生對應於輸入影像1600之經分段影像1630。儘管經分段影像1630說明唯一標記,但經分段影像1630之各像素亦包括額外資訊,包括特定分類之機率分佈。因此,基於機率分佈,可判定若干機率相關量度,諸如識別特定標記之不確定性及/或誤差。舉例而言,使用各像素之機率分佈,可產生不確定性映圖1640。在另一實例中,可使用機率來產生誤差映射1650。基於映圖1640中之不確定性的量,可例如經由內插導出子像素準確性。
在一實施例中,經分段影像可進一步用以判定與在圖案化程序期間產生之特徵相關的參數(例如,CD、EPE、LER、頸縮、疊對等等)。基於該等參數,可判定圖案化程序中之缺陷(例如,EPE)。
圖17A說明應用經訓練深度學習模型來判定圖案化程序之特徵之參數的方法。可經由經訓練深度學習模型傳遞基板之至少一部分的輸入影像以產生經分段影像。經分段影像明確地描繪基板之可經量測以判定基板之參數(例如,CD)的特徵。在一實施例中,該等參數可用以判定對圖案化程序之調整。基於經分段影像之圖案化程序可相比於基於輪廓之方法產生更準確的結果。
舉例而言,相比於未經分段或灰階影像(例如,SEM影像),自經訓練深度學習模型產生之經分段影像可識別或區分開特徵、諸如圍繞特徵之光斑的充電效應(見圖18A)、具有類似材料之特徵等等。另一方面,在無分段之情況下使用諸如輸入影像1100之SEM影像以判定與特徵相關之參數會產生不準確的結果。舉例而言,在輸入影像1100中,舉例而言,當重疊特徵由類似材料製成時,特定特徵在何處結束且另一特徵在何處開始可能不明確。在此狀況下,基於SEM影像,諸如特徵1114及氧化物層1106之特徵可能並非可區分的。特徵1114在光柵1104上方延伸,且在特徵1114之尖端處(在頂端上)與由類似氧化物型材料製成之氧化物層1106重疊。基於此類影像而進行量測將明顯地引起不準確的量測,因此會在圖案化程序期間引入缺陷。因而,根據本發明方法之語意分段可相比於基於灰階影像之語意分段改良更準確地識別各種特徵。一般而言,術語語意分段指代將標記或分類指派給任何影像之各特徵的程序,且該程序之所得影像被稱作經分段影像。
在程序P170中,可獲得具有用以訓練模型1050之分類集1704 (分類集1004之實例或之子集)的經訓練深度學習模型1050 (例如,如圖10中所論述)。各分類對應於用於訓練經訓練深度學習模型之訓練基板(例如,輸入影像1100及經分段影像1130)的特徵。另外,可獲得經歷圖案化程序之基板之至少部分之具有複數個特徵的輸入影像1700 (例如,SEM影像)。輸入影像1700係可使用經訓練深度學習模型1050分段之影像。輸入影像1700可係不同於獲得經訓練模型1050之影像的任何影像。
在程序P172中,該方法可涉及使用輸入影像1700及分類集1704來藉由模型化及/或模擬經訓練深度學習模型1050產生經分段影像1730,其中在經分段影像1700中再現輸入影像之複數個特徵。在程序P172中,可不修改經訓練模型1050且可在單一遍次中產生經分段影像1730,此係因為已在經訓練模型1050中指派了擴張核心之權重。執行此經訓練模型P172可產生準確結果。此外,使用相關聯於可進一步用以判定經分段影像1730中之特徵的量測之置信度之標記(即,分類集)的機率,可導出不確定性及誤差資料(例如,訓練資料包括基本實況時可用之誤差資料)。在圖17B中說明實例經分段影像1730。
在一實施例中,產生經分段影像1730可包括將標記(即,分類集1704中之分類)指派給經分段影像1730之各像素。經分段影像1730之各像素與包含該分類集之資訊、對應於該分類集中之各分類的機率集及該經分段影像上之位置相關聯。標記可對應於特徵集中之具有例如該等機率中之與分類集相關聯之最大機率的特徵。在一實施例中,經分段影像1730可包括特徵,諸如線1710、1714、1716等等,如圖17B中所說明。在一實施例中,標記可表示各特徵且各標記可經顏色寫碼以明確地區分一個特徵與另一特徵。
在程序P174中,可基於經分段影像1730而判定圖案化程序之參數。判定圖案化程序之參數可包括對經分段影像1730內之複數個特徵執行量測。量測可包括特徵尺寸之量測、複數個特徵中之一或多個特徵之間的距離、或可經執行之其他幾何量測。可基於各像素之位置而自動執行量測。舉例而言,程序可經程式化以沿著一線識別特徵之第一像素及相同特徵之最後像素,且可基於與各像素相關聯之位置資訊而導出該等像素之間的距離。此外,可基於與各別像素相關聯之機率而運算距離之量測中的不確定性。因此,提供特定量測中之置信度的量度。
此外,可判定更複雜量測,諸如特徵之一端處的曲率(例如,特徵1710之點P11處)、沿著特徵之長度之尺寸的變化、不規則特徵(例如,塵粒)之面積等等,其可進一步補充有基於與各別像素相關聯之機率之量測中的不確定性及/或誤差。
另外,基於量測,可針對複數個特徵判定參數之值。參數可係與經分段影像1730之複數個特徵相關的邊緣置放誤差、疊對、線邊緣粗糙度、頸縮及/或CD。在一實例中,參數可係不同特徵之間的EPE、沿著特徵之長度(或寬度)的最小/最大CD值、沿著特徵之長度的線邊緣粗糙度(LER)、特徵該總面積、或可經導出以判定圖案化程序之基板中之品質或缺陷的其他適當參數。
在一實例中,如圖17B中所展示,可判定特徵1710之EPE。在一實施例中,EPE可係特徵之邊緣相對於另一特徵之間的偏移或距離。舉例而言,特徵1710之EPE可定義為自光柵之邊緣(例如,P10處)至特徵1710之末端所測得的距離d1。若距離d1小於預定臨限值,則在特徵1710與光柵1704之間存在EPE。此類預定臨限值可基於經驗或可儲存於資料庫中之過去圖案化資料。距離d1可指示特徵1710應延伸多少以防止諸如短路之缺陷。舉例而言,特徵1710可經設計以阻擋光柵之某一部分,導電材料會在圖案化程序期間沈積於該部分內。若距離d1小於預定臨限值或P1處之邊緣不完全阻斷光柵中之區域(例如,離開敞開區域),則特徵1710之左側及右側上的導電材料會可橋接,從而產生電短路路徑。此短路係非所要的,且會是自此基板產生之晶片有缺陷,從而可能需要捨棄該晶片。因此,可能需要監視此EPE以在圖案化程序中較早地捨棄基板以節約時間及處理成本,從而有效地增加圖案化程序之良率。在一實施例中,可基於與特徵相關之參數而對圖案化程序執行調整(例如,用以處理諸如劑量或焦點之變數)以防止其他基板上重現類似缺陷,此係因為圖案化程序係對同一基板或不同基板反覆地執行類似操作(例如,圖案轉印、蝕刻及沈積)的高度重複程序。
類似地,在另一實例中,可對特徵1716執行量測,例如量測沿著長度之線的寬度。倘若寬度小於特定臨限值,則可偵測頸縮。舉例而言,可在特徵1716之位置處偵測頸縮,此係因為寬度d2 (例如,10 nm)可小於特徵1716之所選寬度(例如,20 nm)的50%。
在一實施例中,在程序P176中,基於圖案化程序之參數,可判定對圖案化程序之調整。調整可針對圖案化程序之程序變數(例如,劑量、焦點、掃描器位階等等),以防止在同一基板或不同基板之後續處理中發生誤差或缺陷。可使用諸如在本發明中先前論述之程序模型之圖案化程序的模擬及/或模型化來判定調整。此外,在程序P178中,可應用調整以調整圖案化程序。換言之,可運用應用於圖案化程序之一或多個設備的調整執行基板上之圖案化。
語意分段程序可有利於判定遭受常常在HMI或SEM影像中觀察到之充電效應的特徵。充電效應作為圍繞特徵之光斑可見。此充電效應妨礙對基板上之特徵的分析,且吾人努力模型化此充電效應以考慮此效應。語意分段可用以分析此類影像。
圖18A說明包括圍繞特徵(即,接觸孔)之充電效應(即,光斑)的影像。輸入影像1800包括三個特徵(或分類):接觸孔1802、光斑1804及背景1806。接觸孔1802由光斑1804 (描繪為圍繞暗區,即接觸孔,之白環)包圍。可訓練深度偏斜模型以執行此光斑(例如,1814)、接觸孔1812及背景1816之語意分段。在一實施例中,可訓練深度學習模型以產生經分段影像1810,其中光斑1814可連同背景1816 (其對應於背景1806)集總且被解譯為雜訊而非接觸孔1812之部分。因此,經訓練深度學習模型可包括權重使得光斑被解譯為背景,因此,接觸孔可明顯地經分段。在一實施例中,光斑可被指派標記以相對於特徵大小、位置等等進一步探究光斑之幾何或光學特性。
圖19A至圖19E關於充電效應進一步說明語意分段方法之優點。經裁剪影像1900係先前論述之輸入影像1800之一部分的裁剪。經裁剪影像1900說明特徵1902 (即,接觸孔)、包圍接觸孔之光斑1904及背景1906。在分段之後,可獲得經分段影像1910 (圖19B中),其中第一標記(或分類/特徵) 1912對應於1902,第二標記(或分類/特徵) 1914對應於光斑1902,且第三標記1916 (或分類/特徵)對應於背景1906。另外,經裁剪影像1900之一部分在圖19C中縮放,且經分段影像1910之一對應部分在圖19D中同等地縮放。經裁剪影像1920不會明確地區分開三個特徵1902、1904與1906。另一方面,經分段影像1930更明確地區分此類特徵。此外,經分段影像1930內之各像素與特定像素屬於特定分類之機率相關聯。舉例而言,在圖19E中說明像素沿著自A至B之線1932的機率分佈。在圖19E中,在點A處,像素屬於第一標記1912之機率相比於其他標記1914及1916係最高的。因為像素更遠離點A同時朝向點B移動,所以應向特定像素指派第一標記1912的機率降低。類似地,當第一標記小於第二標記1914時,經分段影像1932展示第二標記1914而非第一標記1912。另外,當像素更接近點B時,第三標記1916之機率相對高於其他標記。因此,基板上之經受充電效應的特徵不僅更佳地區分,而且可運用具有某些準確性或置信度值範圍的量測值進行量測。
在一實施例中,可取決於基板之特徵或圖案佈局而開發一或多個深度學習模型。舉例而言,可訓練第一深度學習模型以執行諸如如輸入影像1100 (圖11中)中所展示之線、桿體、光柵等等之特徵的語意分段。在本發明中先前論述第一深度學習模型之訓練。
在另一實例中,可訓練第二深偏斜模型以執行諸如圖20A及圖20B中所展示之特徵的語意分段。圖20A說明具有特徵2002及2004之輸入影像2000A。圖20B中之經分段影像2000B說明對應於特徵2002及2004之特徵2012及2014。在一實施例中,特徵2002及2004可不同於影像1100中之特徵,因而,第一經訓練模型可能不準確地標記或識別特徵。換言之,未知特徵之標記的誤差及/或不確定性的量可相比於已知特徵之標記係相對高的(即,可運用此之特徵訓練第一模型)。
類似地,在另一實例中,可訓練第三語意模型以識別諸如圖21A及21B中之圖案或特徵。圖21A說明輸入影像2100A且圖21B說明對應於輸入影像2100A之經分段影像2100B。輸入影像2100A包括相比於影像1100及2000A中之特徵具有大體上不同之形狀及大小的若干特徵。
因此,在一實施例中,可訓練且應用不同模型,接著基於各模型之不確定性及誤差映射,可使用特定模型以識別某些類型之特徵。舉例而言,第一經訓練模型可在識別影像1100之特徵的過程中展現最低誤差,而相同第一經訓練模型可在識別影像2100A之特徵的過程中展現最高誤差。在此狀況下,可選擇第一經訓練模型以識別類似於影像1100之特徵。
第一、第二及第三模型僅作為實例論述且不限制本發明。在一實施例中,可針對1100、2000A及2100A之所有特徵訓練單個模型。
基於經訓練深度學習模型之語意分段具有若干優點。經訓練深度學習模型可識別模型經訓練以跨越不同影像識別的特徵,而不再訓練或再調諧模型之參數(例如,權重、偏壓或常數)。此外,當經訓練模型應用於基板之不同影像或圖案化程序之不同基板時,可能不需要再調諧以識別或追蹤特徵之輪廓(contour/outline)。此外,可判定基板上之特徵的尺寸以導出圖案化程序(如先前所論述)之參數(例如,CD、疊對、EPE等等)。基於該等參數,可識別基板之一或多個缺陷,且可調整圖案化程序以改良圖案化程序之良率。
不同於在輪廓判定技術中,可能不再調諧(或再訓練)經訓練深度學習模型以識別不同影像上之類似特徵類型。輪廓判定技術通常需要取決於影像而再調諧輪廓判定演算法中使用之參數(即,函數、變數或常數),且可引起影像間方差。舉例而言,第一影像可包括特定特徵(例如,接觸孔),接著習知輪廓判定演算法可能需要針對不同影像(例如,針對同一基板或不同基板之不同部分獲取的SEM影像)上的相同特徵(例如,接觸孔)進行再調諧。另一方面,可能不再訓練或再調諧基於針對特徵類型(例如,接觸孔)訓練模型之經訓練深度學習模型的語意分段以識別不同影像上之相同特徵類型。因為不需要再調諧,所以當在圖案化程序期間使用與輪廓判定演算法比較之經訓練深度學習模型時,可以更少的運算資源及時間改良圖案化程序。
圖22係可輔助實施本文中所揭示之方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104 (或多個處理器104及105)。電腦系統100亦包括主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存裝置,其耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令。主記憶體106在執行待由處理器104執行之指令期間亦可用於儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令之唯讀記憶體(ROM) 108或其他靜態儲存裝置。提供儲存裝置110 (諸如磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(cathode ray tube,CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入裝置114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入裝置係用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常在兩個軸線--第一軸線(例如,x)及第二軸線(例如,y)--中具有允許裝置在平面上指定位置的兩個自由度。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
根據一個實施例,程序之部分可回應於處理器104執行含於主記憶體106中之一或多個指令的一或多個序列而由電腦系統100執行。可自諸如儲存裝置110之另一電腦可讀媒體將此類指令讀取至主記憶體106中。含於主記憶體106中之指令序列的執行造成處理器104執行本文中所描述之程序步驟。亦可採用多處理配置中之一或多個處理器,以執行包含於主記憶體106中的指令序列。在一替代具體實例實施例中,可取代或結合軟體指令來使用硬佈線電路系統。因此,本文中之描述不限於硬體電路系統與軟體之任何特定組合。
如本文所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,包括包含匯流排102的線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器104以供執行。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中攜載之資料且將該資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自主記憶體106擷取且執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存裝置110上。
電腦系統100亦理想地包括耦接至匯流排102之通信介面118。通信介面118提供對連接至區域網路122之網路鏈路120的雙向資料通信耦接。舉例而言,通信介面118可係整合式服務數位網路(integrated services digital network,ISDN)卡或數據機以提供至對應類型之電話線的資料通信連接。作為另一實例,通信介面118可係區域網路(local area network,LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料裝置。舉例而言,網路鏈路120可經由區域網路122向主機電腦124或向由網際網路服務提供者(Internet Service Provider,ISP) 126操作之資料裝備提供連接。ISP 126繼而經由現通常被稱作「網際網路」128之全球封包資料通信網路提供資料通信服務。區域網路122及網際網路128兩者皆使用攜載數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100及自電腦系統100攜載數位資料)為輸送資訊的載波之實例形式。
電腦系統100可經由網路、網路鏈路120及通信介面118發送消息且接收資料,包括程式碼。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之所請求程式碼。一個此類經下載之應用程式可提供例如實施例之照明最佳化。經接收碼可在其被接收時由處理器104執行,及/或儲存於儲存裝置110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
可使用以下條項來進一步描述實施例: 1.   一種用於訓練一圖案化程序之一深度學習模型的方法,該方法包含: 獲得(i)訓練資料,其包含一基板之至少一部分之具有複數個特徵的一輸入影像及對應於該輸入影像之一實況影像、(ii)一分類集,各分類在該輸入影像內對應於該基板之該複數個特徵中的一特徵、及(iii)經組態以接收該訓練資料及該分類集之一深度學習模型; 藉由使用該輸入影像來模型化及/或模擬該深度學習模型來產生一預測影像,其中該深度學習模型運用包含複數個權重之至少一個擴張核心反覆地執行一廻旋運算; 基於該預測影像內之一特徵與該實況影像內之一對應特徵的匹配而將該分類集中之一分類指派給該特徵;及 藉由使用一損失函數來基於穿過該深度學習模型之一反向傳播而反覆地將權重指派給該至少一個擴張核心使得該預測影像再現該輸入影像之該複數個特徵,藉由模型化及/或模擬來產生一經訓練深度學習模型。 2.   如條項1之方法,其中該廻旋運算包含 使該輸入影像與該至少一個擴張核心重疊, 判定包括該至少一個擴張核心之一權重的各重疊元素與該輸入影像之一像素的一值之間的一乘積,及 對該乘積之結果進行求和以判定該廻旋運算之一值。 3.   如條項1至2中任一項之方法,其中該至少一個擴張核心包含複數個權重、一擴張速率及一深度,其中該深度係該分類集中之分類之一數目的一倍數。 4.   如條項1至3中任一項之方法,其中該損失函數係該實況影像之一函數及該分類集中之各分類經指派給該預測影像的一機率。 5.   如條項4之方法,其中該反覆地指派權重包含修改該擴張核心之該複數個權重、該擴張速率及/或該深度,以基於該損失函數之一局部導數而特性化該圖案化程序之至少一部分。 6.   如條項1至5中任一項之方法,其中該至少一個擴張核心包含 該至少一個擴張核心中之一第一擴張核心包含一第一權重集、一第一擴張速率及等於該分類集中之分類之一數目的一深度; 該至少一個擴張核心中之一第二擴張核心包含一第二權重集、一第二擴張速率及等於該分類集中之分類之一數目的一深度,其中該第二權重集不同於該第一權重集及/或該第二擴張速率不同於該第一擴張速率。 7.   如條項6之方法,其中該第一擴張核心特性化該圖案化程序之一第一部分,且一第二核心特性化該圖案化程序之一第二部分,其中該第二部分係該圖案化程序之一投影系統且該第二部分係與該基板之該特徵相關的一參數。 8.   如條項1至7中任一項之方法,其中該擴張核心係對稱的以特性化該圖案化程序之一設備的一投影系統。 9.   如條項8之方法,其中該反覆地指派權重包含將一第一權重集指派給該擴張核心之該複數個權重,以特性化該圖案化程序之一設備的一投影系統。 10.  如條項1至9中任一項之方法,其中該擴張速率係介於1與10之間的一整數值。 11.  一種將一經訓練深度學習模型應用於一圖案化程序之方法,該方法包含: 獲得(i)該經訓練深度學習模型、(ii)一分類集,各分類對應於用於訓練該經訓練深度學習模型之一訓練基板的一特徵、及(iii)經歷該圖案化程序之具有複數個特徵之一基板之至少部分的一輸入影像; 藉由使用該輸入影像及該分類集來模型化及/或模擬該經訓練深度學習模型來產生一經分段影像,其中該輸入影像之該複數個特徵在該經分段影像中再現;及 基於該經分段影像之該複數個特徵而判定該圖案化程序之一參數。 12.  如條項11之方法,其中產生一經分段影像包含將該分類集中之一分類指派給該經分段影像之各像素,其中標記對應於特徵集中之具有最大機率的一特徵,且該經分段影像經像素化。 13.  如條項12之方法,其中該經分段影像之各像素與包含該分類集之資訊、對應於該分類集中之各分類的一機率集及該經分段影像上之一位置相關聯。 14.  如條項11至13中任一項之方法,其中判定該圖案化程序之一參數包含 對該經分段影像內之該複數個特徵執行量測,該等量測包含一特徵尺寸、及/或該複數個特徵中之一或多個特徵之間的一距離;及 基於與該複數個特徵相關之該等量測而判定該參數。 15.  如條項11至14中任一項之方法,其中該參數係一邊緣置放誤差、疊對、一線邊緣粗糙度、頸縮及/或CD。 16.  如條項11至15中任一項之方法,其進一步包含: 基於與一特徵相關之該參數而判定對該圖案化程序之一調整;及 回應於該調整而調整該圖案化程序。 17.  如條項16之方法,其中,該調整包括對包括劑量及/或焦點之一程序變數的調整。
本發明之實施例可以硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取且執行。機器可讀媒體可包括用於儲存或傳輸以可由機器(例如,計算裝置)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體裝置;電氣、光學、聲學或其他形式之傳播信號(例如,載波、紅外信號、數位信號等)等等。另外,韌體、軟體、常式、指令可在本文中被描述為執行特定動作。然而,應瞭解,此等描述僅僅係出於方便起見,且此等動作事實上係由運算裝置、處理器、控制器或執行韌體、軟體、常式、指令等等之其他裝置引起。
在方塊圖中,所說明之組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明來組織的系統。由組件中之每一者提供的功能性可由軟體或硬體模組提供,該等模組以與目前所描繪之方式不同之方式組織,例如,可摻和、結合、複寫、解散、分配(例如,在資料中心內或按地區),或另外以不同方式組織此軟體或硬體。本文中所描述之功能性可由執行儲存於有形的、非暫時性機器可讀媒體上之程式碼之一或多個電腦的一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳達之資訊中的一些或全部,在此狀況下,在據稱供應或以另外方式提供資訊(例如,內容)之情況下,可藉由發送指令以自內容遞送網路擷取彼資訊提供該資訊。
除非另外具體地陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「運算」、「計算」、「判定」等之術語的論述係指諸如專用電腦或相似專用電子處理/運算裝置之特定設備的動作或程序。
讀者應瞭解,本申請案描述若干發明。申請人已將此等發明分組成單一文件,而非將彼等發明分離成多個經隔離專利申請案,此係因為該等發明之相關主題可在應用程序中有助於經濟發展。但不應合併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提到之所有不足,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或供應其他未經提及之益處,該等益處對於檢閱本發明之熟習此項技術者將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在稍後申請案(諸如接續申請案或藉由修正本技術方案)中主張該等發明。相似地,歸因於空間限制,本發明文件之[發明摘要]及[發明內容]章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。
應理解,本說明書及圖式並不意欲將本發明限於所揭示之特定形式,而正相反,本發明意欲涵蓋屬於如由所附申請專利範圍所界定之本發明之精神及範疇的所有修改、等效物及替代方案。
鑒於本說明書,本發明之各種態樣之修改及替代實施例將對於熟習此項技術者而言顯而易見。因此,本說明書及圖式應被理解為僅為說明性的且係出於教示熟習此項技術者進行本發明之一般方式之目的。應理解,本文中所展示及描述之本發明之形式應被視為實施例之實例。元件及材料可替代本文中所說明及描述之元件及材料,部分及程序可被反轉,按次序改變或被省略,可獨立利用某些特徵,且可經組合實施例或實施例之特徵,此皆如對熟習此項技術者在獲得本發明之本說明書之益處之後將顯而易見。可在不脫離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下對本文中所描述之元件作出改變。本文中所使用之標題僅為達成組織性目的,且不意欲用以限制本說明書之範疇。
如貫穿本申請案所使用,詞「可」係在許可之意義(即,意謂有可能)而非強制性之意義(即,意謂必須)下予以使用。詞「包括(include/including/includes)」等意謂包括但不限於。如貫穿本申請案所使用,單數形式「a/an/the」包括複數個參照物,除非內容另有明確地指示。因此,舉例而言,對「元件(an element/a element)」之參考包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及短語,諸如「一或多個」。術語「或」除非另外指明,否則係非排他性的,亦即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」等涵蓋因果關係,其中前提為必要的因果條件,前期為充分的因果條件,或前期為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」係通用的。此類條件關係不限於即刻遵循前提而獲得之結果,此係由於可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之可能性相關。除非另有指示,否則複數個特質或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等特質或功能經映射至所有此等物件及特質或功能之子集經映射至特質或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分及步驟D之狀況)。另外,除非另外指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為單獨因數之情況及條件或值為複數個因數當中之一個因數之情況兩者。除非另有指示,否則某一集合之「各」個例具有某一特性之陳述不應被理解為排除更大集合中之一些以其他方式相同或類似之成員不具有該特性的狀況,即,各不一定意謂各個。
在某些美國專利、美國專利申請案或其他材料(例如,論文)已以引用方式併入之情況下,此等美國專利、美國專利申請案及其他材料之文字僅在此材料與本文中所闡述之陳述及圖式之間不存在衝突之情況下以引用的方式併入。在存在此類衝突之情況下,在此類以引用方式併入的美國專利、美國專利申請案及其他材料中之任何此類衝突並不具體地以引用方式併入本文中。
儘管上文已描述本發明之特定實施例,但將瞭解,可以與所描述方式不同的其他方式來實踐實施例。
2:輻射源 4:光譜儀偵測器 10:光譜 11:背向投影式聚焦平面 12:透鏡系統 13:干涉濾光器 14:參考鏡面 15:物鏡 16:部分反射表面 17:偏振器 18:偵測器 30:基板目標 100:電腦系統 102:匯流排 104:處理器 105:處理器 106:主記憶體 108:唯讀記憶體(ROM) (圖22)/經量測輻射分佈(圖6) 110:儲存裝置 112:顯示器 114:輸入裝置 116:游標控制件 118:通信介面 120:網路鏈路 122:區域網路 124:主機電腦 126:網際網路服務提供者(ISP) 128:網際網路 130:伺服器 206:經參數化模型 208:輻射分佈 210:數值馬克士威求解程序 212:操作 310:變數 320:變數 330:變數 340:變數 350:特性 360:特性 370:特性 1002:訓練資料 1004:分類集 1006:深度學習模型 1010:輸出張量 1020:經分段影像 1050:經訓練深度學習模型 1100:輸入影像 1102:電源軌 1104:光柵 1106:氧化物層 1110:第一組豎直線 1112:線 1114:第二組豎直線 1115a:第一輸出張量 1115b:第二輸出張量 1115c:第三輸出張量 1115d:第四輸出張量 1115e:輸出張量 1115f:輸出張量 1115g:輸出張量 1115h:輸出張量 1130:經分段影像 1132:第一標記 1134:第二標記 1136:第三標記 1140:第四標記 1142:第五標記 1144:第六標記 1200:源模型 1210:投影光學件模型 1220:圖案化裝置/設計佈局模型模組 1230:空中影像 1240:抗蝕劑模型 1250:抗蝕劑影像 1260:圖案轉印後程序模型 1300:源模型 1310:度量衡光學件模型 1320:度量衡目標模型 1330:光瞳或空中影像 1400:像素化輸入張量 1410:第一3×3擴張核心 1420:第二3×3擴張核心 1430:第三3×3擴張核心 1600:輸入影像 1630:經分段影像 1640:不確定性映圖 1650:誤差映射 1700:輸入影像 1704:分類集 1710:線 1716:線 1730:經分段影像 1800:輸入影像 1802:接觸孔 1804:光斑 1806:背景 1810:經分段影像 1812:接觸孔 1814:光斑 1816:背景 1900:經裁剪影像 1902:接觸孔 1904:光斑 1906:背景 1910:經分段影像 1912:第一標記 1914:第二標記 1916:第三標記 1920:經裁剪影像 1930:經分段影像 1932:經分段影像 2000A:輸入影像 2000B:經分段影像 2002:特徵 2004:特徵 2100A:輸入影像 2100B:經分段影像 2012:特徵 2014:特徵 AD:調整器 AS:對準感測器 B:輻射光束 BD:光束遞送系統 BK:烘烤板 CH:冷卻板 CO:聚光器 d1:距離 d2:寬度 h:高度 I/O1:輸入埠 I/O2:輸出埠 IF:位置感測器 IL:照明系統 IN:積光器 LA:微影設備 LACU:微影控制單元 LB:裝載匣 LC:微影製造單元 LS:位階感測器 M1 :圖案化裝置對準標記 M2 :圖案化裝置對準標記 MA:圖案化裝置 MT:支撐結構 P1 :基板對準標記 P2 :基板對準標記 P10:程序 P11:點 P12:程序 P14:程序 P16:程序 P18:程序 P170:程序 P172:程序 P174:程序 P176:程序 P178:程序 PM:第一定位器 PS:投影系統 PU:處理器 PW:第二定位器 RF:參考框架 RO:基板處置器/機器人 S:光點 SC:旋塗器 SCS:監督控制系統 SO:輻射源/源收集器模組 t:厚度 TCU:塗佈顯影系統控制單元 W:基板 w:寬度 w1:權重 w2:權重 w3:權重 w4:權重 w5:權重 w6:權重 w7:權重 w8:權重 w9:權重 WTa:基板台 WTb:基板台 X:方向 Y:方向 Z:方向 α:側壁角
圖1示意性地描繪根據一實施例之微影設備。
圖2示意性地描繪微影製造單元或微影叢集之實施例;
圖3示意性地描繪實例檢測設備及度量衡技術。
圖4示意性地描繪實例檢測設備。
圖5說明檢測設備之照明光點與度量衡目標之間的關係。
圖6示意性地描繪基於量測資料導出複數個所關注變數的程序。
圖7展示處理變數之實例類別。
圖8示意性地展示根據一實施例之方法的流程。
圖9示意性地展示根據一實施例之方法的流程。
圖10示意性地展示根據一實施例之訓練深度學習模型之方法的流程。
圖11說明根據一實施例之訓練圖10之深度學習模型的實例。
圖12說明根據一實施例之用於訓練深度學習模型之像素化輸入影像的實例。
圖13A說明根據一實施例之用於訓練深度學習模型之擴張核心的實例。
圖13B說明根據一實施例之用於訓練深度學習模型之擴張核心的實例權重。
圖14A說明根據一實施例之具有用於訓練深度學習模型之第一擴張核心的實例廻旋運算。
圖14B說明根據一實施例之具有用於訓練深度學習模型之第二擴張核心的實例廻旋運算。
圖14C說明根據一實施例之具有用於訓練深度學習模型之第三擴張核心的實例廻旋運算。
圖15係根據一實施例之損失函數之局部導數的實例曲線圖。
圖16A說明根據一實施例之深度學習模型的實例輸入影像。
圖16B說明根據一實施例之圖16A之輸入影像的實例預測影像。
圖16C說明根據一實施例之圖16B之預測影像之實例不確定性映圖。
圖16D說明根據一實施例之圖16B之預測影像的實例誤差映圖。
圖17A示意性地展示根據一實施例之基於經訓練深度學習模型之語意分段方法的流程。
圖17B係根據一實施例之來自圖17A之經訓練深度學習模型的實例經分段影像。
圖18A係根據一實施例之具有充電效應的實例輸入影像。
圖18B係根據一實施例之圖18A之輸入影像的實例經分段影像。
圖19A係根據一實施例之圖18A之輸入影像的裁剪。
圖19B係根據一實施例之圖18B之經分段影像的裁剪。
圖19C係根據一實施例之圖19A之裁剪的縮放部分。
圖19D係根據一實施例之圖19B之裁剪的縮放部分。
圖19E說明根據一實施例之圖19D之縮放部分內之特徵的實例機率分佈。
圖20A及圖20B係根據一實施例之分別用以訓練第二深度學習模型之實例輸入影像及經分段影像。
圖21A及圖21B係根據一實施例之分別用以訓練訓練深度學習模型之實例輸入影像及經分段影像。
圖22係實例電腦系統之方塊圖。
1002:訓練資料
1004:分類集
1006:深度學習模型
1010:輸出張量
1020:經分段影像
1050:經訓練深度學習模型
P10:程序
P12:程序
P14:程序
P16:程序
P18:程序

Claims (15)

  1. 一種將一經訓練深度學習模型應用於一圖案化程序之方法,該方法包含:獲得(i)該經訓練深度學習模型、(ii)一分類集(set of classes),各分類對應於用於訓練該經訓練深度學習模型之一訓練基板的一特徵、及(iii)經歷該圖案化程序之具有複數個特徵之一基板之至少部分的一輸入影像;藉由使用該輸入影像及該分類集來模型化及/或模擬該經訓練深度學習模型來產生一經分段影像(segmented image),其中該輸入影像之該複數個特徵在該經分段影像中再現;及基於該經分段影像之該複數個特徵而判定該圖案化程序之一參數。
  2. 如請求項1之方法,其中產生一經分段影像包含將該分類集中之一分類指派給該經分段影像之各像素,其中經指派至該經分段影像之各像素之該分類對應於特徵集中之具有最大機率的一特徵,且該經分段影像經像素化(pixelated)。
  3. 如請求項2之方法,如請求項2之方法,其中經指派至該經分段影像之各像素之該分類係一接觸孔、一線、一桿體(bar)、一光柵、一氧化物層或一臨界尺寸(CD)。
  4. 如請求項2之方法,其中其中經指派至該經分段影像之各像素之該分類係經顏色寫碼(color coded)。
  5. 如請求項1之方法,其中該經訓練深度學習模型包含一廻旋神經網路。
  6. 如請求項1之方法,其中該經訓練深度學習模型具有帶有節點之複數個層,該等節點具有複數個權重。
  7. 如請求項2之方法,其中該經分段影像之各像素與包含該分類集之資訊、對應於該分類集中之各分類的一機率集及該經分段影像上之一位置相關聯。
  8. 如請求項1至3中任一項之方法,其中判定該圖案化程序之一參數包含:對該經分段影像內之該複數個特徵執行量測,該等量測包含一特徵尺寸、及/或該複數個特徵中之一或多個特徵之間的一距離;及基於與該複數個特徵相關之該等量測而判定該參數。
  9. 如請求項8之方法,其中基於該經分段影像之各像素之位置而執行該等量測。
  10. 如請求項1至3中任一項之方法,其中該參數係一邊緣置放誤差(EPE)、疊對、一線邊緣粗糙度(LER)、頸縮(necking)及/或CD。
  11. 如請求項1至3中任一項之方法,其中該參數係不同特徵之間之一邊緣置放誤差、沿著一特徵之一長度的一最小/最大CD值、沿著一特徵之一長度的一線邊緣粗糙度或一特徵之一總面積。
  12. 如請求項1至3中任一項之方法,其進一步包含:基於與一特徵相關之該參數而判定對該圖案化程序之一調整;及回應於該調整而調整該圖案化程序。
  13. 如請求項12之方法,其中,該調整包括對包括劑量及/或焦點之一程序變數的調整。
  14. 如請求項12之方法,其中使用該圖案化程序之模擬及/或模型化來判定該調整。
  15. 一種電腦程式產品,其包含一非暫時性電腦可讀媒體,該非暫時性電腦可讀媒體上記錄有指令,該等指令在由一電腦執行時實施如請求項1之方法。
TW109127236A 2018-02-23 2019-02-22 用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品 TWI749698B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862634540P 2018-02-23 2018-02-23
US62/634,540 2018-02-23

Publications (2)

Publication Number Publication Date
TW202113633A TW202113633A (zh) 2021-04-01
TWI749698B true TWI749698B (zh) 2021-12-11

Family

ID=65443855

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109127236A TWI749698B (zh) 2018-02-23 2019-02-22 用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品
TW108105943A TWI703462B (zh) 2018-02-23 2019-02-22 用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108105943A TWI703462B (zh) 2018-02-23 2019-02-22 用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品

Country Status (5)

Country Link
US (2) US11379970B2 (zh)
KR (2) KR102606115B1 (zh)
CN (1) CN111886606A (zh)
TW (2) TWI749698B (zh)
WO (1) WO2019162204A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102606115B1 (ko) * 2018-02-23 2023-11-29 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) * 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US20200150643A1 (en) * 2018-05-07 2020-05-14 Strong Force Iot Portfolio 2016, Llc Methods and systems for data collection, learning, and streaming of machine signals for analytics and maintenance using the industrial internet of things
WO2020033979A1 (en) * 2018-08-08 2020-02-13 Rensselaer Polytechnic Institute Enhancing contrast sensitivity and resolution in a grating interferometer by machine learning
JP6832329B2 (ja) * 2018-12-18 2021-02-24 富士通株式会社 データ構造
US20200311613A1 (en) * 2019-03-29 2020-10-01 Microsoft Technology Licensing, Llc Connecting machine learning methods through trainable tensor transformers
WO2020200993A1 (en) * 2019-04-04 2020-10-08 Asml Netherlands B.V. Method and apparatus for predicting substrate image
US11397895B2 (en) * 2019-04-24 2022-07-26 X Development Llc Neural network inference within physical domain via inverse design tool
US11600536B2 (en) * 2019-07-04 2023-03-07 Hitachi High-Tech Corporation Dimension measurement apparatus, dimension measurement program, and semiconductor manufacturing system
US11862146B2 (en) * 2019-07-05 2024-01-02 Asapp, Inc. Multistream acoustic models with dilations
JP7114528B2 (ja) * 2019-07-08 2022-08-08 株式会社東芝 推論装置、学習装置、推論方法及び学習方法
US20210012239A1 (en) * 2019-07-12 2021-01-14 Microsoft Technology Licensing, Llc Automated generation of machine learning models for network evaluation
US11966840B2 (en) * 2019-08-15 2024-04-23 Noodle Analytics, Inc. Deep probabilistic decision machines
CN112488983A (zh) * 2019-09-11 2021-03-12 中信戴卡股份有限公司 缺陷识别网络的获得方法、缺陷识别方法和等级确定方法
CN110782008B (zh) * 2019-10-16 2022-05-13 北京百分点科技集团股份有限公司 深度学习模型的训练方法、预测方法和装置
CN112784858B (zh) * 2019-11-01 2024-04-30 北京搜狗科技发展有限公司 一种图像数据的处理方法、装置及电子设备
CN112819019B (zh) * 2019-11-15 2023-06-20 财团法人资讯工业策进会 分类模型生成装置及其分类模型生成方法
US11762283B2 (en) 2019-12-13 2023-09-19 Synopsys, Inc. Inverse lithography and machine learning for mask synthesis
CN111044525B (zh) * 2019-12-30 2021-10-29 歌尔股份有限公司 一种产品缺陷检测方法、装置与系统
US20230107556A1 (en) * 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
US11600504B2 (en) 2020-06-29 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting damaged semiconductor wafers utilizing a semiconductor wafer sorter tool of an automated materials handling system
JP7332810B2 (ja) * 2020-07-09 2023-08-23 株式会社日立ハイテク パターンマッチング装置、パターン測定システム、パターンマッチングプログラム
CN111899274B (zh) * 2020-08-05 2024-03-29 大连交通大学 一种基于深度学习tem图像分割的粒径分析方法
EP4053729A4 (en) * 2020-09-23 2023-06-07 Changxin Memory Technologies, Inc. METHOD AND DEVICE FOR COMPARING CHIP PRODUCTS, METHOD AND DEVICE FOR MODELING CHIP PRODUCTS AND STORAGE MEDIA
CN112138394B (zh) * 2020-10-16 2022-05-03 腾讯科技(深圳)有限公司 图像处理方法、装置、电子设备及计算机可读存储介质
EP4020085A1 (en) * 2020-12-22 2022-06-29 ASML Netherlands B.V. Machine learning based image generation of after-development or after-etch images
CN113111937A (zh) * 2021-04-09 2021-07-13 中国工程物理研究院电子工程研究所 基于深度学习的图像匹配方法
US11656909B2 (en) * 2021-04-15 2023-05-23 National Taiwan University Tensor accelerator capable of increasing efficiency of data sharing
CN113238460B (zh) * 2021-04-16 2022-02-11 厦门大学 一种基于深度学习的用于超紫外的光学邻近校正方法
CN114670549B (zh) * 2022-03-28 2023-01-06 华中科技大学 一种柔性显示多层结构功能层喷印制备方法和系统
US20230315953A1 (en) * 2022-04-05 2023-10-05 Applied Materials, Inc. Using deep reinforcement learning for time constraint management at a manufacturing system
CN115700494B (zh) * 2022-09-16 2023-06-30 哈尔滨工业大学 一种基于贝叶斯推理的轨道交通监测数据清洗方法及系统
CN115308215B (zh) * 2022-10-11 2022-12-20 南通市怡天时纺织有限公司 基于激光束的织物织造疵点检测方法
CN116385789B (zh) * 2023-04-07 2024-01-23 北京百度网讯科技有限公司 图像处理方法、训练方法、装置、电子设备及存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016096309A1 (en) * 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
TW201723709A (zh) * 2015-09-29 2017-07-01 Asml荷蘭公司 模型化系統或執行諸如微影系統之系統之預測維修的方法及相關聯微影系統
TW201734825A (zh) * 2015-12-31 2017-10-01 克萊譚克公司 用於半導體應用之以機器學習之模型為基礎之加速訓練
US20170357911A1 (en) * 2014-12-18 2017-12-14 Asml Netherlands B.V. Feature search by machine learning

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
WO2015189026A2 (en) * 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
US10360477B2 (en) 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
KR102606115B1 (ko) * 2018-02-23 2023-11-29 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016096309A1 (en) * 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
TWI584142B (zh) * 2014-12-15 2017-05-21 Asml荷蘭公司 基於機器學習之最佳化
US20170357911A1 (en) * 2014-12-18 2017-12-14 Asml Netherlands B.V. Feature search by machine learning
TW201723709A (zh) * 2015-09-29 2017-07-01 Asml荷蘭公司 模型化系統或執行諸如微影系統之系統之預測維修的方法及相關聯微影系統
TW201734825A (zh) * 2015-12-31 2017-10-01 克萊譚克公司 用於半導體應用之以機器學習之模型為基礎之加速訓練

Also Published As

Publication number Publication date
TW201942769A (zh) 2019-11-01
US11379970B2 (en) 2022-07-05
WO2019162204A1 (en) 2019-08-29
TWI703462B (zh) 2020-09-01
KR20200113244A (ko) 2020-10-06
US11847570B2 (en) 2023-12-19
KR20230025943A (ko) 2023-02-23
KR102606115B1 (ko) 2023-11-29
US20220327686A1 (en) 2022-10-13
US20210374936A1 (en) 2021-12-02
KR102499656B1 (ko) 2023-02-14
CN111886606A (zh) 2020-11-03
TW202113633A (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
TWI749698B (zh) 用於訓練一圖案化程序之一深度學習模型之方法及相關電腦程式產品
TWI785290B (zh) 用於對影像圖案進行分組以判定在圖案化製程中晶圓行為的裝置及方法
TWI700547B (zh) 用於計算度量衡之方法及電腦程式產品
TWI721298B (zh) 度量衡方法及相關之電腦程式產品
TWI669750B (zh) 用於影像分析之方法及設備
TWI782245B (zh) 用於訓練圖案化製程之機器學習模型之方法及電腦程式產品
TWI709828B (zh) 基於計算度量衡之取樣方案
TW201937305A (zh) 基於缺陷機率的製程窗
TWI749355B (zh) 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
US11733613B2 (en) Prediction of out of specification based on a spatial characteristic of process variability
TW202117454A (zh) 用於在半導體製造程序中應用沉積模型之方法
TW202136924A (zh) 用參數化模型預測製程資訊之方法和系統
TW201945852A (zh) 基於參數之機率密度函數的控制
TW201937270A (zh) 引導式圖案化裝置檢測
TW202020577A (zh) 基於晶圓量測判定熱點排序
US20220404718A1 (en) Matching pupil determination
TWI831362B (zh) 基於失效率之製程窗
TW202028873A (zh) 自圖案化製程之圖案組判定候選圖案的方法
TWI806324B (zh) 用於製造程序參數估計之模組自動編碼器模型