TWI728267B - Process control method for semiconductor manufacturing - Google Patents

Process control method for semiconductor manufacturing Download PDF

Info

Publication number
TWI728267B
TWI728267B TW107133596A TW107133596A TWI728267B TW I728267 B TWI728267 B TW I728267B TW 107133596 A TW107133596 A TW 107133596A TW 107133596 A TW107133596 A TW 107133596A TW I728267 B TWI728267 B TW I728267B
Authority
TW
Taiwan
Prior art keywords
size
trench
circuit pattern
dielectric layer
wafer
Prior art date
Application number
TW107133596A
Other languages
Chinese (zh)
Other versions
TW202013576A (en
Inventor
謝萬善
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW107133596A priority Critical patent/TWI728267B/en
Publication of TW202013576A publication Critical patent/TW202013576A/en
Application granted granted Critical
Publication of TWI728267B publication Critical patent/TWI728267B/en

Links

Images

Abstract

A process control method for semiconductor manufacturing is disclosed. First, a substrate having a dielectric layer thereon is provided. A patterning process is performed to form at least a trench in the dielectric layer, wherein a main surface of the dielectric layer comprises a trench pattern defined by the trench. A conductive material is formed on the dielectric layer and completely fills the trench. Subsequently, a polishing process is performed to remove the conductive material outside the trench and a portion of the dielectric layer until a first surface of the dielectric layer comprising a first circuit pattern defined by the conductive material remained in the trench is obtained. Afterward, an inline critical dimension measurement step is performed to obtain a dimension of the first circuit pattern. The dimension of the first circuit pattern is then used to determine whether the patterning process needs to be adjusted.

Description

半導體製程控制方法 Semiconductor manufacturing process control method

本發明係關於一種半導體製程控制方法,特別是關於一種控制用來形成線路結構的溝渠的尺寸與側壁輪廓的半導體製程控制方法。 The present invention relates to a semiconductor process control method, in particular to a semiconductor process control method for controlling the size and sidewall profile of trenches used to form circuit structures.

隨著半導體元件尺寸微縮,關鍵尺寸的調整對於元件效能及良率有顯著的影響。一般而言,對於藉由填充溝渠而形成之半導體結構,例如藉由填充形成在介電層中的溝渠而形成的金屬電連接結構的關鍵尺寸,生產上的控制多是在形成導電材料填充溝渠前對溝渠進行線上量測,根據溝渠尺寸間接獲得金屬電連接結構尺寸來進行控制。後續,形成導電材料填滿溝渠後,再利用研磨製程移除溝渠外多餘的導電材料,同時將介電層研磨至預定厚度,製作出金屬電連接結構。但是,形成溝渠的過程中,常由於材料特性或製程負載效應的影響,使得溝渠可能具有非垂直於基底表面的側壁輪廓,例如外擴、內凹或杯狀的側壁輪廓。這種情況下,前述線上量測獲得的溝渠尺寸與最終存在半導體元件中的金屬電連接結構尺寸常存在誤差,無法正確反應出尺寸偏移的趨勢。 另一方面,雖然可藉由切割晶圓並製備顯露出金屬電連接結構剖面結構的樣本後,再利用電子顯微鏡技術例如TEM或SEM量測獲得金屬電連接結構實際的尺寸與側壁輪廓,但是上述手段不僅耗費晶圓以及樣本製備時間,也無法提供具有統計意義的晶圓均勻度(within wafer uniformity)數據回饋給生產線以判斷是否需調整製程。因此,有必要提供一種改良的半導體製程控制方法,可有效控制 填充在溝渠中之金屬電連接結構的關鍵尺寸。 As the size of semiconductor devices shrinks, the adjustment of key dimensions has a significant impact on device performance and yield. Generally speaking, for semiconductor structures formed by filling trenches, for example, the key dimensions of metal electrical connection structures formed by filling trenches formed in a dielectric layer, the production control is mostly to form conductive materials to fill the trenches. The ditch is measured online, and the size of the metal electrical connection structure is indirectly obtained according to the ditch size for control. Subsequently, after forming a conductive material to fill the trench, a polishing process is used to remove the excess conductive material outside the trench, and at the same time, the dielectric layer is polished to a predetermined thickness to produce a metal electrical connection structure. However, in the process of forming trenches, due to material properties or process load effects, the trenches may have sidewall profiles that are not perpendicular to the substrate surface, such as expanded, recessed, or cup-shaped sidewall profiles. In this case, there are often errors between the size of the trench obtained by the aforementioned online measurement and the size of the metal electrical connection structure in the final semiconductor device, and the tendency of the size deviation cannot be correctly reflected. On the other hand, although it is possible to cut the wafer and prepare a sample showing the cross-sectional structure of the metal electrical connection structure, and then use electron microscopy techniques such as TEM or SEM to measure the actual size and sidewall profile of the metal electrical connection structure. The method not only consumes wafer and sample preparation time, but also fails to provide statistically significant within wafer uniformity data to feed back to the production line to determine whether the process needs to be adjusted. Therefore, it is necessary to provide an improved semiconductor process control method that can effectively control The key dimensions of the metal electrical connection structure filled in the trench.

本發明主要目的在於提供一種半導體製程控制方法,特定而言是在研磨移除溝渠外多於的導電材料後,對顯露在表面的線路圖案進行線上量測,可獲得較接近於最終存在半導體元件中的線路結構的尺寸。根據該尺寸來評估是否需調整形成溝渠的圖案化製程,可較準確控制溝渠之尺寸因而可較準確控制線路結構之尺寸。 The main purpose of the present invention is to provide a semiconductor manufacturing process control method. Specifically, after grinding and removing the excess conductive material outside the trench, the circuit pattern exposed on the surface is measured on-line, so as to obtain a semiconductor device closer to the final existence. The size of the line structure in the. According to the size, it is evaluated whether it is necessary to adjust the patterning process for forming the trench, so that the size of the trench can be controlled more accurately, and the size of the circuit structure can be more accurately controlled.

為達上述目的,本發明一實施例提供一種半導體製程控制方法,包含以下步驟。首先,提供一基底,一介電層位於該基底上。接著進行一圖案化製程,以於該介電層中形成至少一溝渠,該溝渠於該介電層之一主表面形成一溝渠圖案。形成一導電材料完全填滿該溝渠後,進行一第一研磨製程,移除該溝渠外的該導電材料並移除部分該介電層至顯露出該介電層之一第一表面,該第一表面包含由剩餘的該導電材料構成的一第一線路圖案。後續,對該第一線路圖案進行一線上量測,獲得一第一線路圖案尺寸,並根據該第一線路圖案尺寸,評估是否需調整該圖案化製程。 To achieve the above objective, an embodiment of the present invention provides a semiconductor manufacturing process control method, which includes the following steps. First, a substrate is provided, and a dielectric layer is located on the substrate. Then, a patterning process is performed to form at least one trench in the dielectric layer, and the trench forms a trench pattern on a main surface of the dielectric layer. After forming a conductive material to completely fill the trench, a first polishing process is performed to remove the conductive material outside the trench and remove part of the dielectric layer until a first surface of the dielectric layer is exposed. A surface includes a first circuit pattern composed of the remaining conductive material. Subsequently, an online measurement is performed on the first circuit pattern to obtain a first circuit pattern size, and according to the first circuit pattern size, it is evaluated whether the patterning process needs to be adjusted.

為達上述目的,本發明另一實施例提供一種半導體製程控制方法,包含以下步驟。首先,提供一晶圓,包含多個互不重疊的晶片區,一介電層位於該晶圓上並覆蓋各該晶片區。接著進行一圖案化製程,於各該晶片區之該介電層中形成至少一溝渠,各該晶片區之該溝渠分別於該介電層之一表面形成一溝渠圖案。然後,形成一導電材料完全填滿各該晶片區之該溝渠,並進行一第一研磨製程,移除各該晶片區之該溝渠外的該導電材料並移除部分該介電層直 到顯露出該介電層之一第一表面,該第一表面包含由填充在各該晶片區之該溝渠中的該導電材料形成的多個第一線路圖案。之後,對該些第一線路圖案進行一線上量測,獲得多個第一線路圖案尺寸以及一第一線路圖案尺寸晶圓圖,並根據該第一線路圖案尺寸晶圓圖評估是否需調整該圖案化製程。 To achieve the above objective, another embodiment of the present invention provides a semiconductor manufacturing process control method, which includes the following steps. First, a wafer is provided, which includes a plurality of non-overlapping wafer areas, and a dielectric layer is located on the wafer and covers each of the wafer areas. Then, a patterning process is performed to form at least one trench in the dielectric layer of each of the chip regions, and the trenches of each of the chip regions respectively form a trench pattern on a surface of the dielectric layer. Then, a conductive material is formed to completely fill the trenches of each of the chip regions, and a first polishing process is performed to remove the conductive material outside the trenches of each of the chip regions and remove part of the dielectric layer. Until a first surface of the dielectric layer is exposed, the first surface includes a plurality of first circuit patterns formed by the conductive material filled in the trenches of each of the chip regions. After that, perform an online measurement on the first circuit patterns to obtain a plurality of first circuit pattern sizes and a first circuit pattern size wafer map, and evaluate whether the first circuit pattern size wafer map needs to be adjusted. Patterning process.

如前所述,本發明不僅可較準確控制溝渠之尺寸因而可較準確控制線路結構之尺寸, 還可藉由在不同研磨階段對半導體元件進行線上量測獲得多個不同研磨階段的線路尺寸並進行比較,可在不需要報廢晶圓並節省剖面樣品製備時間的情況下,推測出容納線路結構的溝渠是否具有理想的側壁輪廓,即時反饋給形成該溝渠之步驟以有效控制溝渠之尺寸和側壁輪廓。另外,也可藉由線上量測快速獲得較接近最終存在半導體元件之線路結構尺寸的尺寸晶圓圖和較具統計意義的晶圓內均勻度(within wafer uniformity)數據。 As mentioned above, the present invention can not only control the size of the trench more accurately, but also more accurately control the size of the circuit structure. It is also possible to obtain and compare the circuit dimensions of multiple different grinding stages by measuring the semiconductor components online at different grinding stages. It is possible to infer the accommodating circuit structure without the need to scrap wafers and save the time for preparation of profile samples. Whether the ditch has an ideal sidewall profile is immediately fed back to the steps of forming the ditch to effectively control the size and sidewall profile of the ditch. In addition, online measurement can also be used to quickly obtain a size wafer map closer to the final size of the circuit structure of the semiconductor device and more statistically significant within wafer uniformity data.

102:步驟 102: Step

104:步驟 104: Step

106:步驟 106: step

108:步驟 108: Step

110:步驟 110: Step

112:步驟 112: Step

114:步驟 114: step

116:步驟 116: step

118:步驟 118: Steps

110a:步驟 110a: Step

112a:步驟 112a: Step

100:流程圖 100: flow chart

200:流程圖 200: flow chart

300:流程圖 300: flow chart

A-A':切線 A-A': Tangent

P1:圖案化製程 P1: Patterning process

S,S0,S1,S2:間距 S, S0, S1, S2: spacing

210:基底 210: Base

220:介電層 220: Dielectric layer

222:蝕刻停止層 222: Etch stop layer

226:第一介電層 226: first dielectric layer

226:第二介電層 226: second dielectric layer

228:第三介電層 228: third dielectric layer

229:硬遮罩層 229: Hard Mask Layer

220':主表面 220': main surface

220a:第一表面 220a: first surface

220b:第二表面 220b: second surface

220c:第三表面 220c: third surface

230:溝渠 230: ditch

230a:溝渠圖案 230a: Ditch pattern

230b:側壁輪廓 230b: sidewall profile

240:導電材料 240: conductive material

P2:第一研磨製程 P2: The first grinding process

P3:第二研磨製程 P3: The second grinding process

T,T1,T2,T3:厚度 T, T1, T2, T3: thickness

W,W0,W1,W2:寬度 W, W0, W1, W2: width

250:導電材料 250: conductive material

250a:第一線路圖案 250a: the first line pattern

250b:第二線路圖案 250b: second line pattern

250c:第三線路圖案 250c: third line pattern

為了讓本發明之上述和其他目的、特徵、優點與實施例更明顯易懂,所附圖式之詳細說明如下: 第1圖為本發明第一實施例之半導體製程控制方法100的流程圖。 In order to make the above and other objectives, features, advantages and embodiments of the present invention more obvious and understandable, the detailed description of the accompanying drawings is as follows: FIG. 1 is a flowchart of a semiconductor process control method 100 according to the first embodiment of the present invention.

第2圖至第5圖為半導體元件10在第一實施例之半導體製程控制方法100的不同製程階段的示意圖,其中上部為頂視圖,下部為沿著頂視圖中A-A’切線的剖面示意圖。 FIGS. 2 to 5 are schematic diagrams of the semiconductor device 10 in different process stages of the semiconductor process control method 100 of the first embodiment, wherein the upper part is a top view, and the lower part is a schematic cross-sectional view along the AA' line in the top view .

第6圖為本發明第二實施例之半導體製程控制方法200的流程圖。 FIG. 6 is a flowchart of a semiconductor process control method 200 according to the second embodiment of the present invention.

第7圖至第10圖為半導體元件10在第二實施例之半導體製程控制方法200的 不同製程階段的示意圖,其中上部為頂視圖,下部為沿著頂視圖中A-A’切線的剖面示意圖。 Figures 7 to 10 show the semiconductor device 10 in the second embodiment of the semiconductor process control method 200 Schematic diagrams of different process stages, where the upper part is a top view, and the lower part is a schematic cross-sectional view along the A-A' tangent line in the top view.

第11圖為本發明第三實施例之半導體製程控制方法300的流程圖。 FIG. 11 is a flowchart of a semiconductor process control method 300 according to the third embodiment of the present invention.

第12圖為一用於第三實施例之半導體製程控制方法300的晶圓400的示意圖。 FIG. 12 is a schematic diagram of a wafer 400 used in the semiconductor process control method 300 of the third embodiment.

第13圖例示第三實施例之半導體製程控制方法300所獲得的一尺寸晶圓圖500。 FIG. 13 illustrates a size wafer map 500 obtained by the semiconductor process control method 300 of the third embodiment.

第14圖例示第三實施例之半導體製程控制方法300所獲得的一測試晶圓圖600。 FIG. 14 illustrates a test wafer diagram 600 obtained by the semiconductor process control method 300 of the third embodiment.

請參考第1圖至第5圖。第1圖為本發明第一實施例之半導體製程控制方法100的流程圖。第2圖至第5圖為半導體元件10在第一實施例之半導體製程控制方法100的不同製程階段的示意圖,其中上部為頂視圖,下部為沿著頂視圖中A-A’切線的剖面示意圖。半導體元件10可以是一積體電路的全部或一部分,例如金屬電連接結構、電容結構、電阻結構、電子屏蔽結構、保險絲、電感器,或其他可應用半導體製程控制方法100之半導體元件。應可理解的是,在其他實施例中,可在半導體製程控制方法100之前、之中或之後增加其他步驟,也可以取代或省略半導體製程控制方法100中的一些步驟。 Please refer to Figure 1 to Figure 5. FIG. 1 is a flowchart of a semiconductor process control method 100 according to the first embodiment of the present invention. FIGS. 2 to 5 are schematic diagrams of the semiconductor device 10 in different process stages of the semiconductor process control method 100 of the first embodiment, wherein the upper part is a top view, and the lower part is a schematic cross-sectional view along the AA' line in the top view . The semiconductor element 10 may be all or a part of an integrated circuit, such as a metal electrical connection structure, a capacitor structure, a resistance structure, an electronic shielding structure, a fuse, an inductor, or other semiconductor elements to which the semiconductor process control method 100 can be applied. It should be understood that, in other embodiments, other steps may be added before, during, or after the semiconductor process control method 100, and some steps in the semiconductor process control method 100 may also be substituted or omitted.

首先,如第1圖和第2圖所示,本實施例之半導體製程控制方法100包含步驟102,提供一基底210,其上包含一介電層220。基底210例如是一矽基底、一矽覆絕緣基底、一三五族半導體基底等,但不限於此。基底210中可包含已製作的半導體結構,例如電晶體、電容、電阻、電感、電連接結構等,為了簡化圖式並未繪示出來。介電層220可提供後續形成的導電結構電性隔離和結構支 撐。介電層220可包含單層或多層堆疊的材料層,較佳包含多層結構,例如由上至下依序可包含硬遮罩層229、第三介電層228、第二介電層226、第一介電層224和蝕刻停止層222。硬遮罩層229材料例如是氮化矽(SiN)或氮氧化矽(SiON),第三介電層228材料例如是氮氧化矽(SiON),第二介電層226較佳為低介電常數介電材料(low-k dielectric material),材料例如是氟矽玻璃(fluorinated silica glass,FSG)、碳矽氧化物(SiCOH)、旋塗矽玻璃(spin-on glass)、多孔性低介電常數介電材料(porous low-k dielectric material)、有機高分子介電材料例如基於苯並環丁烯(BCB-based)之高分子介電材料、基於矽氧烷(silsesquioxanes-based)之高分介電材料等,或其上之組合,但不限於此。第一介電層224材料例如是四乙氧基矽烷(tetra-ethyl-ortho-silicate,TEOS),可增加第二介電層226的附著性以及沉積品質。 蝕刻停止層222材料例如是氮化矽(SiN)或氮碳化矽(SiCN)等與第一介電層224和第二介電層226具有蝕刻選擇性的介電材料。硬遮罩層229可在第3圖所示蝕刻介電層220以形成溝渠230的圖案化製程P1中作為蝕刻硬遮罩層,也可在第5圖所示第一研磨製程P2中作為研磨溝渠外之導電材料的研磨停止層。 First, as shown in FIG. 1 and FIG. 2, the semiconductor process control method 100 of this embodiment includes step 102, providing a substrate 210 on which a dielectric layer 220 is included. The substrate 210 is, for example, a silicon substrate, a silicon-coated insulating substrate, a group-three-five semiconductor substrate, etc., but is not limited thereto. The substrate 210 may include fabricated semiconductor structures, such as transistors, capacitors, resistors, inductors, electrical connection structures, etc., which are not shown in order to simplify the drawings. The dielectric layer 220 can provide electrical isolation and structural support for the subsequently formed conductive structure. support. The dielectric layer 220 may include a single layer or a stacked material layer, preferably a multilayer structure, for example, it may include a hard mask layer 229, a third dielectric layer 228, a second dielectric layer 226, The first dielectric layer 224 and the etch stop layer 222. The material of the hard mask layer 229 is, for example, silicon nitride (SiN) or silicon oxynitride (SiON), the material of the third dielectric layer 228 is, for example, silicon oxynitride (SiON), and the second dielectric layer 226 is preferably low dielectric. Constant dielectric material (low-k dielectric material), such as fluorinated silica glass (FSG), carbon silicon oxide (SiCOH), spin-on glass, porous low-dielectric material Constant dielectric materials (porous low-k dielectric materials), organic polymer dielectric materials, such as benzocyclobutene (BCB-based) polymer dielectric materials, high scores based on silsesquioxanes-based Dielectric materials, etc., or combinations thereof, but not limited thereto. The material of the first dielectric layer 224 is, for example, tetra-ethyl-ortho-silicate (TEOS), which can increase the adhesion and deposition quality of the second dielectric layer 226. The material of the etch stop layer 222 is, for example, a dielectric material such as silicon nitride (SiN) or silicon carbide nitride (SiCN) that has etch selectivity with the first dielectric layer 224 and the second dielectric layer 226. The hard mask layer 229 can be used as an etching hard mask layer in the patterning process P1 of etching the dielectric layer 220 to form trenches 230 as shown in FIG. 3, or as a polishing layer in the first polishing process P2 shown in FIG. The polishing stop layer of the conductive material outside the trench.

接著,如第1圖和第3圖所示,進行步驟104,進行一圖案化製程P1,例如是微影暨蝕刻製程,以於介電層220中定義出多個互相平行且緊密排列的溝渠230。圖案化製程P1可包含首先在介電層220上形成一光阻層(圖未示),然後通過曝光顯影製程將溝渠的預定圖案自一光罩轉移至該光阻層中,再以該光阻層為蝕刻遮罩對介電層220進行蝕刻,例如電漿蝕刻,將溝渠的預定圖案再轉移至介電層220中,形成溝渠230。值得注意的是,由於圖案化製程P1的負載效應,例如圖案化光阻層厚度不均,或蝕刻介電層220時蝕刻氣體在溝渠不同深度具有不同擴散速率,或者由於介電層220之不同材料層對於蝕刻製程具有不同的蝕刻率,會造成溝渠230具有非垂直於基底表面的側壁輪廓,例如外擴、內凹或杯狀 的側壁輪廓。特別是當第二介電層226為低介電常數材料時,其相較於第三介電層228會具有較高的蝕刻移除率,使得溝渠230通過第二介電層226的部分的側壁會往外擴,形成如第3圖下部所示略呈杯狀的側壁輪廓230b。請考第3圖上部,介電層220之主表面220’(例如是最頂層之硬遮罩層229的表面)會包含由該些溝渠230定義的多個溝渠圖案230a。該些溝渠圖案230a共同構成一柵狀溝渠圖案。 Next, as shown in FIGS. 1 and 3, step 104 is performed to perform a patterning process P1, such as a photolithography and etching process, to define a plurality of trenches parallel to each other and closely arranged in the dielectric layer 220 230. The patterning process P1 may include first forming a photoresist layer (not shown) on the dielectric layer 220, and then transferring a predetermined pattern of the trench from a photomask to the photoresist layer through an exposure and development process, and then applying the photoresist layer to the photoresist layer. The resist layer is an etching mask to etch the dielectric layer 220, such as plasma etching, to transfer the predetermined pattern of the trench to the dielectric layer 220 to form the trench 230. It is worth noting that due to the loading effect of the patterning process P1, for example, the thickness of the patterned photoresist layer is uneven, or the etching gas has different diffusion rates at different depths of the trench when the dielectric layer 220 is etched, or due to the difference in the dielectric layer 220 The material layer has different etching rates for the etching process, which will cause the trench 230 to have a sidewall profile that is not perpendicular to the surface of the substrate, such as an outer expansion, an inner recess, or a cup shape. The sidewall profile. Especially when the second dielectric layer 226 is made of a low dielectric constant material, it will have a higher etching removal rate than the third dielectric layer 228, so that the trench 230 passes through a portion of the second dielectric layer 226 The side walls expand outward to form a side wall profile 230b that is slightly cup-shaped as shown in the lower part of FIG. 3. Please refer to the upper part of FIG. 3, the main surface 220' of the dielectric layer 220 (for example, the surface of the top hard mask layer 229) includes a plurality of trench patterns 230a defined by the trenches 230. The trench patterns 230a collectively constitute a grid-shaped trench pattern.

接著,如第1圖和第4圖所示,進行步驟108,在介電層220上形成導電材料240並完全填滿該些溝渠230。導電材料240可利用化學氣相沉積(chemical vapor deposition,CVD)製程、物理氣相沉積(physical vapor deposition,PVD)或原子層沉積製程(atomic layer deposition,ALD)等沉積方式形成,可包含單層或多層結構,例如先形成一阻障層及/或一襯墊層(圖未示)共型地覆蓋介電層220和溝渠230側壁及底面,然後於阻障層及/或襯墊層上沉積一金屬層(圖未示)完全覆蓋介電層220並填滿溝渠230。阻障層及/或襯墊層可包含鈦(Ti)、氮化鈦(TiN)、鉭(Ta)或氮化鉭(TaN)等材料,但不限於此。金屬層可包含鎢(W)、銅(Cu)、鋁(Al)、鈦鋁合金(TiAl)、鈷鎢磷化物(cobalt tungsten phosphide,CoWP)材料,但不限於此。 Next, as shown in FIGS. 1 and 4, step 108 is performed to form a conductive material 240 on the dielectric layer 220 and completely fill the trenches 230. The conductive material 240 may be formed by deposition methods such as chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD), and may include a single layer Or a multi-layer structure, for example, a barrier layer and/or a liner layer (not shown) is formed to conformally cover the sidewalls and bottom surfaces of the dielectric layer 220 and the trench 230, and then on the barrier layer and/or liner layer A metal layer (not shown) is deposited to completely cover the dielectric layer 220 and fill the trench 230. The barrier layer and/or the liner layer may include materials such as titanium (Ti), titanium nitride (TiN), tantalum (Ta), or tantalum nitride (TaN), but is not limited thereto. The metal layer may include tungsten (W), copper (Cu), aluminum (Al), titanium aluminum alloy (TiAl), cobalt tungsten phosphide (CoWP) materials, but is not limited thereto.

接著,如第1圖和第5圖所示,進行步驟110,進行第一研磨製程P2以移除溝渠230外多餘的導電材料240並移除部分介電層220一厚度,例如是厚度T,以完全移除硬遮罩層229和第三介電層228以及部分第二介電層226直到獲得介電層220之第一表面220a(即第二介電層226的表面)。第一研磨製程P2可包含多研磨階段,例如第一研磨階段以硬遮罩層229為研磨停止層確保溝渠230外的導電材料240完全移除後,再進行第二研磨階段研磨移除第三介電層228以及部分第二介電層226。如第5圖下部所示,填充在溝渠230中剩餘的導電材料250即成為具有目標厚度的線路結構。請參考第第5圖上部,這時,半導體結構10的第一 表面220a會顯露出由填充在溝渠230中剩餘的導電材料250定義的第一線路圖案250a。 Next, as shown in FIGS. 1 and 5, step 110 is performed to perform a first polishing process P2 to remove the excess conductive material 240 outside the trench 230 and remove part of the dielectric layer 220 by a thickness, such as a thickness T, The hard mask layer 229, the third dielectric layer 228 and part of the second dielectric layer 226 are completely removed until the first surface 220a of the dielectric layer 220 (that is, the surface of the second dielectric layer 226) is obtained. The first polishing process P2 may include multiple polishing stages. For example, in the first polishing stage, the hard mask layer 229 is used as a polishing stop layer to ensure that the conductive material 240 outside the trench 230 is completely removed, and then a second polishing stage is performed to remove the third The dielectric layer 228 and part of the second dielectric layer 226. As shown in the lower part of FIG. 5, the remaining conductive material 250 filled in the trench 230 becomes a circuit structure with a target thickness. Please refer to the upper part of Figure 5. At this time, the first part of the semiconductor structure 10 The surface 220a will expose the first circuit pattern 250a defined by the remaining conductive material 250 filled in the trench 230.

請繼續參考第1圖和第5圖,接著進行步驟112,對第一表面226a之第一線路圖案250a進行一線上量測,獲得一第一線路圖案尺寸。第一線路圖案尺寸可以是第一線路圖案250a的寬度W,或是相鄰第一線路圖案250a之間的間距S。步驟112之線上量測較佳是使用掃描式電子顯微鏡(Scanning Electron Microscope,SEM)進行量測,其以微小聚焦的電子束(electron beam)對樣品表面進行掃描,然後收集電子束與樣品表面之間的交互作用而激發出的訊號,例如二次電子、背向散射電子及特性X光等訊號來進行成像。由於樣品表面形貌或材料的不同會激發出不同強弱的訊號,因此可獲得對應於樣品表面圖案之灰階影像,然後再藉由量測灰階影像獲得圖案之尺寸。換句話說,步驟112之線上量測是對第一表面220a進行電子束掃描,獲得對應於第一線路圖案250a之灰階影像,再量測該灰階影像而獲得第一線路圖案尺寸。值得注意的是,由於第一研磨製程P1移除了部分介電層220,因此步驟112量測獲得之第一線路圖案尺寸會是溝渠230較遠離介電層220之主表面220’的尺寸,也是較接近最終存在半導體元件10中的線路結構(由剩餘的導電材料250構成)的尺寸。 Please continue to refer to FIGS. 1 and 5, and then proceed to step 112 to perform an online measurement on the first circuit pattern 250a on the first surface 226a to obtain a first circuit pattern size. The size of the first circuit pattern may be the width W of the first circuit pattern 250a or the spacing S between adjacent first circuit patterns 250a. The online measurement in step 112 preferably uses a scanning electron microscope (Scanning Electron Microscope, SEM) for measurement, which scans the surface of the sample with a tiny focused electron beam, and then collects the difference between the electron beam and the surface of the sample. Signals such as secondary electrons, backscattered electrons, and characteristic X-rays are excited by the interaction between them for imaging. Because the surface morphology or material of the sample will excite signals of different strengths, a grayscale image corresponding to the pattern on the surface of the sample can be obtained, and then the size of the pattern can be obtained by measuring the grayscale image. In other words, the online measurement in step 112 is to perform electron beam scanning on the first surface 220a to obtain a grayscale image corresponding to the first circuit pattern 250a, and then measure the grayscale image to obtain the size of the first circuit pattern. It is worth noting that because the first polishing process P1 removes a part of the dielectric layer 220, the size of the first circuit pattern measured in step 112 will be the size of the trench 230 farther from the main surface 220' of the dielectric layer 220. It is also closer to the size of the circuit structure (consisting of the remaining conductive material 250) finally existing in the semiconductor element 10.

接著,請參考第1圖,進行步驟114,根據步驟112之線上量測獲得的第一線路圖案尺寸,評估是否需調整步驟104的圖案化製程P1。根據本發明一實施例,步驟114評估的方法例如首先提供一寬度W或間距S的預設範圍,然後判斷第一線路圖案尺寸的寬度W或間距S是否在該預設範圍內。若第一線路圖案尺寸在該預設範圍內,則無需對圖案化製程P1進行調整。若第一線路圖案尺寸不在該預設範圍內,則需對圖案化製程P1進行調整,例如調整圖案化製程P1的微 影暨蝕刻製程之至少一製程參數,例如是圖案化光阻層的尺寸、蝕刻製程的功率、氣體流量、氣體比例等。後續,可利用調整後的圖案化製程P1於另一基底210的介電層220中形成可製作出符合該預設範圍的第一線路圖案250a的溝渠230。 Next, referring to FIG. 1, proceed to step 114, and evaluate whether the patterning process P1 of step 104 needs to be adjusted according to the size of the first circuit pattern obtained by the on-line measurement in step 112. According to an embodiment of the present invention, the evaluation method in step 114, for example, first provides a preset range of width W or spacing S, and then determines whether the width W or spacing S of the first circuit pattern size is within the preset range. If the size of the first circuit pattern is within the preset range, there is no need to adjust the patterning process P1. If the size of the first circuit pattern is not within the preset range, the patterning process P1 needs to be adjusted, for example, adjusting the micro pattern of the patterning process P1 At least one process parameter of the shadow and etching process is, for example, the size of the patterned photoresist layer, the power of the etching process, the gas flow rate, and the gas ratio. Subsequently, the adjusted patterning process P1 can be used to form a trench 230 in the dielectric layer 220 of the other substrate 210 that can produce the first circuit pattern 250a in the predetermined range.

本發明特徵在於,本發明藉由在第一研磨製程後針對第一線路圖案250a進行線上量測,並據此評估是否需調整製作溝渠230的圖案化製程P1,相較於習知於定義出溝渠230後量測溝渠圖案230a的尺寸來間接推測線路圖案尺寸,本發明的方法可避免由於溝渠具有外擴、內凹或杯狀的側壁輪廓導致的量測誤差,可獲得較接近最終存在半導體元件10中的線路結構(由剩餘的導電材料250構成)的尺寸,因此可較準確的控制製程。 The present invention is characterized in that the present invention performs online measurement on the first circuit pattern 250a after the first polishing process, and then evaluates whether it is necessary to adjust the patterning process P1 for making the trench 230, compared to the conventional definition. After the trench 230 is measured, the size of the trench pattern 230a is measured to indirectly estimate the size of the circuit pattern. The method of the present invention can avoid the measurement error caused by the trench having an expanded, concave, or cup-shaped sidewall profile, and can obtain a closer to the final semiconductor The size of the circuit structure (consisting of the remaining conductive material 250) in the device 10, so that the manufacturing process can be controlled more accurately.

以下將針對本發明的不同實施例進行說明。為簡化說明,以下說明主要針對各實施例不同之處進行詳述,而不再對相同之處作重覆贅述。此外,本發明之各實施例中相同之元件係以相同之標號進行標示,以利於各實施例間互相對照。 The following will describe different embodiments of the present invention. To simplify the description, the following description mainly focuses on the differences between the embodiments, and the similarities are not repeated. In addition, the same elements in the embodiments of the present invention are labeled with the same reference numerals to facilitate comparison between the embodiments.

請參考第6圖至第10圖。第6圖為本發明第二實施例之半導體製程控制方法200的流程圖。第7圖至第10圖為半導體元件10在第二實施例之半導體製程控制方法200的不同製程階段的示意圖,其中上部為頂視圖,下部為沿著頂視圖中A-A’切線的剖面示意圖。與第1圖所示半導體製程控制方法100不同之處在於,第二實施例之半導體製程控制方法200還包含於不同製程階段插入額外的線上量測,以獲得半導體結構10於不同製程階段的圖案尺寸。 Please refer to Figure 6 to Figure 10. FIG. 6 is a flowchart of a semiconductor process control method 200 according to the second embodiment of the present invention. 7 to 10 are schematic diagrams of the semiconductor device 10 in different process stages of the semiconductor process control method 200 of the second embodiment. The upper part is a top view, and the lower part is a schematic cross-sectional view taken along the line AA' in the top view. . The difference from the semiconductor process control method 100 shown in FIG. 1 is that the semiconductor process control method 200 of the second embodiment further includes inserting additional online measurements at different process stages to obtain patterns of the semiconductor structure 10 at different process stages size.

請參考第6圖和第7圖。半導體製程控制方法200可在步驟104形成溝渠230之後,步驟108形成導電材料之前,插入步驟106對介電層220主表面220’之溝渠圖案230a進行線上量測,因此可獲得一溝渠路圖案尺寸。較佳著,步驟106之線上量測也是利用掃描式電子顯微鏡(SEM)進行量測,其以微小聚焦的電子束(electron beam)對主表面220’進行掃描,以獲得對應於溝渠圖案230a的灰階影像,然後再量測該灰階影像而獲得溝渠圖案尺寸,可以是溝渠圖案230a的寬度W0或相鄰溝渠圖案230a之間的間距S0。值得注意的是,步驟106之線上量測獲得的溝渠圖案尺寸大致上會是溝渠230接近主表面220’的開口部分的尺寸。 Please refer to Figure 6 and Figure 7. In the semiconductor process control method 200, after the trench 230 is formed in step 104 and before the conductive material is formed in step 108, step 106 is inserted to perform online measurement of the trench pattern 230a on the main surface 220' of the dielectric layer 220, so that a trench pattern size can be obtained. . Preferably, the on-line measurement in step 106 is also performed by a scanning electron microscope (SEM), which scans the main surface 220' with a micro-focused electron beam to obtain an image corresponding to the trench pattern 230a The grayscale image is then measured to obtain the trench pattern size, which can be the width W0 of the trench pattern 230a or the spacing S0 between adjacent trench patterns 230a. It is worth noting that the size of the trench pattern obtained by the online measurement in step 106 is roughly the size of the opening portion of the trench 230 close to the main surface 220'.

請參考第6圖和第8圖,接著,與第一實施例相同,進行步驟108形成導電材料240填滿溝渠230,然後進行步驟110以第一研磨製程P2移除溝渠230外多餘的導電材料240並移除部分介電層220直到獲得顯露出第一線路圖案250a的第一表面220a。接著,進行步驟112,對第一表面220a進行線上量測,獲得第一線路圖案尺寸。須注意,與第一實施例不同的是,本實施例之第一研磨製程P2可僅移除介電層一厚度T1,其小於第5圖所示厚度T,例如是完全移除硬遮罩層229和部分第三介電層228但並未抵達第二介電層226的移除量,換句話說,第8圖中剩餘在溝渠230中的導電材料250的厚度會大於第5圖剩餘在溝渠230中的導電材料250的厚度。也因此,本實施例之步驟112量測獲得的第一線路圖案尺寸相較於第一實施例步驟112量測獲得的第一線路圖案尺寸,會是溝渠230更接近介電層220之主表面220’的尺寸。 Please refer to FIGS. 6 and 8. Next, as in the first embodiment, proceed to step 108 to form a conductive material 240 to fill the trench 230, and then proceed to step 110 to remove the excess conductive material outside the trench 230 by the first polishing process P2 240 and remove part of the dielectric layer 220 until the first surface 220a exposing the first circuit pattern 250a is obtained. Next, proceed to step 112 to perform online measurement on the first surface 220a to obtain the size of the first circuit pattern. It should be noted that, unlike the first embodiment, the first polishing process P2 of this embodiment can only remove the dielectric layer with a thickness T1, which is smaller than the thickness T shown in Figure 5, for example, the hard mask is completely removed The layer 229 and part of the third dielectric layer 228 have not reached the removal amount of the second dielectric layer 226. In other words, the thickness of the conductive material 250 remaining in the trench 230 in Figure 8 will be greater than that in Figure 5. The thickness of the conductive material 250 in the trench 230. Therefore, the size of the first circuit pattern measured in step 112 of this embodiment is that the trench 230 is closer to the main surface of the dielectric layer 220 than the size of the first circuit pattern measured in step 112 of the first embodiment. 220' size.

請參考第6圖和第9圖,接著進行步驟110a,以第二研磨製程P3更進一步移除部分介電層220,例如移除一厚度T2,直到獲得低於第一表面220a的第二表面220b。參考第9圖上部,第二表面220b包含由填充在溝渠230中剩餘的導 電材料250定義的第二線路圖案250b。接著,進行步驟112a,對第二表面220b的第二線路圖案250b進行線上量測(同樣是利用SEM),獲得一第二線路圖案尺寸。 相同的,第二線路圖案尺寸可以是第二線路圖案250b的寬度W2或相鄰第二線路圖案250b之間的間距S2。相較於第一線路圖案尺寸,這時獲得的第二線路圖案尺寸會是溝渠230更遠離介電層220主表面220’的尺寸。 Please refer to FIG. 6 and FIG. 9, and then proceed to step 110a, using the second polishing process P3 to further remove part of the dielectric layer 220, such as removing a thickness T2, until a second surface lower than the first surface 220a is obtained 220b. Referring to the upper part of Figure 9, the second surface 220b contains the remaining conductive material filled in the trench 230 The second circuit pattern 250b defined by the electrical material 250. Next, proceed to step 112a to perform online measurement (also using SEM) on the second circuit pattern 250b on the second surface 220b to obtain a second circuit pattern size. Similarly, the size of the second circuit pattern may be the width W2 of the second circuit pattern 250b or the spacing S2 between adjacent second circuit patterns 250b. Compared with the size of the first circuit pattern, the size of the second circuit pattern obtained at this time will be the size where the trench 230 is farther away from the main surface 220' of the dielectric layer 220.

請參考第6圖和第10圖,可重複進行步驟110a和步驟112a,即重複進行第二研磨製程P3再移除介電層220一厚度T3,獲得一低於第二表面250b的第三表面220c,包含由剩餘在溝渠230中的的導電材料250定義的第三線路圖案250c,如第10圖上部所示,然後對第三線路圖案250c進行線上量測(同樣是利用SEM),獲得一第三線路圖案尺寸,其可是第三線路圖案250c的寬度W3或相鄰第三線路圖案250c的間距S3。相較於第二線路圖案尺寸,這時獲得的第三線路圖案尺寸會是溝渠230又更遠離介電層220主表面220’的尺寸。 Please refer to FIGS. 6 and 10, steps 110a and 112a can be repeated, that is, the second polishing process P3 is repeated, and then the dielectric layer 220 is removed by a thickness T3 to obtain a third surface lower than the second surface 250b 220c, including the third circuit pattern 250c defined by the conductive material 250 remaining in the trench 230, as shown in the upper part of FIG. 10, and then perform online measurement on the third circuit pattern 250c (also using SEM) to obtain a The third line pattern size may be the width W3 of the third line pattern 250c or the distance S3 between the adjacent third line patterns 250c. Compared with the second circuit pattern size, the third circuit pattern size obtained at this time will be the size of the trench 230 further away from the main surface 220' of the dielectric layer 220.

本實施例的特徵在於,請回到第6圖,可選擇在獲得溝渠圖案尺寸、第一線路圖案尺寸、第二線路圖案尺寸以及第三線路圖案尺寸其中至少兩者後,進行步驟114,根據前述至少兩者之間的差異,評估是否需調整圖案化製程P1。例如,當步驟106獲得之溝渠圖案尺寸以及步驟112獲得之第一線路圖案尺寸不相等且差異大於一預設範圍時,表示溝渠230在厚度T1範圍內的側壁輪廓可能是外擴或內凹,則須對步驟104之圖案化製程P1進行調整,以使後續基底可利用調整後的圖案化製程P1製作的溝渠具有較接近理想的垂直側壁輪廓。另一方面,還可藉由將溝渠圖案尺寸、第一線路圖案尺寸、第二線路圖案尺寸以及第三線路圖案尺寸數據對移除量T1、T2和T3作圖,甚至是重覆更多次研磨製程和研磨後的線上量測,獲得更多對應於不同水平高度的尺寸數據並將其對移除量 作圖,獲得近似的溝渠側壁輪廓。相較於習知需切割晶圓以製備顯露出半導體元件10實際剖面的樣本來進行量測的方法,本發明可較快獲得具有線上製程控制參考意義的近似溝渠側壁輪廓,並據此回饋控制圖案化製程P1。應可理解若進行更多次研磨製程並進行線上量測,收集的線路圖案尺寸數據對移除量的作圖可越近似於溝渠實際的側壁輪廓。 The feature of this embodiment is that, please go back to Fig. 6 and you can choose to proceed to step 114 after obtaining at least two of the trench pattern size, the first circuit pattern size, the second circuit pattern size, and the third circuit pattern size. The aforementioned difference between at least the two is to evaluate whether the patterning process P1 needs to be adjusted. For example, when the size of the trench pattern obtained in step 106 and the size of the first circuit pattern obtained in step 112 are not equal and the difference is greater than a preset range, it means that the sidewall profile of the trench 230 within the thickness T1 range may be expanded or concaved. It is necessary to adjust the patterning process P1 in step 104 so that the subsequent substrate can use the adjusted patterning process P1 to make trenches with a closer ideal vertical sidewall profile. On the other hand, it is also possible to map the removal amounts T1, T2, and T3 by plotting the trench pattern size, the first circuit pattern size, the second circuit pattern size, and the third circuit pattern size data, or even repeat more times. Grinding process and online measurement after grinding to obtain more size data corresponding to different levels and remove the amount Drawing to obtain an approximate profile of the sidewall of the trench. Compared with the conventional method of cutting the wafer to prepare a sample showing the actual cross-section of the semiconductor device 10 for measurement, the present invention can quickly obtain an approximate trench sidewall profile with reference significance for online process control, and feedback control accordingly. Patterning process P1. It should be understood that if the polishing process is performed more times and online measurement is performed, the plot of the collected line pattern size data to the removal amount can be more similar to the actual sidewall profile of the trench.

第11圖至第14圖說明本發明第三實施例之半導體製程控制方法300。第11圖為本發明第三實施例之半導體製程控制方法300的流程圖。第12圖為一用於第三實施例之半導體製程控制方法300的晶圓400的示意圖。如第12圖所示,晶圓400可包含多個互不重疊的晶片區402,各晶片區402可用來製作一半導體元件10。第13圖繪示對晶圓400各晶片區402進行線上量測進而獲得的尺寸晶圓圖500。第14圖繪示對晶圓400各晶片區402進行晶圓測試而獲得的測試晶圓圖600。 11 to 14 illustrate a semiconductor process control method 300 according to a third embodiment of the present invention. FIG. 11 is a flowchart of a semiconductor process control method 300 according to the third embodiment of the present invention. FIG. 12 is a schematic diagram of a wafer 400 used in the semiconductor process control method 300 of the third embodiment. As shown in FIG. 12, the wafer 400 may include a plurality of non-overlapping chip regions 402, and each of the chip regions 402 can be used to fabricate a semiconductor device 10. FIG. 13 shows a size wafer map 500 obtained by performing online measurement on each wafer area 402 of the wafer 400. FIG. 14 shows a test wafer diagram 600 obtained by performing a wafer test on each wafer area 402 of the wafer 400.

接下來的說明請同時參考第2至第5圖所示半導體元件10於不同製程階段的示意圖。首先,進行步驟102,提供一基底210,其上包含一介電層220。 基底210可以是如第12圖所示晶圓400,而介電層220完全覆蓋晶圓400之各晶片區402。晶圓400之材料可包含前文所述基底210之材料,在此並不贅述。介電層220可包含單層或多層堆疊的材料層,較佳包含多層結構,如第2圖所示,由上至下可依序包含硬遮罩層229、第三介電層228、第二介電層226、第一介電層224和蝕刻停止層222。 For the following description, please refer to the schematic diagrams of the semiconductor device 10 in different process stages shown in FIGS. 2 to 5 at the same time. First, proceed to step 102 to provide a substrate 210 including a dielectric layer 220 thereon. The substrate 210 may be the wafer 400 shown in FIG. 12, and the dielectric layer 220 completely covers each chip area 402 of the wafer 400. The material of the wafer 400 may include the material of the substrate 210 described above, which will not be repeated here. The dielectric layer 220 may include a single layer or a stacked material layer, preferably a multilayer structure. As shown in FIG. 2, it may include a hard mask layer 229, a third dielectric layer 228, and a third dielectric layer 228 in sequence from top to bottom. The second dielectric layer 226, the first dielectric layer 224 and the etch stop layer 222.

接著,進行步驟104,利用一圖案化製程P1於各晶片區402之介電層220中形成多個溝渠230,該些溝渠230於各晶片區402的介電層220表面220’形 成多個溝渠圖案230a。接著,進行步驟108,形成導電材料240完全覆蓋各晶片區402的介電層220並填滿該些溝渠230。後續,進行步驟110,進行第一研磨製程P2,移除溝渠230外多餘的導電材料240並移除部分介電層220直到獲得介電層220之第一表面220’,包含由填充在各晶片區402之溝渠230中剩餘的導電材料250形成的多個第一線路圖案250a。接著,進行步驟112,對該些第一線路圖案250a進行線上量測,獲得多個第一線路圖案尺寸進而獲得一第一線路圖案尺寸晶圓圖500,如第13圖所示。接著,進行步驟114,根據第一線路圖案尺寸晶圓圖500評估是否需調整圖案化製程P1。步驟114評估的方法例如是判斷該第一線路圖案尺寸晶圓圖500之晶圓內均勻度(within wafer uniformity)是否在一預設範圍內。若不是,則須對圖案化製程P1進行調整,以使另一晶圓400可利用調整後之圖案化製程P1於其介電層220中形成溝渠230以製作出符合預設範圍的第一線路圖案250a。 Next, proceed to step 104, using a patterning process P1 to form a plurality of trenches 230 in the dielectric layer 220 of each chip area 402, and the trenches 230 are formed on the surface 220' of the dielectric layer 220 of each chip area 402. A plurality of trench patterns 230a are formed. Next, proceed to step 108 to form a conductive material 240 to completely cover the dielectric layer 220 of each wafer area 402 and fill the trenches 230. Afterwards, proceed to step 110 to perform the first polishing process P2 to remove the excess conductive material 240 outside the trench 230 and remove part of the dielectric layer 220 until the first surface 220' of the dielectric layer 220 is obtained, including the filling in each chip The remaining conductive material 250 in the trench 230 of the region 402 forms a plurality of first circuit patterns 250a. Next, proceed to step 112 to perform online measurement on the first circuit patterns 250a to obtain a plurality of first circuit pattern sizes to obtain a first circuit pattern size wafer map 500, as shown in FIG. 13. Next, proceed to step 114 to evaluate whether the patterning process P1 needs to be adjusted according to the wafer map 500 of the first circuit pattern size. The evaluation method in step 114 is, for example, to determine whether the within wafer uniformity of the first circuit pattern size wafer pattern 500 is within a predetermined range. If not, the patterning process P1 must be adjusted so that another wafer 400 can use the adjusted patterning process P1 to form trenches 230 in its dielectric layer 220 to produce a first circuit that meets the preset range Pattern 250a.

本實施例的特徵在於,在步驟112之線上量測後,接著進行步驟116,半導體元件10的後續製程,在各晶片區402形成一製作完成的半導體元件10。接著進行步驟118,對各晶片區402進行晶圓測試,例如是可接受度測試(wafer acceptance test,WAT)、電壓崩潰測試(voltage-ramping stress test,VRDB)或介電層崩潰測試(time-dependent dielectric breakdown,TDDB)等,不限於此。步驟118之晶圓測試各可包含多個測試項目,各測試項目可獲得一對應的測試晶圓圖。接著,比對步驟112獲得的第一線路圖案尺寸晶圓圖以及步驟118獲得的該些測試晶圓圖,以關聯第一線路圖案尺寸至一測試項目。例如,請參考第13圖,為一第一線路圖案尺寸晶圓圖500,其中包含尺寸較偏離預設數值的區域502。請參考第14圖,為測試半導體元件10相鄰溝渠230中的導電材料250之間的介電層220崩潰(TDDB)的測試晶圓圖600,其中包含了被標記為故障(failure)的晶片區602, 其位置與第一線路圖案尺寸晶圓圖500的區域502對應,因此可判斷第一線路圖案尺寸與第14圖的測試項目具有關聯。藉此,後續在其他晶圓上製作半導體元件10時,可根據其他晶圓於步驟112獲得的第一線路尺寸晶圓圖,預測該晶圓於該測試項目的測試結果。反向的,也可根據該晶圓的該測試項目的測試晶圓圖,判斷其第一線路尺寸是否異常,以對圖案化製程P1進行調整。 The feature of this embodiment is that after the line measurement in step 112, step 116 is followed by the subsequent manufacturing process of the semiconductor device 10 to form a completed semiconductor device 10 in each wafer area 402. Next, proceed to step 118 to perform a wafer test on each wafer area 402, such as an acceptability test (WAT), a voltage-ramping stress test (VRDB), or a dielectric layer collapse test (time- dependent dielectric breakdown, TDDB), etc., are not limited to this. The wafer test in step 118 may each include multiple test items, and each test item can obtain a corresponding test wafer map. Then, the first circuit pattern size wafer image obtained in step 112 is compared with the test wafer images obtained in step 118 to associate the first circuit pattern size to a test item. For example, please refer to FIG. 13, which is a first circuit pattern size wafer map 500, which includes an area 502 whose size deviates from a preset value. Please refer to FIG. 14, which is a test wafer diagram 600 for testing the breakdown (TDDB) of the dielectric layer 220 between the conductive material 250 in the adjacent trenches 230 of the semiconductor device 10, which contains the chips marked as failures. District 602, Its position corresponds to the area 502 of the first circuit pattern size wafer map 500, so it can be determined that the first circuit pattern size is related to the test item in Fig. 14. In this way, when the semiconductor device 10 is subsequently fabricated on another wafer, the test result of the test item on the wafer can be predicted according to the first circuit size wafer map obtained by the other wafer in step 112. Conversely, it is also possible to determine whether the size of the first circuit is abnormal according to the test wafer map of the test item of the wafer, so as to adjust the patterning process P1.

應可理解的是,第11圖所示半導體製程控制方法300可包含第6圖之半導體製程控制方法200中的步驟106,對各晶片區402的溝渠圖案230a進行線上量測,獲得多個溝渠圖案尺寸並進一步獲得一溝渠圖案尺寸晶圓圖,然後根據第一線路圖案尺寸晶圓圖與溝渠圖案尺寸晶圓圖之差異,評估是否需調整步驟104之圖案化製程P1。另外,半導體製程控制方法300也可包含第6圖之半導體製程控制方法200中的步驟110a和112a,對晶圓400進行第二次研磨製程P2及其後的線上量測,獲得多個第二線路圖案尺寸並進一步獲得一第二線路圖案尺寸晶圓圖,然後根據第一線圖圖案尺寸晶圓圖與第二線路圖案尺寸晶圓圖之差異,評估是否需調整步驟104之圖案化製程P1。 It should be understood that the semiconductor process control method 300 shown in FIG. 11 may include step 106 in the semiconductor process control method 200 of FIG. 6 to perform online measurement on the trench pattern 230a of each wafer area 402 to obtain a plurality of trenches. The pattern size is further obtained by obtaining a trench pattern size wafer map, and then according to the difference between the first circuit pattern size wafer map and the trench pattern size wafer map, it is evaluated whether the patterning process P1 of step 104 needs to be adjusted. In addition, the semiconductor process control method 300 may also include steps 110a and 112a in the semiconductor process control method 200 of FIG. 6, to perform the second polishing process P2 and subsequent online measurements on the wafer 400 to obtain a plurality of second The circuit pattern size is further obtained a second circuit pattern size wafer map, and then according to the difference between the first circuit pattern size wafer map and the second circuit pattern size wafer map, it is evaluated whether the patterning process P1 of step 104 needs to be adjusted .

綜上所述,本發明的半導體製程控制方法,其於研磨移除溝渠外多於的導電材料後,對顯露在半導體元件構表面、由剩餘在溝渠中的導電材料定義的線路圖案進行線上量測,相較於習知技術大多在蝕刻出溝渠後量測溝渠尺寸,本發明之方法可獲得較接近最終存在半導體元件中之線路結構的尺寸。另外,藉由在不同研磨階段對半導體元件進行線上量測獲得多個不同研磨階段的線路尺寸並進行比較,可在不需要報廢晶圓並節省剖面樣品製備時間的情況下,推測出用來形成線路結構的溝渠是否具有理想的側壁輪廓。另外,藉由線上量測可快速獲得較接近最終存在半導體元件之線路結構的尺寸以及較具統計 意義的尺寸晶圓圖,可即時反饋給形成溝渠之圖案化製程,改善後續晶圓的晶圓內均勻度(within wafer uniformity)。 In summary, the semiconductor manufacturing process control method of the present invention removes the excess conductive material outside the trench by grinding, and then measures the circuit pattern exposed on the surface of the semiconductor device and defined by the conductive material remaining in the trench. Compared with conventional techniques that measure the size of the trench after etching the trench, the method of the present invention can obtain a size closer to the final circuit structure in the semiconductor device. In addition, by online measurement of semiconductor components in different grinding stages to obtain and compare the circuit dimensions of multiple different grinding stages, it is possible to infer that it is used for forming without scrapping wafers and saving time for preparation of profile samples. Whether the trench of the circuit structure has an ideal sidewall profile. In addition, online measurement can quickly obtain the size of the circuit structure closer to the final semiconductor device and more statistical The meaningful size wafer map can be fed back to the patterning process of forming trenches in real time to improve the within wafer uniformity of subsequent wafers (within wafer uniformity).

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The foregoing descriptions are only preferred embodiments of the present invention, and all equivalent changes and modifications made in accordance with the scope of the patent application of the present invention shall fall within the scope of the present invention.

100:半導體製程控制方法 100: Semiconductor process control method

102:步驟 102: Step

104:步驟 104: Step

108:步驟 108: Step

110:步驟 110: Step

112:步驟 112: Step

114:步驟 114: step

Claims (18)

一種半導體製程控制方法,包含:提供一基底,一介電層位於該基底上;進行一圖案化製程,以於該介電層中形成至少一溝渠,該溝渠於該介電層之一表面形成一溝渠圖案;形成一導電材料,完全填滿該溝渠;進行一第一研磨製程,完全移除該溝渠外的該導電材料並移除部分該介電層直到顯露出該介電層之一第一表面,該第一表面包含由填充在該溝渠中的該導電材料形成的一第一線路圖案;該第一研磨製程後,對該第一線路圖案進行一線上量測,獲得一第一線路圖案尺寸,其中該線上量測係使用一掃描式電子顯微鏡;以及根據該第一線路圖案尺寸,評估是否需調整該圖案化製程。 A semiconductor manufacturing process control method includes: providing a substrate on which a dielectric layer is located; performing a patterning process to form at least one trench in the dielectric layer, and the trench is formed on a surface of the dielectric layer A trench pattern; forming a conductive material to completely fill the trench; performing a first polishing process to completely remove the conductive material outside the trench and remove part of the dielectric layer until one of the dielectric layers is exposed A surface, the first surface includes a first circuit pattern formed by the conductive material filled in the trench; after the first grinding process, an online measurement is performed on the first circuit pattern to obtain a first circuit The pattern size, wherein the online measurement uses a scanning electron microscope; and according to the first circuit pattern size, it is evaluated whether the patterning process needs to be adjusted. 如申請專利範圍第1項所述之半導體製程控制方法,其中根據該第一線路圖案尺寸評估是否需調整該製程之步驟包含:判斷該第一線路圖案尺寸是否在一預設範圍內;若該第一線路圖案尺寸不在該預設範圍內,則調整該圖案化製程;以及利用調整後之該圖案化製程於另一該基底之該介電層中形成該溝渠。 For the semiconductor manufacturing process control method described in claim 1, wherein the step of evaluating whether the manufacturing process needs to be adjusted according to the size of the first circuit pattern includes: judging whether the size of the first circuit pattern is within a preset range; If the size of the first circuit pattern is not within the preset range, the patterning process is adjusted; and the trench is formed in the dielectric layer of the other substrate by using the adjusted patterning process. 如申請專利範圍第1項所述之半導體製程控制方法,另包含:對該第一表面進行一第二研磨製程,進一步移除部分該介電層直到顯露出該介電層之一第二表面,該第二表面包含由填充在該溝渠中的該導電材料形成的一第二線路圖案;該第二研磨製程後,對該第二線路圖案進行另一線上量測,獲得一第二線 路圖案尺寸;以及比較該第一線路圖案尺寸與該第二線路圖案尺寸之差異與一預設範圍,評估是否需調整該圖案化製程。 The semiconductor manufacturing process control method described in claim 1 further includes: performing a second polishing process on the first surface, and further removing part of the dielectric layer until a second surface of the dielectric layer is exposed , The second surface includes a second circuit pattern formed by the conductive material filled in the trench; after the second grinding process, another online measurement is performed on the second circuit pattern to obtain a second wire Circuit pattern size; and comparing the difference between the size of the first circuit pattern and the size of the second circuit pattern with a preset range to evaluate whether the patterning process needs to be adjusted. 如申請專利範圍第3項所述之半導體製程控制方法,其中當該第一線路圖案尺寸與該第二線路圖案尺寸之差異大於該預設範圍,則調整該圖案化製程,並利用調整後之該圖案化製程於另一該基底之該介電層中形成該溝渠。 For example, in the semiconductor manufacturing process control method described in item 3 of the scope of patent application, when the difference between the size of the first circuit pattern and the size of the second circuit pattern is greater than the preset range, the patterning process is adjusted and the adjusted The patterning process forms the trench in the dielectric layer of the other substrate. 如申請專利範圍第3項所述之半導體製程控制方法,其中當該第一線路圖案尺寸與該第二線路圖案尺寸之差異在該預設範圍內,則不需調整該圖案化製程。 For the semiconductor process control method described in item 3 of the scope of patent application, when the difference between the size of the first circuit pattern and the size of the second circuit pattern is within the preset range, the patterning process does not need to be adjusted. 如申請專利範圍第1項所述之半導體製程控制方法,另包含:對該溝渠圖案進行另一線上量測,獲得一溝渠圖案尺寸;以及比較該第一線路圖案尺寸與該溝渠圖案尺寸之差異與一預設範圍,評估是否需調整該圖案化製程。 The semiconductor manufacturing process control method as described in claim 1 further includes: performing another online measurement on the trench pattern to obtain a trench pattern size; and comparing the size of the first circuit pattern and the difference between the trench pattern size And a preset range to evaluate whether the patterning process needs to be adjusted. 如申請專利範圍第6項所述之半導體製程控制方法,其中當該線路圖案尺寸與該溝渠圖案尺寸之差異大於該預設範圍,則調整該圖案化製程,並利用調整後之該圖案化製程於另一該基底之該介電層中形成該溝渠。 For example, in the semiconductor manufacturing process control method described in item 6 of the scope of patent application, when the difference between the size of the circuit pattern and the size of the trench pattern is greater than the preset range, the patterning process is adjusted and the adjusted patterning process is used The trench is formed in the dielectric layer of the other substrate. 如申請專利範圍第6項所述之半導體製程控制方法,其中當該第一線路圖案尺寸與該溝渠圖案尺寸之差異在該預設範圍內,則不需調整該圖案化 製程。 For example, the semiconductor manufacturing process control method described in item 6 of the scope of patent application, wherein when the difference between the size of the first circuit pattern and the size of the trench pattern is within the preset range, the patterning does not need to be adjusted Process. 如申請專利範圍第1項所述之半導體製程控制方法,其中:該圖案化製程於該介電層中形成多個互相平行且緊密排列的該溝渠,該些溝渠於該介電層之該表面形成一柵狀溝渠圖案;以及該第一研磨製程後,填充在該些溝渠中的該導電材料在該第一表面形成一柵狀第一線路圖案。 The semiconductor manufacturing process control method described in claim 1, wherein: the patterning process forms a plurality of the trenches parallel to each other and closely arranged in the dielectric layer, and the trenches are on the surface of the dielectric layer Forming a grid-shaped trench pattern; and after the first polishing process, the conductive material filled in the trenches forms a grid-shaped first circuit pattern on the first surface. 如申請專利範圍第9項所述之半導體製程控制方法,其中該第一線路圖案尺寸是該柵狀第一線路圖案之一寬度或一間距。 According to the semiconductor manufacturing process control method described in claim 9, wherein the size of the first circuit pattern is a width or a pitch of the grid-shaped first circuit pattern. 如申請專利範圍第9項所述之半導體製程控制方法,另包含:對該柵狀溝渠圖案進行另一線上量測,獲得一溝渠圖案尺寸,該溝渠圖案尺寸是該柵狀溝渠圖案之一寬度或一間距;以及根據該第一線路圖案尺寸與該溝渠圖案尺寸之差異,評估是否需調整該圖案化製程。 The semiconductor process control method described in claim 9 further includes: performing another online measurement on the grid trench pattern to obtain a trench pattern size, the trench pattern size being a width of the grid trench pattern Or a pitch; and according to the difference between the size of the first circuit pattern and the size of the trench pattern, it is evaluated whether the patterning process needs to be adjusted. 一種半導體製程控制方法,包含:提供一晶圓,包含多個互不重疊的晶片區,一介電層位於該晶圓上並覆蓋各該晶片區;進行一圖案化製程,於各該晶片區之該介電層中形成至少一溝渠,各該晶片區之該溝渠分別於該介電層之一表面形成一溝渠圖案;形成一導電材料完全填滿各該晶片區之該溝渠;進行一第一研磨製程,完全移除各該晶片區之該溝渠外的該導電材料並移 除部分該介電層直到顯露出該介電層之一第一表面,該第一表面包含由填充在各該晶片區之該溝渠中的該導電材料形成的多個第一線路圖案;該第一研磨製程後,對該些第一線路圖案進行一線上量測,獲得多個第一線路圖案尺寸以及一第一線路圖案尺寸晶圓圖,其中該線上量測係使用一掃描式電子顯微鏡;以及根據該第一線路圖案尺寸晶圓圖評估是否需調整該圖案化製程。 A semiconductor manufacturing process control method includes: providing a wafer including a plurality of non-overlapping wafer regions, a dielectric layer on the wafer and covering each of the wafer regions; performing a patterning process in each of the wafer regions At least one trench is formed in the dielectric layer, and the trenches of each of the chip regions respectively form a trench pattern on a surface of the dielectric layer; forming a conductive material to completely fill the trenches of each of the chip regions; performing a second A polishing process to completely remove the conductive material outside the trench of each wafer area and move it Remove part of the dielectric layer until a first surface of the dielectric layer is exposed, the first surface including a plurality of first circuit patterns formed by the conductive material filled in the trenches of each of the chip regions; After a grinding process, perform an online measurement on the first circuit patterns to obtain a plurality of first circuit pattern sizes and a first circuit pattern size wafer map, wherein the online measurement uses a scanning electron microscope; And to evaluate whether the patterning process needs to be adjusted according to the wafer map of the first circuit pattern size. 如申請專利範圍第12項所述之半導體製程控制方法,其中根據該第一線路圖案尺寸晶圓圖評估是否需調整該圖案化製程之步驟包含:判斷該第一線路圖案尺寸晶圓圖之晶圓內均勻度是否在一預設範圍內;若該第一線路圖案尺寸晶圓圖之晶圓內均勻度不在該預設範圍內,則調整該圖案化製程之至少一製程參數;以及利用調整後之該圖案化製程,於另一該晶圓之該介電層中形成該溝渠。 According to the semiconductor process control method described in claim 12, the step of evaluating whether the patterning process needs to be adjusted according to the first circuit pattern size wafer map includes: determining the first circuit pattern size wafer map Whether the in-circle uniformity is within a preset range; if the in-wafer uniformity of the first circuit pattern size wafer map is not within the preset range, adjust at least one process parameter of the patterning process; and use adjustment After the patterning process, the trench is formed in the dielectric layer of another wafer. 如申請專利範圍第12項所述之半導體製程控制方法,另包含:對各該晶片區進行一晶圓測試,獲得多個測試晶圓圖;以及比對該第一線路圖案尺寸晶圓圖與該些測試晶圓圖,關聯該第一線路圖案尺寸至一測試項目。 As described in item 12 of the scope of patent application, the semiconductor manufacturing process control method further includes: performing a wafer test on each wafer area to obtain a plurality of test wafer images; and comparing the size of the first circuit pattern with the wafer image The test wafer images are associated with the size of the first circuit pattern to a test item. 如申請專利範圍第12項所述之半導體製程控制方法,其中:該圖案化製程於各該晶片區之該介電層中形成多個互相平行且緊密排列的該溝渠,各該晶片區之該介電層之該表面分別包含該些溝渠構成的一柵狀溝渠圖案;以及該第一研磨製程後,各該晶片區之該介電層之該第一表面分別包含由填充 在該些溝渠構中的該導電材料形成的一柵狀第一線路圖案。 The semiconductor process control method described in claim 12, wherein: the patterning process forms a plurality of the trenches that are parallel and closely arranged in the dielectric layer of each of the chip regions, and the trenches of each of the chip regions are closely arranged. The surface of the dielectric layer respectively includes a grid-shaped trench pattern formed by the trenches; and after the first polishing process, the first surface of the dielectric layer of each of the chip regions includes a filling A grid-shaped first circuit pattern is formed by the conductive material in the trench structures. 如申請專利範圍第15項所述之半導體製程控制方法,其中各該晶片區之該第一線路圖案尺寸是該柵狀第一線路圖案之一寬度或一間距。 According to the semiconductor process control method described in claim 15, wherein the size of the first circuit pattern of each of the wafer regions is a width or a pitch of the grid-shaped first circuit pattern. 如申請專利範圍第12項所述之半導體製程控制方法,另包含:對各該晶片區之該溝渠圖案進行另一線上量測,獲得多個溝渠圖案尺寸以及一溝渠圖案尺寸晶圓圖;以及根據該第一線路圖案尺寸晶圓圖與該溝渠圖案尺寸晶圓圖之差異,評估是否需調整該圖案化製程。 The semiconductor process control method described in claim 12 further includes: performing another online measurement on the trench pattern of each chip area to obtain a plurality of trench pattern sizes and a trench pattern size wafer map; and According to the difference between the first circuit pattern size wafer map and the trench pattern size wafer map, it is evaluated whether the patterning process needs to be adjusted. 如申請專利範圍第12項所述之半導體製程控制方法,另包含:對該第一表面進行一第二研磨製程,進一步移除部分該介電層直到顯露出該介電層之一第二表面,該第二表面包含由填充在各該晶片區之該溝渠中的該導電材料形成的多個第二線路圖案;對各該晶片區之該第二線路圖案進行另一線上量測,獲得多個第二線路圖案尺寸以及一第二線路圖案尺寸晶圓圖;以及根據該第一線圖圖案尺寸晶圓圖與該第二線路圖案尺寸晶圓圖之差異,評估是否需調整該圖案化製程。 The semiconductor manufacturing process control method described in claim 12, further comprising: performing a second polishing process on the first surface, and further removing part of the dielectric layer until a second surface of the dielectric layer is exposed , The second surface includes a plurality of second circuit patterns formed by the conductive material filled in the trenches of each of the chip regions; another online measurement is performed on the second circuit patterns of each of the chip regions to obtain more A second circuit pattern size and a second circuit pattern size wafer map; and according to the difference between the first circuit pattern size wafer map and the second circuit pattern size wafer map, assess whether the patterning process needs to be adjusted .
TW107133596A 2018-09-25 2018-09-25 Process control method for semiconductor manufacturing TWI728267B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW107133596A TWI728267B (en) 2018-09-25 2018-09-25 Process control method for semiconductor manufacturing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW107133596A TWI728267B (en) 2018-09-25 2018-09-25 Process control method for semiconductor manufacturing

Publications (2)

Publication Number Publication Date
TW202013576A TW202013576A (en) 2020-04-01
TWI728267B true TWI728267B (en) 2021-05-21

Family

ID=71130587

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133596A TWI728267B (en) 2018-09-25 2018-09-25 Process control method for semiconductor manufacturing

Country Status (1)

Country Link
TW (1) TWI728267B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020137350A1 (en) * 2001-03-14 2002-09-26 Tetsuo Endoh Process of manufacturing electron microscopic sample and process of analyzing semiconductor device
US20040092047A1 (en) * 2002-11-12 2004-05-13 Applied Materials,Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US20070247167A1 (en) * 2006-04-06 2007-10-25 Chartered Semiconductor Manufacturing Ltd Method to monitor critical dimension of IC interconnect

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020137350A1 (en) * 2001-03-14 2002-09-26 Tetsuo Endoh Process of manufacturing electron microscopic sample and process of analyzing semiconductor device
US20040092047A1 (en) * 2002-11-12 2004-05-13 Applied Materials,Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US20070247167A1 (en) * 2006-04-06 2007-10-25 Chartered Semiconductor Manufacturing Ltd Method to monitor critical dimension of IC interconnect

Also Published As

Publication number Publication date
TW202013576A (en) 2020-04-01

Similar Documents

Publication Publication Date Title
US20240085803A1 (en) Multi-metal fill with self-aligned patterning and dielectric with voids
US7611991B2 (en) Technique for increasing adhesion of metallization layers by providing dummy vias
US7511349B2 (en) Contact or via hole structure with enlarged bottom critical dimension
KR100245970B1 (en) Semiconductor structure and improving method for preparing the same
US9117882B2 (en) Non-hierarchical metal layers for integrated circuits
JP5067039B2 (en) Manufacturing method of semiconductor device
US9218970B2 (en) Stress-controlled formation of TiN hard mask
TWI508206B (en) Methods relating to capacitive monitoring of layer characteristics during back end-of-the-line processing
US20180061761A1 (en) Low aspect ratio interconnect
US8697455B2 (en) Monitoring test element groups (TEGs) for etching process and methods of manufacturing a semiconductor device using the same
CN109427656B (en) Semiconductor device and method for manufacturing the same
US20230378104A1 (en) Cavity resonator for enhancing radio-frequency performance and methods for forming the same
TWI728267B (en) Process control method for semiconductor manufacturing
US20100190272A1 (en) Rework method of metal hard mask
US11164800B2 (en) Test structure, semiconductor device and method for obtaining fabricating information in semiconductor device
KR100660325B1 (en) A method for fabricating a semiconductor device
US20230245934A1 (en) Testkey structure for semiconductor device
US7339274B2 (en) Metallization performance in electronic devices
TWI785992B (en) Semiconductor structure and manufacturing method of the same
CN110416106B (en) OCD test pattern structure and manufacturing method thereof
US20230317520A1 (en) Semiconductor structure and manufacturing method of the same
US6452285B1 (en) Fabrication of standard defects in contacts
KR100996305B1 (en) Fabricating method of semiconductor device
US20080268629A1 (en) Method of Forming Overlay Mark of Semiconductor Device
KR100403351B1 (en) Method for forming etch monitoring box in dual damascene process