TWI721495B - Substrate processing apparatus, processing liquid and substrate processing method - Google Patents

Substrate processing apparatus, processing liquid and substrate processing method Download PDF

Info

Publication number
TWI721495B
TWI721495B TW108125150A TW108125150A TWI721495B TW I721495 B TWI721495 B TW I721495B TW 108125150 A TW108125150 A TW 108125150A TW 108125150 A TW108125150 A TW 108125150A TW I721495 B TWI721495 B TW I721495B
Authority
TW
Taiwan
Prior art keywords
substrate
liquid
processing
liquid film
gas
Prior art date
Application number
TW108125150A
Other languages
Chinese (zh)
Other versions
TW202011501A (en
Inventor
奥谷学
阿部博史
屋敷啓之
Original Assignee
日商斯庫林集團股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商斯庫林集團股份有限公司 filed Critical 日商斯庫林集團股份有限公司
Publication of TW202011501A publication Critical patent/TW202011501A/en
Application granted granted Critical
Publication of TWI721495B publication Critical patent/TWI721495B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

The substrate processing apparatus 1 includes a substrate holding part 2, a processing liquid supply part, a heating part 5, and a liquid removing part. The substrate holding part 2 holds the substrate 9 in a horizontal state. The processing liquid supply part supplies the processing liquid having a surface tension higher than that of IPA to the upper surface 91 of the substrate 9 to form a liquid film of the processing liquid which covers the entire upper surface 91 of the substrate 9. The heating part 5 heats the substrate 9 from the lower surface side to vaporize a part of the liquid film, thereby forming a gas phase layer between the upper surface 91 of the substrate 9 and the liquid film. The liquid removing part removes the liquid film on the gas phase layer. Thereby, damage to the liquid film which is not intended can be suppressed.

Description

基板處理裝置、處理液以及基板處理方法Substrate processing device, processing liquid, and substrate processing method

本發明係有關於一種用以處理基板之基板處理裝置、在該基板處理裝置中所使用之處理液以及用以處理基板之基板處理方法。 The present invention relates to a substrate processing device for processing a substrate, a processing liquid used in the substrate processing device, and a substrate processing method for processing a substrate.

以往,在半導體基板(以下簡稱為「基板」)的製造工序中對基板施予各種處理。例如,從噴嘴對表面上形成有阻劑(resist)的圖案(pattern)(亦即多個細微的構造體)之基板上噴出藥液,藉此對基板的表面進行蝕刻等藥液處理。 Conventionally, various processes have been applied to the substrate in the manufacturing process of a semiconductor substrate (hereinafter referred to as a "substrate"). For example, a chemical liquid is sprayed from a substrate on which a resist pattern (that is, a plurality of fine structures) is formed on the surface of the nozzle to perform chemical liquid treatment such as etching on the surface of the substrate.

此外,在對基板進行藥液處理後,進一步進行清洗(rinse)處理以及乾燥處理,清洗處理係對基板供給純水並去除藥液之處理,乾燥處理係高速地旋轉基板並去除基板上的液體之處理。在基板上形成有細微的圖案之情形中,當依序進行清洗處理以及乾燥處理時,在乾燥途中於鄰接的兩個圖案要素之間形成有純水的液面。在此情形中,有因為作用於圖案要素之純水的表面張力導致圖案要素崩壞之虞。 In addition, after the substrate is treated with a chemical solution, a rinse process and a drying process are further performed. The cleaning process is a process of supplying pure water to the substrate and removing the chemical solution, and the drying process is a process of rotating the substrate at a high speed and removing the liquid on the substrate.的处理。 The treatment. When a fine pattern is formed on the substrate, when the cleaning process and the drying process are sequentially performed, a liquid surface of pure water is formed between two adjacent pattern elements during the drying process. In this case, there is a risk that the pattern elements may collapse due to the surface tension of pure water acting on the pattern elements.

因此,在日本特開2014-112652號公報(文獻1)、日本特開2016-136599號公報(文獻2)以及日本特開2016-162847號公報(文獻3)的基板處理裝置中,在執行清洗處理後,對基板的上表面供給IPA(isopropyl alcohol;異丙醇)液體並與清洗液置換,於基板上形成IPA的液膜。接著,加熱基板並於IPA的液膜與基板上表面之間形成IPA的蒸氣膜,藉此使IPA的液膜從基板上表面浮起後,從基板上去除該液膜。從基板上去除液膜時, 從噴嘴對液膜的中心部噴吹氮氣並局部性地去除液膜,藉此形成小徑的乾燥區域;並且,一邊使基板旋轉一邊進一步地對中心部噴吹氮氣,藉此使該乾燥區域擴大並擴展至基板上表面的整體。藉此,一邊抑制圖案要素的崩壞一邊使基板的上表面乾燥。 Therefore, in the substrate processing apparatuses of Japanese Patent Application Publication No. 2014-112652 (Document 1), Japanese Patent Application Publication No. 2016-136599 (Document 2), and Japanese Patent Application Publication No. 2016-162847 (Document 3), the cleaning is performed After the treatment, IPA (isopropyl alcohol) liquid is supplied to the upper surface of the substrate and replaced with the cleaning liquid to form a liquid film of IPA on the substrate. Next, the substrate is heated to form a vapor film of IPA between the liquid film of IPA and the upper surface of the substrate, thereby floating the liquid film of IPA from the upper surface of the substrate, and then the liquid film is removed from the substrate. When removing the liquid film from the substrate, Nitrogen is sprayed from the nozzle to the center of the liquid film and the liquid film is partially removed to form a small diameter drying area; and while the substrate is rotated, nitrogen is further sprayed to the center to make the drying area Expand and expand to the entire upper surface of the substrate. Thereby, the upper surface of the substrate is dried while suppressing the collapse of the pattern elements.

此外,在文獻3的基板處理裝置中,從基板上去除液膜時,於液膜的中心部形成乾燥區域後,從設置於噴嘴的外周面的周狀的細縫(slit)開口噴出惰性氣體。藉此,形成有從噴嘴朝向斜下方之放射狀的氣流,並藉由該氣流促進乾燥區域的擴大。 In addition, in the substrate processing apparatus of Document 3, when the liquid film is removed from the substrate, after a drying area is formed in the center of the liquid film, an inert gas is ejected from a circumferential slit opening provided on the outer peripheral surface of the nozzle . Thereby, a radial airflow is formed obliquely downward from the nozzle, and the airflow promotes the expansion of the drying area.

然而,在基板處理裝置中,會有在形成以及加熱IPA的液膜時(亦即開始去除IPA的液膜前)液膜非預期性的破損之虞。具體而言,會有從基板的周緣或者夾具銷(chuck pin)與液膜之間的接觸部流下IPA之情形。或者,會有因為在IPA的液膜下產生的IPA的蒸氣而於液膜產生龜裂之情形。如此,會有加熱IPA的液膜之時間減少之可能性。從藉由蒸氣膜使IPA的液膜從基板上表面充分地浮起並去除之觀點而言,較佳為某種程度以上地確保IPA的液膜的加熱時間。 However, in the substrate processing apparatus, the liquid film may be unexpectedly damaged when the liquid film of IPA is formed and heated (that is, before the liquid film of IPA is started to be removed). Specifically, the IPA may flow down from the periphery of the substrate or the contact portion between the chuck pin and the liquid film. Or, there may be cracks in the liquid film due to the vapor of IPA generated under the liquid film of IPA. In this way, there is a possibility that the time for heating the liquid film of the IPA may be reduced. From the viewpoint of sufficiently floating and removing the liquid film of IPA from the upper surface of the substrate by the vapor film, it is preferable to ensure the heating time of the liquid film of IPA to some extent.

本發明係著眼於用以處理基板之基板處理裝置,目的在於抑制液膜非預期性的破損。 The present invention focuses on a substrate processing apparatus for processing substrates, and aims to suppress unexpected damage of the liquid film.

本發明的一個較佳形態的基板處理裝置係具備有:基板保持部,係以水平狀態保持基板;處理液供給部,係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;加熱部,係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及液體去除部,係去除前述氣相層上的前述液膜。依據該基板 處理裝置,能抑制液膜非預期性的破損。 A substrate processing apparatus of a preferred form of the present invention is provided with: a substrate holding part which holds the substrate in a horizontal state; and a processing liquid supply part which supplies a processing liquid with a higher surface tension than isopropanol onto the substrate Surface, thereby forming a liquid film of the processing liquid covering the entire surface of the upper surface of the substrate; the heating part heats the substrate from the lower surface side and vaporizes a part of the liquid film, thereby forming a liquid film on the substrate A gas phase layer is formed between the upper surface and the liquid film; and a liquid removal part removes the liquid film on the gas phase layer. According to the substrate The treatment device can prevent unexpected breakage of the liquid film.

較佳為,前述處理液的蒸氣壓係比異丙醇的蒸氣壓還高。 Preferably, the vapor pressure of the treatment liquid is higher than the vapor pressure of isopropanol.

較佳為,前述處理液係包含有順-1,2-二氯乙烯(cis-1,2-dichloroethylene)、三氯甲烷(trichloromethane)、乙酸甲酯(methyl acetate)、1,3-二氧戊烷(1,3-dioxolane)、四氫呋喃(tetrahydrofuran)、1,1,1-三氯乙烷(1,1,1-trichloroethane)、四氯甲烷(tetrachloromethane)、苯(benzene)、環己烷(cyclohexane)、乙腈(acetonitrile)、三氯乙烯(trichloroethylene)、四氫哌喃(tetrahydropyran)、硝酸、1,2-二氯乙烷(1,2-dichloroethane)、1,2-二氯丙烷(1,2-dichloropropane)、氟三硝基甲烷(fluorotrinitromethane)、吡咯啶(pyrrolidine)、丙烯腈(acrylonitrile)、環己烯(cyclohexene)中的至少一者。 Preferably, the aforementioned treatment liquid contains cis-1,2-dichloroethylene (cis-1,2-dichloroethylene), trichloromethane (trichloromethane), methyl acetate (methyl acetate), 1,3-dioxide Pentane (1,3-dioxolane), tetrahydrofuran (tetrahydrofuran), 1,1,1-trichloroethane (1,1,1-trichloroethane), tetrachloromethane, benzene, cyclohexane (cyclohexane), acetonitrile, trichloroethylene, tetrahydropyran, nitric acid, 1,2-dichloroethane, 1,2-dichloropropane ( At least one of 1,2-dichloropropane, fluorotrinitromethane, pyrrolidine, acrylonitrile, and cyclohexene.

較佳為,前述加熱部對前述基板的加熱係在藉由從前述處理液供給部所供給的前述處理液覆蓋前述基板的前述上表面的整面後再開始。 Preferably, the heating of the substrate by the heating unit is started after the entire surface of the upper surface of the substrate is covered by the processing liquid supplied from the processing liquid supply unit.

較佳為,在藉由前述異丙醇覆蓋前述基板的前述上表面的整面之狀態下,從前述處理液供給部對前述基板的前述上表面供給前述處理液,並藉由前述處理液置換前述基板的前述上表面上的異丙醇,藉此形成前述處理液的前述液膜。 Preferably, in a state where the entire upper surface of the substrate is covered with the isopropyl alcohol, the processing liquid is supplied to the upper surface of the substrate from the processing liquid supply part, and the processing liquid is replaced by the processing liquid. The isopropyl alcohol on the upper surface of the substrate thereby forms the liquid film of the processing liquid.

較佳為,前述處理液係將表面張力比前述異丙醇還高且蒸氣壓比前述異丙醇還低之物質混合至前述異丙醇之混合液。 Preferably, the treatment liquid is a mixture of a substance having a surface tension higher than that of the isopropanol and a vapor pressure lower than that of the isopropanol to the mixed liquid of the isopropanol.

較佳為,前述液體去除部係具備有:氣體噴出部,係朝前述液膜的中央部噴出氣體;藉由來自前述氣體噴出部的前述氣體形成從前述液膜的前述中央部朝向周圍之放射狀的氣流,並使前述處理液從前述液膜的前述中央部朝前述基板的外緣移動並從前述基板上去除。 Preferably, the liquid removal section includes: a gas ejection section that ejects gas toward the center of the liquid film; and the gas from the gas ejection section forms radiation from the center of the liquid film toward the surroundings. And move the processing liquid from the center portion of the liquid film toward the outer edge of the substrate and remove it from the substrate.

較佳為,前述氣體噴出部係具備有:第一噴出口,係朝前述液膜的前述中央部噴出氣體;以及複數個第二噴出口,係周狀地配置於前述第一噴出口的周圍,對從前述液膜的前述中央部朝向周圍之方向放射狀地噴出氣體。 Preferably, the gas ejection portion includes: a first ejection port for ejecting gas toward the center portion of the liquid film; and a plurality of second ejection ports that are circumferentially arranged around the first ejection port , The gas is ejected radially from the center portion of the liquid film toward the surrounding direction.

較佳為,前述基板處理裝置係進一步具備有:腔室(chamber),係將前述基板保持部收容於內部空間;以及氣流形成部,係從前述腔室的上部對前述內部空間送出氣體,並於前述基板的周圍形成從前述基板的上側朝向下側的下降氣流。前述下降氣流係在從前述基板上去除前述處理液時促進前述基板的前述上表面的周緣部中之前述處理液朝前述外緣移動。 Preferably, the substrate processing apparatus is further provided with: a chamber for accommodating the substrate holding part in the internal space; and a gas flow forming part for sending gas from the upper part of the chamber to the internal space, and A downward airflow from the upper side to the lower side of the substrate is formed around the substrate. The downward air flow promotes the movement of the processing liquid in the peripheral edge portion of the upper surface of the substrate toward the outer edge when the processing liquid is removed from the substrate.

較佳為,前述基板處理裝置係進一步具備有:旋轉機構,係旋轉前述基板保持部;罩(cup),係隔著間隙配置於前述基板保持部的周圍,用以接住從旋轉中的前述基板飛散的液體;以及罩移動機構,係將前述罩相對於前述基板保持部相對性地移動。從前述基板上去除前述處理液時,藉由前述罩移動機構使前述罩相對性地移動,並縮小前述基板保持部與前述罩之間的間隙。 Preferably, the substrate processing apparatus is further provided with: a rotating mechanism that rotates the substrate holding portion; a cup is arranged around the substrate holding portion with a gap therebetween to catch the rotating The liquid scattered from the substrate; and a cover moving mechanism that relatively moves the cover with respect to the substrate holding portion. When the processing liquid is removed from the substrate, the cover is moved relatively by the cover moving mechanism, and the gap between the substrate holding portion and the cover is reduced.

較佳為,在前述加熱部開始加熱前述基板時,前述氣流形成部停止形成前述下降氣流。 Preferably, when the heating section starts to heat the substrate, the air flow forming section stops forming the down flow.

較佳為,在前述加熱部開始加熱前述基板之前的前述處理液供給部停止供給前述處理液之同時,前述氣流形成部停止形成前述下降氣流。 Preferably, at the same time when the processing liquid supply unit stops supplying the processing liquid before the heating unit starts to heat the substrate, the airflow forming unit stops forming the downflow.

較佳為,在前述基板的前述周緣部的溫度變成預定溫度以上後,前述氣流形成部再次開始形成前述下降氣流。 Preferably, after the temperature of the peripheral edge portion of the substrate becomes a predetermined temperature or higher, the airflow forming portion starts to form the downward airflow again.

本發明亦著眼於一種使用於基板的處理之處理液。本發明的 一個較佳形態的處理液係表面張力比異丙醇還高,且在前述基板處理裝置中被供給至前述基板的前述上表面。 The present invention also focuses on a processing liquid used in substrate processing. Of the invention A preferred form of the processing liquid system has a higher surface tension than isopropanol, and is supplied to the upper surface of the substrate in the substrate processing apparatus.

本發明亦著眼於一種用以處理基板之基板處理方法。本發明的一個較佳形態的基板處理方法係具備有:工序(a),係以水平狀態保持基板;工序(b),係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;工序(c),係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及工序(d),係去除前述氣相層上的前述液膜。依據該基板處理方法,能抑制液膜非預期性的破損。 The present invention also focuses on a substrate processing method for processing substrates. A preferred form of the substrate processing method of the present invention is provided with: step (a) is to hold the substrate in a horizontal state; step (b) is to supply a processing solution with a higher surface tension than isopropanol to the aforementioned substrate The upper surface, thereby forming a liquid film of the treatment liquid covering the entire surface of the upper surface of the substrate; step (c), heating the substrate from the lower surface side and vaporizing a part of the liquid film, thereby A gas phase layer is formed between the upper surface of the substrate and the liquid film; and step (d) is to remove the liquid film on the gas phase layer. According to this substrate processing method, unexpected breakage of the liquid film can be suppressed.

本發明的目的以及其他的目的、特徵、態樣以及優點係參照隨附的圖式並藉由以下所進行的本發明的詳細的說明而明瞭。 The object of the present invention and other objects, features, aspects, and advantages are made clear by the detailed description of the present invention described below with reference to the accompanying drawings.

1:基板處理裝置 1: Substrate processing equipment

2:基板保持部 2: Board holding part

3:旋轉機構 3: Rotating mechanism

4:罩部 4: Hood

5:加熱部 5: Heating part

6:液體供給部 6: Liquid supply part

7:氣體供給部 7: Gas supply department

9:基板(半導體基板) 9: Substrate (semiconductor substrate)

11:腔室 11: Chamber

21:基座部 21: Base

22:保持軸部 22: Keep the shaft

23:夾具銷 23: Fixture pin

24:蓋部 24: Lid

41:罩 41: Hood

42:罩移動機構 42: Hood moving mechanism

43:罩側壁部 43: hood side wall

44:罩頂蓋部 44: Cover top cover

45:排出埠 45: discharge port

51:加熱板 51: heating plate

52:加熱軸部 52: Heating shaft

53:板升降機構 53: Plate lifting mechanism

61:第一噴嘴 61: The first nozzle

62:第二噴嘴 62: second nozzle

63:第三噴嘴 63: The third nozzle

64:處理液供給源 64: Treatment liquid supply source

65:藥液供給源 65: liquid medicine supply source

66:清洗液供給源 66: Cleaning fluid supply source

71:氣流形成部 71: Airflow forming part

72:風扇單元 72: fan unit

74:氣體供給源 74: Gas supply source

91:(基板的)上表面 91: (of the substrate) upper surface

92:(基板的)下表面 92: (of the substrate) lower surface

93:液膜 93: Liquid film

94:氣相層 94: Gas phase layer

95:界面 95: interface

96:孔 96: well

610:第一噴嘴移動機構 610: The first nozzle moving mechanism

611:噴嘴本體 611: Nozzle body

612:處理液流路 612: Treatment liquid flow path

613:第一氣體流路 613: The first gas flow path

614:第二氣體流路 614: second gas flow path

615:噴出口 615: Ejector

616:第一噴出口 616: first outlet

617:第二噴出口 617: second outlet

620:第二噴嘴移動機構 620: Second nozzle moving mechanism

616:第一噴出口 616: first outlet

617:第二噴出口 617: second outlet

641、651、661、741、743:配管 641, 651, 661, 741, 743: Piping

642、652、662、742、744:閥 642, 652, 662, 742, 744: valve

911:構造體 911: Structure

J1:中心軸 J1: Central axis

圖1係實施形態之一的基板處理裝置的側視圖。 Fig. 1 is a side view of a substrate processing apparatus according to one embodiment.

圖2係顯示液體供給部以及氣體供給部之方塊圖。 Fig. 2 is a block diagram showing the liquid supply part and the gas supply part.

圖3係將第一噴嘴放大顯示之側視圖。 Figure 3 is an enlarged side view showing the first nozzle.

圖4係顯示基板的處理的流程之圖。 Fig. 4 is a diagram showing the flow of substrate processing.

圖5係顯示處理途中的基板以及基板處理裝置的一部分之圖。 Fig. 5 is a diagram showing a substrate in the middle of processing and a part of the substrate processing apparatus.

圖6係顯示處理途中的基板以及基板處理裝置的一部分之圖。 Fig. 6 is a diagram showing a substrate in the middle of processing and a part of the substrate processing apparatus.

圖7係將基板的上表面附近放大顯示之縱剖視圖。 Fig. 7 is an enlarged longitudinal sectional view showing the vicinity of the upper surface of the substrate.

圖8係將基板的上表面附近放大顯示之縱剖視圖。 Fig. 8 is an enlarged longitudinal sectional view showing the vicinity of the upper surface of the substrate.

圖9係基板的處理的流程之圖。 Fig. 9 is a diagram of a flow of substrate processing.

圖10係顯示處理途中的基板以及基板處理裝置的一部分之圖。 Fig. 10 is a diagram showing a substrate in the middle of processing and a part of the substrate processing apparatus.

圖11係顯示處理途中的基板以及基板處理裝置的一部分之圖。 FIG. 11 is a diagram showing a substrate in the middle of processing and a part of the substrate processing apparatus.

圖12係顯示處理途中的基板以及基板處理裝置的一部分之圖。 Fig. 12 is a diagram showing a substrate in the middle of processing and a part of the substrate processing apparatus.

圖1係顯示本發明的實施形態之一的基板處理裝置1的構成之側視圖。基板處理裝置1係用以逐片地處理半導體基板9(以下簡稱為「基板9」)之葉片式的裝置。基板處理裝置1係對上表面91形成有細微的圖案(pattern)之基板9供給藥液並進行液體處理。在圖1中以剖面顯示基板處理裝置1的構成的一部分。 Fig. 1 is a side view showing the structure of a substrate processing apparatus 1 according to one embodiment of the present invention. The substrate processing apparatus 1 is a blade-type apparatus for processing semiconductor substrates 9 (hereinafter referred to as "substrate 9") one by one. The substrate processing apparatus 1 supplies a chemical liquid to the substrate 9 on which a fine pattern is formed on the upper surface 91 and performs liquid processing. In FIG. 1, a part of the configuration of the substrate processing apparatus 1 is shown in cross section.

基板處理裝置1係具備有基板保持部2、旋轉機構3、罩部4、加熱部5、液體供給部6、氣體供給部7以及腔室(chamber)11。基板保持部2、旋轉機構3、罩部4、加熱部5、液體供給部6的一部分以及氣體供給部7的一部分係收容於腔室11的內部空間。 The substrate processing apparatus 1 includes a substrate holding section 2, a rotating mechanism 3, a cover section 4, a heating section 5, a liquid supply section 6, a gas supply section 7, and a chamber 11. The substrate holding part 2, the rotating mechanism 3, the cover part 4, the heating part 5, a part of the liquid supply part 6, and a part of the gas supply part 7 are housed in the internal space of the chamber 11.

基板保持部2係機械夾具(mechanical chuck),用以直接地接觸至基板9的周緣部並固定基板9的位置。基板9係以水平狀態被基板保持部2保持。旋轉機構3係將朝向上下方向的中心軸J1作為中心旋轉基板9以及基板保持部2。旋轉機構3係例如為電動馬達。基板保持部2以及旋轉機構3係構成用以保持基板9並使基板9旋轉之自轉夾具(spin chuck)。 The substrate holding portion 2 is a mechanical chuck for directly contacting the peripheral edge of the substrate 9 and fixing the position of the substrate 9. The substrate 9 is held by the substrate holding portion 2 in a horizontal state. The rotation mechanism 3 rotates the substrate 9 and the substrate holding portion 2 with a central axis J1 oriented in the vertical direction as a center. The rotating mechanism 3 is, for example, an electric motor. The substrate holding portion 2 and the rotating mechanism 3 constitute a spin chuck for holding and rotating the substrate 9.

基板保持部2係具備有基座部21、保持軸部22以及複數個夾具銷(chuck pin)23。基座部21係將中心軸J1作為中心之略圓板狀的部位。保持軸部22係從基座部21的中央部朝下方延伸之略圓筒狀的部位。保持軸部22係收容於略有蓋圓筒狀的蓋(cover)部24的內部,蓋部24係設置於基座部21的下方。於蓋部24的內部亦收容有用以旋轉保持軸部22之旋轉機構3。蓋部24的直徑係例如與基座部21的直徑略相同。 The substrate holding portion 2 includes a base portion 21, a holding shaft portion 22 and a plurality of chuck pins 23. The base portion 21 is a substantially disc-shaped portion with the center axis J1 as the center. The holding shaft portion 22 is a substantially cylindrical portion extending downward from the center portion of the base portion 21. The holding shaft portion 22 is housed in a cover portion 24 having a substantially cylindrical shape, and the cover portion 24 is provided below the base portion 21. A rotating mechanism 3 for rotating and holding the shaft 22 is also contained in the cover 24. The diameter of the cover portion 24 is, for example, approximately the same as the diameter of the base portion 21.

複數個夾具銷23係從基座部21的上表面朝上方突出。複數個夾具銷23係以略等角度間隔地配置於將中心軸J1作為中心之周方向(以下亦簡 稱為「周方向」)中。複數個夾具銷23的數量係例如為三個或者四個。基板9係藉由複數個夾具銷23支撐周緣部,藉此在基座部21的上方中配置於已從基座部21的上表面離開的位置。 The plurality of clamp pins 23 protrude upward from the upper surface of the base portion 21. A plurality of clamp pins 23 are arranged at slightly equal angular intervals in the circumferential direction with the center axis J1 as the center (hereinafter also abbreviated as Called "circumferential direction"). The number of the plurality of clamp pins 23 is, for example, three or four. The substrate 9 is arranged at a position separated from the upper surface of the base portion 21 by supporting the peripheral edge portion by a plurality of clamp pins 23.

罩部4係具備有罩41以及罩移動機構42。罩41係隔著間隙配置於基板9以及基板保持部2的周圍。罩41係接住從旋轉中的基板9飛散的藥液、清洗液以及處理液等液體。罩41係具備有罩側壁部43以及罩頂蓋部44。罩側壁部43係將中心軸J1作為中心之略圓筒狀的部位。罩頂蓋部44係將中心軸J1作為中心之略圓環狀的部位。罩頂蓋部44係從罩側壁部43的上端部朝徑方向內側延伸。在圖1所示的例子中,罩頂蓋部44的內側面係愈朝向徑方向內側則愈朝向上方之傾斜面。從旋轉中的基板9的周緣部朝徑方向外側飛散的液體係例如在碰撞至罩41的內側面後朝罩41的底部落下,經由設置於該底部的排出埠(discharge port)45朝腔室11的外部排出。 The cover 4 is provided with a cover 41 and a cover moving mechanism 42. The cover 41 is arranged around the substrate 9 and the substrate holding portion 2 with a gap therebetween. The cover 41 catches liquids such as chemical liquid, cleaning liquid, and processing liquid scattered from the rotating substrate 9. The cover 41 includes a cover side wall portion 43 and a cover top cover portion 44. The cover side wall portion 43 is a substantially cylindrical portion with the center axis J1 as the center. The top cover part 44 is a roughly annular part centered on the central axis J1. The cover top cover portion 44 extends from the upper end portion of the cover side wall portion 43 toward the inner side in the radial direction. In the example shown in FIG. 1, the inner side surface of the top cover part 44 is an inclined surface which faces upwards as it faces radially inward. The liquid system scattered outward in the radial direction from the peripheral edge portion of the rotating substrate 9 for example, after colliding with the inner surface of the cover 41, drops toward the bottom of the cover 41, and then enters the chamber through a discharge port 45 provided at the bottom. 11 is discharged from the outside.

罩移動機構42係將罩41相對於基板保持部2相對性地移動。在圖1所示的例子中,罩移動機構42係用以將罩41於上下方向移動之升降機構。罩移動機構42係例如具備有:汽缸(air cylinder),係朝向上下方向;以及連接構件,係連接汽缸的可動部與罩41。此外,罩移動機構42不一定需要用以移動罩41之機構,亦可為用以將基板保持部2於上下方向移動之機構。 The cover moving mechanism 42 moves the cover 41 relative to the substrate holding portion 2. In the example shown in FIG. 1, the cover moving mechanism 42 is an elevating mechanism for moving the cover 41 in the vertical direction. The cover moving mechanism 42 is provided with, for example, an air cylinder, which faces the up-down direction, and a connecting member, which connects the movable part of the cylinder and the cover 41. In addition, the cover moving mechanism 42 does not necessarily need to be a mechanism for moving the cover 41, and may be a mechanism for moving the substrate holding portion 2 in the vertical direction.

加熱部5係具備有加熱板51、加熱軸部52以及板升降機構53。加熱板51係將中心軸J1作為中心之略圓板狀的部位。加熱板51係位於基板保持部2的基座部21與基板9之間,並於上下方向於基板9的下表面92對向。加熱板51的上表面係與基板9的下表面92略平行。加熱板51的上表面的直徑係比基板9的直徑稍小。例如,在基板9的直徑為300mm之情形中,加熱板51的上表面的直徑係294mm。於加熱板51的內部設置有未圖示的加熱器。 The heating unit 5 includes a heating plate 51, a heating shaft 52 and a plate lifting mechanism 53. The heating plate 51 is a substantially disc-shaped portion with the center axis J1 as the center. The heating plate 51 is located between the base portion 21 of the substrate holding portion 2 and the substrate 9 and faces the lower surface 92 of the substrate 9 in the vertical direction. The upper surface of the heating plate 51 is slightly parallel to the lower surface 92 of the substrate 9. The diameter of the upper surface of the heating plate 51 is slightly smaller than the diameter of the substrate 9. For example, in the case where the diameter of the substrate 9 is 300 mm, the diameter of the upper surface of the heating plate 51 is 294 mm. A heater (not shown) is provided inside the heating plate 51.

加熱軸部52係略圓筒狀的部位,且連接於加熱板51的中央部。 加熱軸部52係從加熱板51通過保持軸部22的內部朝下方延伸。於加熱軸部52連接有板升降機構53。板升降機構53係例如為電動馬達。藉由板升降機構53使加熱軸部52升降,藉此加熱板51係在基座部21與基板9之間於上下方向移動。具體而言,加熱板51係在圖1中以實線所示的位置(以下稱為「待機位置」)與圖1中以二點鏈線所示的位置(以下稱為「加熱位置」)之間於上下方向移動。 The heating shaft portion 52 is a substantially cylindrical portion, and is connected to the central portion of the heating plate 51. The heating shaft portion 52 extends downward from the heating plate 51 through the inside of the holding shaft portion 22. A plate lifting mechanism 53 is connected to the heating shaft portion 52. The plate lifting mechanism 53 is, for example, an electric motor. The heating shaft portion 52 is raised and lowered by the plate lifting mechanism 53, whereby the heating plate 51 is moved in the vertical direction between the base portion 21 and the substrate 9. Specifically, the heating plate 51 is at the position shown by the solid line in FIG. 1 (hereinafter referred to as the "standby position") and the position shown by the two-dot chain line in FIG. 1 (hereinafter referred to as the "heating position") Move in the up and down direction between.

位於加熱位置之加熱板51的上表面係直接地接觸至基板9的下表面92。或者,位於加熱位置之加熱板51的上表面係與基板9的下表面92之間隔著極小的間隙(例如高度約0.1mm的間隙)並從基板9的下表面92朝下方離開。在位於加熱位置之加熱板51中,對內置的加熱器供給電力,藉此大致均等地加熱加熱板51的上表面的略整面,且亦大致均等地加熱基板9的略整面。上面所說明的待機位置係比加熱位置還下側的位置。由於位於待機位置之加熱板51係從基板9的下表面92較大幅度地離開至下方,因此不會加熱基板9。此外,加熱板51以及加熱軸部52不會旋轉。 The upper surface of the heating plate 51 at the heating position directly contacts the lower surface 92 of the substrate 9. Alternatively, the upper surface of the heating plate 51 at the heating position and the lower surface 92 of the substrate 9 are separated from the lower surface 92 of the substrate 9 with a very small gap (for example, a gap having a height of about 0.1 mm) and separated downward from the lower surface 92 of the substrate 9. In the heating plate 51 located at the heating position, electric power is supplied to the built-in heater, thereby substantially uniformly heating a substantially entire surface of the upper surface of the heating plate 51 and also substantially uniformly heating a substantially entire surface of the substrate 9. The standby position described above is a position below the heating position. Since the heating plate 51 in the standby position is largely separated from the lower surface 92 of the substrate 9 to the lower side, the substrate 9 is not heated. In addition, the heating plate 51 and the heating shaft portion 52 do not rotate.

圖2係顯示基板處理裝置1的液體供給部6以及氣體供給部7之方塊圖。在圖2中亦一併顯示液體供給部6以及氣體供給部7以外的構成。液體供給部6係對基板9個別地供給複數種類的液體。該複數種類的液體係例如包括藥液、清洗液以及處理液。如圖1以及圖2所示,液體供給部6係具備有第一噴嘴61、第二噴嘴62以及第三噴嘴63。第一噴嘴61、第二噴嘴62以及第三噴嘴63係分別從基板9的上方朝基板9的上表面91供給液體。氣體供給部7係具備有氣流形成部71。上面所說明的第一噴嘴61亦包含於氣體供給部7。 FIG. 2 is a block diagram showing the liquid supply part 6 and the gas supply part 7 of the substrate processing apparatus 1. In FIG. 2, structures other than the liquid supply part 6 and the gas supply part 7 are also shown together. The liquid supply unit 6 supplies a plurality of types of liquids to the substrate 9 individually. The plural types of liquid systems include, for example, chemical liquids, cleaning liquids, and treatment liquids. As shown in FIGS. 1 and 2, the liquid supply unit 6 includes a first nozzle 61, a second nozzle 62, and a third nozzle 63. The first nozzle 61, the second nozzle 62, and the third nozzle 63 supply liquid from above the substrate 9 to the upper surface 91 of the substrate 9, respectively. The gas supply part 7 is provided with a gas flow forming part 71. The first nozzle 61 described above is also included in the gas supply unit 7.

在圖1所示的例子中,第一噴嘴61係可在基板9的上方(例如基板9的中心的上方)的處理位置與比基板9的外緣還徑方向外側的退避位置之間移動。第一噴嘴61的移動係藉由第一噴嘴移動機構610而進行。第一噴嘴移動機構610係例如具備有:臂部,係支撐第一噴嘴61;以及電動馬達,係使從 第一噴嘴61朝側方延伸的臂部迴旋以及升降。 In the example shown in FIG. 1, the first nozzle 61 is movable between a processing position above the substrate 9 (for example, above the center of the substrate 9) and a retracted position radially outside the outer edge of the substrate 9. The movement of the first nozzle 61 is performed by the first nozzle moving mechanism 610. The first nozzle moving mechanism 610 is provided with, for example, an arm portion that supports the first nozzle 61; and an electric motor that is driven from The arm part extending to the side of the first nozzle 61 swings and moves up and down.

與第一噴嘴61同樣地,第二噴嘴62亦可在基板9的上方(例如基板9的中心的上方)的處理位置與比基板9的外緣還徑方向外側的退避位置之間移動。第二噴嘴62的移動係藉由第二噴嘴移動機構620而進行。第二噴嘴移動機構620係例如具備有:臂部,係支撐第二噴嘴62;以及電動馬達,係使從第二噴嘴62朝側方延伸的臂部迴旋以及升降。 Like the first nozzle 61, the second nozzle 62 may be moved between a processing position above the substrate 9 (for example, above the center of the substrate 9) and a retracted position radially outside the outer edge of the substrate 9. The movement of the second nozzle 62 is performed by the second nozzle moving mechanism 620. The second nozzle moving mechanism 620 is provided with, for example, an arm that supports the second nozzle 62 and an electric motor that swings and raises and lowers the arm that extends from the second nozzle 62 to the side.

第三噴嘴63係將液體的噴出口朝向基板9的上表面91的中心並固定於基板9的上方。此外,與第一噴嘴61以及第二噴嘴62同樣地,第三噴嘴63亦可在處理位置與退避位置之間移動。 The third nozzle 63 directs the ejection port of the liquid toward the center of the upper surface 91 of the substrate 9 and is fixed above the substrate 9. In addition, similarly to the first nozzle 61 and the second nozzle 62, the third nozzle 63 may also move between the processing position and the retreat position.

圖3係將第一噴嘴61放大顯示之側視圖。第一噴嘴61係具備有噴嘴本體611、處理液流路612、第一氣體流路613以及第二氣體流路614。噴嘴本體611係略圓柱狀的構件。處理液流路612、第一氣體流路613以及第二氣體流路614係形成於噴嘴本體611的內部。 FIG. 3 is an enlarged side view showing the first nozzle 61. The first nozzle 61 includes a nozzle body 611, a processing liquid flow path 612, a first gas flow path 613, and a second gas flow path 614. The nozzle body 611 is a substantially cylindrical member. The processing liquid flow path 612, the first gas flow path 613, and the second gas flow path 614 are formed inside the nozzle body 611.

處理液流路612的噴出口615係設置於噴嘴本體611的下端面的中央部。第一氣體流路613的第一噴出口616亦設置於噴嘴本體611的下端面的中央部。在第一噴嘴61位於處理位置的狀態下,處理液流路612的噴出口615以及第一氣體流路613的第一噴出口616係於上下方向與基板9的上表面91的中央部對向。 The ejection port 615 of the processing liquid flow path 612 is provided at the center of the lower end surface of the nozzle body 611. The first ejection port 616 of the first gas flow path 613 is also provided at the center of the lower end surface of the nozzle body 611. With the first nozzle 61 at the processing position, the ejection port 615 of the processing liquid flow path 612 and the first ejection port 616 of the first gas flow path 613 are opposed to the center of the upper surface 91 of the substrate 9 in the vertical direction. .

第二氣體流路614係連接於小的複數個第二噴出口617,複數個第二噴出口617係周狀地配置於第一噴出口616的周圍。在圖3所示的例子中,複數個第二噴出口617係在第一噴嘴61的外側面中以略等角度間隔地周狀地排列於上下方向中的略相同的位置。複數個第二噴出口617的形狀以及大小係略相同。側面觀看時各個第二噴出口617的形狀係例如為略圓形。各個第二噴出口617的直徑係例如比第一噴出口616的直徑還小,約1mm。 The second gas flow path 614 is connected to a plurality of small second ejection ports 617, and the plurality of second ejection ports 617 are circumferentially arranged around the first ejection port 616. In the example shown in FIG. 3, a plurality of second ejection ports 617 are circumferentially arranged at substantially the same position in the vertical direction at approximately equal angular intervals on the outer surface of the first nozzle 61. The shapes and sizes of the plurality of second ejection ports 617 are slightly the same. The shape of each second ejection port 617 when viewed from the side is, for example, a slightly circular shape. The diameter of each second ejection port 617 is, for example, smaller than the diameter of the first ejection port 616, and is about 1 mm.

第一噴嘴61的處理液流路612係經由圖2所示的配管641以及閥642連接於處理液供給源64。在第一噴嘴61位於處理位置的狀態下開啟閥642,藉此從處理液供給源64經由配管641朝處理液流路612供給處理液並從噴出口615朝基板9的上表面91的中央部噴出。關閉閥642,藉此停止從第一噴嘴61噴出處理液。第一噴嘴61係處理液供給部,用以對基板9的上表面91供給處理液。配管641以及閥642亦可包含於該處理液供給部。 The processing liquid flow path 612 of the first nozzle 61 is connected to the processing liquid supply source 64 via the pipe 641 and the valve 642 shown in FIG. 2. When the first nozzle 61 is at the processing position, the valve 642 is opened, thereby supplying the processing liquid from the processing liquid supply source 64 to the processing liquid flow path 612 via the pipe 641 and from the ejection port 615 toward the center of the upper surface 91 of the substrate 9 Squirting. The valve 642 is closed, whereby the ejection of the processing liquid from the first nozzle 61 is stopped. The first nozzle 61 is a processing liquid supply unit for supplying the processing liquid to the upper surface 91 of the substrate 9. The pipe 641 and the valve 642 may be included in the processing liquid supply part.

從第一噴嘴61供給至基板9之處理液的表面張力係比相同溫度的異丙醇(分子式:C3H8O,以下稱為「IPA」)的表面張力還高。此外,較佳為該處理液的蒸氣壓係比相同溫度的IPA的蒸氣壓還高。換言之,該處理液的沸點係比相同壓力下的IPA的沸點還低。以下在說明複數個液體的表面張力、蒸氣壓以及沸點的大小關係時,將複數個液體的表面張力、蒸氣壓以及沸點設定成在相同溫度且相同壓力下。此外,IPA的常溫(25℃)、常壓(100kPa)中的表面張力係20.8mN/m,IPA的常溫(25℃)、常壓(100kPa)中的蒸氣壓係5.87kPa,IPA的常溫(25℃)、常壓(100kPa)中的沸點係82.4℃。處理液的表面張力係例如比純水還低。此外,處理液的蒸氣壓係例如比純水還高。 The surface tension of the processing liquid supplied from the first nozzle 61 to the substrate 9 is higher than the surface tension of isopropyl alcohol (molecular formula: C 3 H 8 O, hereinafter referred to as "IPA") at the same temperature. In addition, it is preferable that the vapor pressure of the treatment liquid is higher than the vapor pressure of IPA at the same temperature. In other words, the boiling point of the treatment liquid is lower than the boiling point of IPA under the same pressure. In the following description of the relationship between the surface tension, vapor pressure, and boiling point of a plurality of liquids, the surface tension, vapor pressure, and boiling point of the plurality of liquids are set to be at the same temperature and the same pressure. In addition, the surface tension at room temperature (25°C) and atmospheric pressure (100kPa) of IPA is 20.8mN/m, the vapor pressure at room temperature (25°C) and atmospheric pressure (100kPa) of IPA is 5.87kPa, and the room temperature of IPA ( The boiling point at 25°C) and normal pressure (100kPa) is 82.4°C. The surface tension of the treatment liquid is lower than that of pure water, for example. In addition, the vapor pressure of the treatment liquid is higher than that of pure water, for example.

較佳為,該處理液係包含有順-1,2-二氯乙烯(分子式:C2H2Cl2)、三氯甲烷(分子式:CHCl3)、乙酸甲酯(分子式:C3H6O2)、1,3-二氧戊烷(分子式:C3H6O2)、四氫呋喃(分子式:C4H8O)、1,1,1-三氯乙烷(分子式:C2H3Cl3)、四氯甲烷(分子式:CCl4)、苯(分子式:C6H6)、環己烷(分子式:C6H12)、乙腈(分子式:C2H3N)、三氯乙烯(分子式:C2HCl3)、四氫哌喃(分子式:C5H10O)、硝酸(分子式:HNO3)、1,2-二氯乙烷(分子式:C2H4Cl2)、1,2-二氯丙烷(分子式:C3H6Cl2)、氟三硝基甲烷(分子式:CFN3O6)、吡咯啶(分子式:C4H9N)、丙烯腈(分子式:C3H3N)、環己烯(分子式:C6H10)中的至少一者的液體。 Preferably, the treatment liquid contains cis-1,2-dichloroethylene (molecular formula: C 2 H 2 Cl 2 ), chloroform (molecular formula: CHCl 3 ), and methyl acetate (molecular formula: C 3 H 6 O 2 ), 1,3-dioxolane (molecular formula: C 3 H 6 O 2 ), tetrahydrofuran (molecular formula: C 4 H 8 O), 1,1,1-trichloroethane (molecular formula: C 2 H 3 Cl 3 ), tetrachloromethane (molecular formula: CCl 4 ), benzene (molecular formula: C 6 H 6 ), cyclohexane (molecular formula: C 6 H 12 ), acetonitrile (molecular formula: C 2 H 3 N), trichloro Ethylene (molecular formula: C 2 HCl 3 ), tetrahydropiperan (molecular formula: C 5 H 10 O), nitric acid (molecular formula: HNO 3 ), 1,2-dichloroethane (molecular formula: C 2 H 4 Cl 2 ) , 1,2-Dichloropropane (molecular formula: C 3 H 6 Cl 2 ), fluorotrinitromethane (molecular formula: CFN 3 O 6 ), pyrrolidine (molecular formula: C 4 H 9 N), acrylonitrile (molecular formula: A liquid of at least one of C 3 H 3 N) and cyclohexene (molecular formula: C 6 H 10 ).

上面所說明的液體群所含有之各個液體的表面張力以及蒸氣壓係比IPA的表面張力以及蒸氣壓還高。此外,該處理液亦可為上面所說明的液體群中的兩個以上的液體的混合物。此外,該處理液亦可為上面所說明的液體群中的一個或者兩個以上的液體被溶媒稀釋的處理液。 The surface tension and vapor pressure of each liquid contained in the liquid group described above are higher than the surface tension and vapor pressure of IPA. In addition, the processing liquid may be a mixture of two or more liquids in the liquid group described above. In addition, the processing liquid may be a processing liquid in which one or two or more liquids in the liquid group described above are diluted with a solvent.

第一氣體流路613(參照圖3)係經由配管741以及閥742連接於氣體供給源74。第二氣體流路614(參照圖3)係經由配管743以及閥744連接於氣體供給源74。在第一噴嘴61位於處理位置的狀態下開啟閥742,藉此從氣體供給源74經由配管741朝第一氣體流路613供給氣體,並從第一噴出口616(參照圖3)朝基板9的上表面91的中央部噴出。關閉閥742,藉此停止從第一噴出口616噴出氣體。此外,開啟閥744,藉此從氣體供給源74經由配管743朝第二氣體流路614供給氣體,並從複數個第二噴出口617(參照圖3)對從基板9的上表面91的中央部朝向周圍傾斜之方向(亦即朝徑方向外側傾斜下方)放射狀地噴出。關閉閥744,藉此停止從第二噴出口617噴出氣體。 The first gas flow path 613 (see FIG. 3) is connected to a gas supply source 74 via a pipe 741 and a valve 742. The second gas flow path 614 (refer to FIG. 3) is connected to a gas supply source 74 via a pipe 743 and a valve 744. When the first nozzle 61 is at the processing position, the valve 742 is opened, thereby supplying gas from the gas supply source 74 to the first gas flow path 613 via the pipe 741, and from the first ejection port 616 (refer to FIG. 3) toward the substrate 9 The center part of the upper surface 91 is ejected. The valve 742 is closed, thereby stopping the gas ejection from the first ejection port 616. In addition, the valve 744 is opened, thereby supplying gas from the gas supply source 74 to the second gas flow path 614 via the pipe 743, and facing the center of the upper surface 91 of the substrate 9 from the plurality of second ejection ports 617 (see FIG. 3). The portion is ejected radially in a direction inclined to the periphery (that is, inclined downward toward the outside in the radial direction). The valve 744 is closed, thereby stopping the gas ejection from the second ejection port 617.

如上所述,第一噴嘴61係氣體噴出部,具備有第一噴出口616以及複數個第二噴出口617。亦可於該氣體噴出部包含有配管741、743以及閥742、744。在第一噴嘴61中可個別地控制從第一噴出口616噴出氣體與停止從第一噴出口616噴出氣體以及從第二噴出口617噴出氣體與停止從第二噴出口617噴出氣體。此外,可個別地控制從第一噴出口616噴出之氣體的流量以及從第二噴出口617噴出之氣體的流量。從氣體供給源74朝第一噴嘴61送出的氣體較佳為惰性氣體(例如氮(N2)、氬(Ar)或者乾淨的乾燥氣體等)。在圖1所示的例子中,從第一噴嘴61的第一噴出口616以及第二噴出口617噴出氮。從第一噴嘴61噴出的氣體亦可為惰性氣體以外的氣體。 As described above, the first nozzle 61 is a gas ejection portion, and includes a first ejection port 616 and a plurality of second ejection ports 617. The gas ejection portion may include pipes 741 and 743 and valves 742 and 744. In the first nozzle 61, the ejection of gas from the first ejection port 616 and the stopping of the ejection of gas from the first ejection port 616 and the ejection of gas from the second ejection port 617 and the stop of the ejection of gas from the second ejection port 617 can be individually controlled. In addition, the flow rate of the gas ejected from the first ejection port 616 and the flow rate of the gas ejected from the second ejection port 617 can be individually controlled. The gas sent from the gas supply source 74 to the first nozzle 61 is preferably an inert gas (for example, nitrogen (N 2 ), argon (Ar), or clean dry gas). In the example shown in FIG. 1, nitrogen is ejected from the first ejection port 616 and the second ejection port 617 of the first nozzle 61. The gas ejected from the first nozzle 61 may be a gas other than an inert gas.

第二噴嘴62係經由配管651以及閥652連接於藥液供給源65。在第二噴嘴62位於處理位置的狀態下開啟閥652,藉此從藥液供給源65經由配管 651朝第二噴嘴62供給處理液並朝基板9的上表面91的中央部噴出。關閉閥652,藉此停止從第二噴嘴62噴出藥液。藥液係酸、鹼等液體。該藥液係例如為蝕刻液或者洗淨液。具體而言,使用氫氟酸、SC1(standard clean-1;第一標準清洗液;亦即氨與過氧化氫水的混和液)、SC2(standard clean-2;第二標準清洗液;亦即鹽酸與過氧化氫水的混合液)、或者緩衝氫氟酸(buffered HF;亦即氫氟酸與氟化銨的混合液)等作為該藥液。 The second nozzle 62 is connected to a chemical liquid supply source 65 via a pipe 651 and a valve 652. When the second nozzle 62 is at the processing position, the valve 652 is opened, whereby the chemical solution supply source 65 passes through the piping 651 supplies the processing liquid to the second nozzle 62 and ejects it toward the center of the upper surface 91 of the substrate 9. The valve 652 is closed, whereby the spraying of the chemical liquid from the second nozzle 62 is stopped. The liquid medicine is acid, alkali and other liquids. The chemical liquid system is, for example, an etching liquid or a cleaning liquid. Specifically, use hydrofluoric acid, SC1 (standard clean-1; the first standard cleaning solution; that is, a mixture of ammonia and hydrogen peroxide), SC2 (standard clean-2; the second standard cleaning solution; that is A mixture of hydrochloric acid and hydrogen peroxide water), or buffered hydrofluoric acid (buffered HF; that is, a mixture of hydrofluoric acid and ammonium fluoride) is used as the chemical solution.

第三噴嘴63係經由配管661以及閥662連接於清洗液供給源66。開啟閥662,藉此從清洗液供給源66經由配管661朝第三噴嘴63供給清洗液並朝基板9的上表面91的中央部噴出。關閉閥662,藉此停止從第三噴嘴63噴出清洗液。利用例如DIW(De-ionized Water;去離子水)、碳酸水、臭氧水或者氫水等作為清洗液。在圖1所示的例子中,利用DIW作為清洗液。 The third nozzle 63 is connected to a cleaning liquid supply source 66 via a pipe 661 and a valve 662. When the valve 662 is opened, the cleaning liquid is supplied from the cleaning liquid supply source 66 to the third nozzle 63 via the pipe 661 and is ejected toward the center of the upper surface 91 of the substrate 9. The valve 662 is closed, whereby the spraying of the cleaning liquid from the third nozzle 63 is stopped. Use, for example, DIW (De-ionized Water), carbonated water, ozone water, or hydrogen water as the cleaning fluid. In the example shown in Figure 1, DIW is used as a cleaning fluid.

如圖1所示,氣流形成部71係具備有設置於腔室11的上部(亦即比基板保持部2以及罩部4還上側的位置)之風扇單元72。在圖1所示的例子中,風扇單元72係設置於腔室11的頂蓋部。風扇單元72係經由圖2所示的配管751以及閥752連接於與氣體供給源74不同的其他的氣體供給源75。開啟閥752,藉此從氣體供給源75經由配管751朝風扇單元72供給氣體並在腔室11的內部空間中朝下方送出。關閉閥752,藉此停止從風扇單元72送出氣體。從氣體供給源75朝風扇單元72送出的氣體係例如為潔淨氣體(亦即經過過濾器過濾的空氣)。該氣體亦可為例如氮或者氬等惰性氣體。在圖1所示的例子中,從風扇單元72送出潔淨氣體。 As shown in FIG. 1, the air flow forming portion 71 is provided with a fan unit 72 provided in the upper portion of the chamber 11 (that is, a position above the substrate holding portion 2 and the cover portion 4). In the example shown in FIG. 1, the fan unit 72 is provided on the top cover of the chamber 11. The fan unit 72 is connected to a gas supply source 75 different from the gas supply source 74 via a pipe 751 and a valve 752 shown in FIG. 2. When the valve 752 is opened, the gas is supplied from the gas supply source 75 to the fan unit 72 via the pipe 751 and is sent downward in the internal space of the chamber 11. The valve 752 is closed, whereby the blowing of gas from the fan unit 72 is stopped. The air system sent from the air supply source 75 to the fan unit 72 is, for example, clean air (that is, air filtered by a filter). The gas may also be an inert gas such as nitrogen or argon. In the example shown in FIG. 1, clean gas is sent from the fan unit 72.

從風扇單元72送出的氣體係通過罩41的上部開口於罩41內朝向下方,並在基板9的周圍形成從基板9的上側朝向下側之下降氣流(亦即所謂的降流(down flow))。已到達至罩41的底部之氣體係經由排出埠45朝腔室11的外部排出。排出埠45係例如連接於配置在腔室11的外部的吸引機構(未圖 示)。在基板處理裝置1中,該吸引機構以及排出埠45亦包含於用以形成下降氣流之氣流形成部71。 The air system sent from the fan unit 72 passes through the upper opening of the cover 41 and faces downward in the cover 41, and forms a downward flow from the upper side to the lower side of the substrate 9 around the substrate 9 (so-called down flow) ). The air system that has reached the bottom of the cover 41 is discharged to the outside of the chamber 11 through the discharge port 45. The discharge port 45 is, for example, connected to a suction mechanism (not shown) arranged outside the chamber 11 Show). In the substrate processing apparatus 1, the suction mechanism and the discharge port 45 are also included in the air flow forming part 71 for forming the down air flow.

接著,參照圖4說明基板處理裝置1對於基板9的處理的流程的一例。在基板處理裝置1中處理基板9時,首先,搬入至腔室11內的基板9係被基板保持部2以水平狀態保持(步驟S11)。從風扇單元72對腔室11內送出潔淨空氣,藉此於基板9的周圍形成有上面所說明的下降氣流。從風扇單元72供給潔淨氣體係持續至後述的步驟S15中之停止供給處理液為止,以維持該下降氣流。 Next, an example of the processing flow of the substrate 9 by the substrate processing apparatus 1 will be described with reference to FIG. 4. When the substrate 9 is processed in the substrate processing apparatus 1, first, the substrate 9 carried into the chamber 11 is held in a horizontal state by the substrate holding portion 2 (step S11). The fan unit 72 sends clean air into the chamber 11, thereby forming the downward airflow described above around the substrate 9. The supply of the clean air system from the fan unit 72 continues until the supply of the processing liquid is stopped in step S15 described later to maintain the downward air flow.

接著,旋轉機構3開始旋轉基板9,基板9係以預定的旋轉速度旋轉。此外,藉由第二噴嘴移動機構620,第二噴嘴62係朝處理位置移動。此外,第一噴嘴61係位於退避位置。接著,開始從第二噴嘴62對旋轉中的基板9供給藥液。被供給至基板9的上表面91的中央部之藥液係藉由離心力朝徑方向外側移動並擴展至基板9的上表面91整體。已到達至基板9的周緣部之藥液係從該周緣部朝徑方向外側飛散,如圖1所示被圍繞基板9的周圍之罩41接住並經由排出埠45朝腔室11外排出。對基板9供給藥液持續預定時間,藉此結束對於基板9的藥液處理(步驟S12)。 Next, the rotating mechanism 3 starts to rotate the substrate 9, and the substrate 9 is rotated at a predetermined rotation speed. In addition, by the second nozzle moving mechanism 620, the second nozzle 62 is moved toward the processing position. In addition, the first nozzle 61 is located at the retracted position. Next, the supply of the chemical solution from the second nozzle 62 to the rotating substrate 9 is started. The medicinal solution supplied to the center of the upper surface 91 of the substrate 9 moves radially outward by centrifugal force and spreads to the entire upper surface 91 of the substrate 9. The medicinal solution that has reached the peripheral edge of the substrate 9 scatters radially outward from the peripheral edge, is caught by the cover 41 surrounding the periphery of the substrate 9 as shown in FIG. 1, and is discharged out of the chamber 11 through the discharge port 45. The chemical solution is supplied to the substrate 9 for a predetermined time, thereby ending the chemical solution processing on the substrate 9 (step S12).

當結束藥液處理時,停止對基板9供給藥液,第二噴嘴62係從處理位置朝退避位置移動。此外,開始從第三噴嘴63對旋轉中的基板9供給清洗液。被供給至基板9的上表面91的中央部之清洗液係藉由離心力朝徑方向外側移動並擴展至基板9的上表面91整體。藉此,沖洗基板9的上表面91上的藥液並從基板9上去除。已到達至基板9的周緣部之清洗液係從該周緣部朝徑方向外側飛散,被圍繞基板9的周圍之罩41接住並經由排出埠45朝腔室11外排出。對基板9供給清洗液持續預定時間,藉此結束對於基板9的清洗處理(步驟S13)。 When the chemical solution processing is completed, the supply of chemical solution to the substrate 9 is stopped, and the second nozzle 62 moves from the processing position to the retracted position. In addition, the supply of the cleaning liquid from the third nozzle 63 to the rotating substrate 9 is started. The cleaning liquid supplied to the center of the upper surface 91 of the substrate 9 moves radially outward by centrifugal force and spreads to the entire upper surface 91 of the substrate 9. Thereby, the chemical liquid on the upper surface 91 of the substrate 9 is washed and removed from the substrate 9. The cleaning liquid that has reached the peripheral edge portion of the substrate 9 is scattered outward in the radial direction from the peripheral edge portion, is caught by the cover 41 surrounding the periphery of the substrate 9 and is discharged out of the chamber 11 through the discharge port 45. The cleaning liquid is supplied to the substrate 9 for a predetermined time, thereby ending the cleaning process for the substrate 9 (step S13).

當結束清洗處理時,停止對基板9供給清洗液。此外,第一噴嘴61係從退避位置朝處理位置移動,開始從第一噴嘴61對旋轉中的基板9供給處理液。被供給至基板9的上表面91的中央部之處理液係藉由離心力朝徑方向外側移動並擴展至基板9的上表面91整體。藉此,沖洗基板9的上表面91上的清洗液並被處理液置換。亦即,該處理液係在基板9上與清洗液置換之置換液。已到達至基板9的周緣部之處理液係從該周緣部朝徑方向外側飛散,被圍繞基板9的周圍之罩41接住並經由排出埠45朝腔室11外排出。對基板9供給處理液持續預定時間,藉此結束從清洗液置換成處理液之置換處理。 When the cleaning process is finished, the supply of the cleaning liquid to the substrate 9 is stopped. In addition, the first nozzle 61 moves from the retracted position to the processing position, and the supply of the processing liquid from the first nozzle 61 to the rotating substrate 9 is started. The processing liquid supplied to the center of the upper surface 91 of the substrate 9 moves radially outward by centrifugal force and spreads to the entire upper surface 91 of the substrate 9. Thereby, the cleaning liquid on the upper surface 91 of the substrate 9 is rinsed and replaced by the processing liquid. That is, the processing liquid is a replacement liquid that replaces the cleaning liquid on the substrate 9. The processing liquid that has reached the peripheral edge of the substrate 9 scatters radially outward from the peripheral edge, is caught by the cover 41 surrounding the substrate 9 and is discharged out of the chamber 11 through the discharge port 45. The processing liquid is supplied to the substrate 9 for a predetermined time, thereby ending the replacement process from the cleaning liquid to the processing liquid.

當結束置換處理時,在持續從第一噴嘴61供給處理液之狀態下,旋轉機構3降低基板9的旋轉速度並停止旋轉基板9。藉此,如圖5所示,形成有覆蓋靜止狀態的基板9的上表面91的整面之較厚的處理液的液膜93(步驟S14)。換言之,成為基板9的上表面91被處理液覆液(paddle)之狀態。此外,不一定需要停止旋轉基板9,亦可以在基板9上適當地維持處理液的液膜93之較低的旋轉速度旋轉。 When the replacement process is finished, the rotation mechanism 3 reduces the rotation speed of the substrate 9 and stops rotating the substrate 9 in a state where the processing liquid is continuously supplied from the first nozzle 61. Thereby, as shown in FIG. 5, a thick liquid film 93 of the treatment liquid covering the entire upper surface 91 of the substrate 9 in a static state is formed (step S14). In other words, the upper surface 91 of the substrate 9 is in a state of being paddle with the processing liquid. In addition, it is not necessary to stop the rotation of the substrate 9, and it is also possible to appropriately maintain the rotation of the liquid film 93 of the processing liquid on the substrate 9 at a low rotation speed.

接著,停止從第一噴嘴61朝基板9供給處理液(步驟S15)。此外,停止供給處理液之同時,風扇單元72停止形成潔淨氣體的下降氣流(步驟S16)。藉此,能防止基板9上的處理液的液膜93因為下降氣流而吝亂。此外,停止供給處理液亦可在直至接著要說明之加熱板51即將開始加熱基板9之前進行。藉此,在基板9上適當地維持處理液的液膜93。 Next, the supply of the processing liquid from the first nozzle 61 to the substrate 9 is stopped (step S15). In addition, at the same time as the supply of the processing liquid is stopped, the fan unit 72 stops forming the downflow of the clean gas (step S16). Thereby, it is possible to prevent the liquid film 93 of the processing liquid on the substrate 9 from being messy due to the downward air flow. In addition, the supply of the processing liquid may be stopped just before the heating plate 51 described below starts to heat the substrate 9. Thereby, the liquid film 93 of the processing liquid is appropriately maintained on the substrate 9.

接著,藉由加熱部5的板升降機構53,預先升溫的加熱板51係從待機位置朝加熱位置上升,且加熱板51開始加熱基板9(步驟S17)。加熱板15所為之加熱係對靜止狀態的基板9進行。此外,加熱板51所為之加熱亦可對以低旋轉數旋轉中的基板9進行。在此情形中,加熱板51係從基板9朝下方離開些微距離。如上所述,開始加熱基板9時,風扇單元72停止形成下降氣流。 此外,如後述般,下降氣流的形成係保持停止的狀態直至基板9被加熱至預定條件為止。 Next, the heating plate 51, which has been heated in advance, is raised from the standby position to the heating position by the plate lifting mechanism 53 of the heating unit 5, and the heating plate 51 starts heating the substrate 9 (step S17). The heating by the heating plate 15 is performed on the substrate 9 in a static state. In addition, the heating by the heating plate 51 can also be performed on the substrate 9 that is rotating at a low number of rotations. In this case, the heating plate 51 is separated from the substrate 9 by a slight distance downward. As described above, when the substrate 9 starts to be heated, the fan unit 72 stops forming the downward airflow. In addition, as will be described later, the formation system of the downflow is maintained in a stopped state until the substrate 9 is heated to a predetermined condition.

接著,藉由加熱板51從下表面92之側加熱基板9,基板9的上表面91成為比處理液的沸點還高溫,藉此在處理液的液膜93中之與基板9的上表面91接觸之部分中處理液係氣化。換言之,處理液的液膜93的一部分係在基板9的上表面91上氣化。藉此,如圖6所示,於基板9的上表面91與處理液的液膜93之間形成有處理液的氣相層94(步驟S18)。在圖6中,將氣相層94的厚度描繪的比實際還厚。氣相層94係形成於基板9的上表面91整體。藉此,處理液的液膜93係從基板9的上表面91朝上方離開,並被氣相層94從下方支撐。換言之,處理液的液膜93係以經由氣相層94浮游於基板9的上表面91上的狀態被保持。此外,處理液的氣相層94亦稱為處理液的氣相膜、蒸氣膜或者蒸氣層。 Then, the heating plate 51 heats the substrate 9 from the side of the lower surface 92, and the upper surface 91 of the substrate 9 becomes higher than the boiling point of the processing liquid, thereby making it contact with the upper surface 91 of the substrate 9 in the liquid film 93 of the processing liquid. The treatment liquid is vaporized in the contacting part. In other words, a part of the liquid film 93 of the processing liquid is vaporized on the upper surface 91 of the substrate 9. Thereby, as shown in FIG. 6, a gas phase layer 94 of the processing liquid is formed between the upper surface 91 of the substrate 9 and the liquid film 93 of the processing liquid (step S18). In FIG. 6, the thickness of the gas phase layer 94 is depicted as being thicker than the actual thickness. The gas phase layer 94 is formed on the entire upper surface 91 of the substrate 9. Thereby, the liquid film 93 of the processing liquid is separated upward from the upper surface 91 of the substrate 9 and is supported by the gas phase layer 94 from below. In other words, the liquid film 93 of the processing liquid is held in a state of floating on the upper surface 91 of the substrate 9 via the gas phase layer 94. In addition, the gas phase layer 94 of the treatment liquid is also referred to as the gas phase film, vapor film, or vapor layer of the treatment liquid.

圖7係將在步驟S14中形成有液膜93的狀態下的基板9的上表面91附近放大顯示之縱剖視圖。圖8係將在步驟S18中形成有氣相層94的狀態下的基板9的上表面91附近放大顯示之縱剖視圖。在圖7所示的狀態下,用以構成設置於基板9上的細微的圖案之凸狀的構造體911之間的空間係被處理液的液膜93充滿。此外,處理液的液膜93係存在達至比構造體911的上端(亦即基板9的上表面91)還上側。換言之,處理液的液膜93的上表面係位於比構造體911的上端還上側。 FIG. 7 is an enlarged longitudinal sectional view showing the vicinity of the upper surface 91 of the substrate 9 in a state where the liquid film 93 is formed in step S14. FIG. 8 is an enlarged longitudinal sectional view showing the vicinity of the upper surface 91 of the substrate 9 in a state where the gas phase layer 94 is formed in step S18. In the state shown in FIG. 7, the space between the convex structures 911 for forming the fine pattern provided on the substrate 9 is filled with the liquid film 93 of the processing liquid. In addition, the liquid film 93 of the treatment liquid is present above the upper end of the structure 911 (that is, the upper surface 91 of the substrate 9). In other words, the upper surface of the liquid film 93 of the treatment liquid is located above the upper end of the structure 911.

如上所述,當基板9被加熱板51加熱至比處理液的沸點還高溫(例如比該沸點還高10℃至50℃的溫度)時,與基板9接觸的處理液氣化(亦即蒸發)並產生處理液的氣體,且如圖8所示形成有氣相層94。氣相層94係充滿構造體911之間的空間,並進一步擴展至比構造體911的上端還上側。在圖8所示的狀態中,處理液的氣相層94與液膜93之間的界面95(亦即氣相層94的上表面)係位於比構造體911的上端還上側。因此,處理液的液膜93(亦即液狀的處 理液)係從構造體911朝上方離開從而未與構造體911接觸。 As described above, when the substrate 9 is heated by the heating plate 51 to a temperature higher than the boiling point of the processing liquid (for example, a temperature 10°C to 50°C higher than the boiling point), the processing liquid in contact with the substrate 9 vaporizes (that is, evaporates). ) And generate the gas of the treatment liquid, and a gas phase layer 94 is formed as shown in FIG. 8. The gas phase layer 94 fills the space between the structures 911 and further expands to the upper side of the upper end of the structures 911. In the state shown in FIG. 8, the interface 95 between the gas phase layer 94 of the treatment liquid and the liquid film 93 (that is, the upper surface of the gas phase layer 94) is located above the upper end of the structure 911. Therefore, the liquid film 93 of the treatment liquid (that is, the liquid The treatment fluid) is separated upward from the structure 911 so as not to contact the structure 911.

在基板處理裝置1中,風扇單元72停止形成下降氣流,直至基板9的周緣部的溫度變成預定溫度(例如比處理液的沸點還高10℃至50℃的溫度)以上為止。接著,從開始加熱基板9經過預定時間,藉此在基板9的周緣部的溫度變成該預定溫度以上後,風扇單元72再次開始形成下降氣流(步驟S19)。換言之,在基板9的周緣部中,亦在形成有處理液的氣相層94且液膜93從構造體911朝上方離開後,再次開始於基板9的周圍形成下降氣流。藉此,防止或者抑制在基板9的周緣部中的氣相層94的形成途中處理液的溫度因為下降氣流而下降從而阻礙氣相層94的形成。在步驟S19中,亦可藉由溫度感測器測量基板9的周緣部的溫度,亦可預先測量基板9的溫度變成上面所說明的預定溫度以上為止的加熱時間,並藉由經過該加熱時間而判斷成基板9的周緣部的溫度已變成上面所說明的預定溫度以上。 In the substrate processing apparatus 1, the fan unit 72 stops generating the downward airflow until the temperature of the peripheral portion of the substrate 9 becomes a predetermined temperature (for example, a temperature higher than the boiling point of the processing liquid by 10° C. to 50° C.) or higher. Next, after a predetermined time has elapsed from the start of heating of the substrate 9, after the temperature of the peripheral edge portion of the substrate 9 becomes equal to or higher than the predetermined temperature, the fan unit 72 starts to generate downflow again (step S19). In other words, even in the peripheral edge portion of the substrate 9, after the gas phase layer 94 of the processing liquid is formed and the liquid film 93 moves upward from the structure 911, the downward airflow starts to form around the substrate 9 again. Thereby, it is prevented or suppressed that the temperature of the processing liquid falls due to the downward air flow during the formation of the gas phase layer 94 in the peripheral portion of the substrate 9 and hinders the formation of the gas phase layer 94. In step S19, the temperature of the peripheral portion of the substrate 9 may be measured by the temperature sensor, or the heating time until the temperature of the substrate 9 becomes equal to or higher than the predetermined temperature described above may be measured in advance, and the heating time may elapse On the other hand, it is determined that the temperature of the peripheral portion of the substrate 9 has become higher than the predetermined temperature described above.

當於基板9的上表面91上的整面形成有處理液的氣相層94時,從基板9上去除氣相層94上的液膜93(步驟S20)。在步驟S20中,處理液的液膜93係在維持未與基板9上的構造體911接觸的狀態下從基板9上被去除。因此,能防止處理液的表面張力導致構造體911的崩壞,並將處理液從基板9上去除。 When the vapor phase layer 94 of the processing liquid is formed on the entire upper surface 91 of the substrate 9, the liquid film 93 on the vapor phase layer 94 is removed from the substrate 9 (step S20). In step S20, the liquid film 93 of the processing liquid is removed from the substrate 9 while maintaining the state in which it is not in contact with the structure 911 on the substrate 9. Therefore, it is possible to prevent the collapse of the structure 911 due to the surface tension of the treatment liquid, and to remove the treatment liquid from the substrate 9.

步驟S20中的處理液的液膜93的去除亦可藉由各種方法進行。圖9係顯示液膜93的去除處理的流程的一例之圖。在圖9所示的步驟S31至步驟S33中,基板9係未被旋轉而是靜止狀態。在去除液膜93時,首先,在上述步驟S19之後,從第一噴嘴61的第一噴出口616朝位於基板9的中央部上的液膜93的中央部噴出惰性氣體(例如氮)。藉此,如圖10所示,於液膜93的中央部形成有較小的孔96,基板9的上表面91的一部分係從孔96露出(步驟S31)。在步驟S31中,從第一噴出口616噴出的惰性氣體的流量係較小的第一流量(例如3公升/分鐘)。 The removal of the liquid film 93 of the treatment liquid in step S20 can also be performed by various methods. FIG. 9 is a diagram showing an example of the flow of the removal process of the liquid film 93. In steps S31 to S33 shown in FIG. 9, the substrate 9 is not rotated but is in a stationary state. When removing the liquid film 93, first, after the above-mentioned step S19, an inert gas (for example, nitrogen) is sprayed from the first ejection port 616 of the first nozzle 61 toward the center of the liquid film 93 located on the center of the substrate 9. Thereby, as shown in FIG. 10, a small hole 96 is formed in the center part of the liquid film 93, and a part of the upper surface 91 of the board|substrate 9 is exposed from the hole 96 (step S31). In step S31, the flow rate of the inert gas ejected from the first ejection port 616 is a relatively small first flow rate (for example, 3 liters/minute).

在基板處理裝置1中,藉由第一噴出口616噴出的惰性氣體,形成有從處理液的液膜93的中央部朝向周圍(亦即朝向徑方向外側)之放射狀的氣流。而且,藉由該氣流擴大處理液的液膜93的孔96。隨著孔96的擴大,構成液膜93之處理液係朝徑方向外側移動,基板9的周緣部上的處理液係從基板9的外緣流下並從基板9上去除。因此,第一噴嘴61係用以將液膜93從基板9上去除之液體去除部。 In the substrate processing apparatus 1, the inert gas ejected from the first ejection port 616 forms a radial flow from the center of the liquid film 93 of the processing liquid toward the periphery (that is, toward the radially outer side). Then, the pores 96 of the liquid film 93 of the processing liquid are enlarged by the air flow. As the hole 96 expands, the processing liquid system constituting the liquid film 93 moves radially outward, and the processing liquid system on the peripheral edge of the substrate 9 flows down from the outer edge of the substrate 9 and is removed from the substrate 9. Therefore, the first nozzle 61 is a liquid removal part for removing the liquid film 93 from the substrate 9.

在基板處理裝置1中,與從第一噴出口616噴出惰性氣體並行地,加熱部5的加熱板51亦持續對基板9加熱。藉此,在與液膜93的孔96重疊之區域中基板9的溫度迅速地上升,於基板9產生溫度斜度。由於氣相層94上的液膜93係從高溫側朝低溫側(亦即朝徑方向外側)移動,因此液膜93的孔96亦藉由該溫度斜度而擴大。如上所述,由於基板9的周緣部上的處理液係隨著孔96的擴大而從基板9上被去除,因此加熱部5亦包含於上面所說明的液體去除部。 In the substrate processing apparatus 1, in parallel with the ejection of the inert gas from the first ejection port 616, the heating plate 51 of the heating unit 5 also continues to heat the substrate 9. Thereby, the temperature of the substrate 9 rapidly rises in the area overlapping with the hole 96 of the liquid film 93, and a temperature gradient is generated on the substrate 9. Since the liquid film 93 on the gas phase layer 94 moves from the high temperature side to the low temperature side (that is, toward the outside in the radial direction), the pores 96 of the liquid film 93 are also enlarged by the temperature gradient. As described above, since the processing liquid system on the peripheral edge portion of the substrate 9 is removed from the substrate 9 as the hole 96 expands, the heating part 5 is also included in the liquid removal part described above.

如上所述,由於在基板9的周圍中再次開始形成潔淨氣體的下降氣流,因此在基板9的周緣部中被支撐於氣相層94上的液膜93亦藉由下降氣流而朝徑方向外側移動。換言之,藉由該下降氣流促進基板9的上表面91的周緣部中處理液朝徑方向外側移動(亦即朝基板9的外緣移動)。藉此,促進基板9的周緣部上的處理液的去除。 As described above, since the downflow of clean gas starts to form around the substrate 9 again, the liquid film 93 supported on the gas phase layer 94 in the peripheral portion of the substrate 9 also moves radially outward by the downflow. mobile. In other words, the downward airflow promotes the processing liquid in the peripheral portion of the upper surface 91 of the substrate 9 to move radially outward (that is, to move toward the outer edge of the substrate 9). Thereby, the removal of the processing liquid on the peripheral edge portion of the substrate 9 is promoted.

此外,在基板處理裝置1中,與步驟S31並行或者在步驟S31之後,藉由罩移動機構42使罩41朝下方移動,且如圖11所示罩41的罩頂蓋部44的內周緣係與基板保持部2的基座部21配置於上下方向相同的位置。換言之,罩頂蓋部44的內周緣係在上下方向中位於基座部21的上表面與下表面之間的位置。藉此,基座部21與罩41之間的間隙縮小,在基座部21的周圍中從罩41的上方朝罩41的內部流入之下降氣流的流路面積變小(步驟S32)。結果,基板 9的周圍中的下降氣流的流速增大,進一步地促進基板9的周緣部上的處理液的去除。此外,步驟S32係只要步驟S14中停止旋轉基板9之後,則亦可在步驟S31之前進行。 In addition, in the substrate processing apparatus 1, in parallel with step S31 or after step S31, the cover 41 is moved downward by the cover moving mechanism 42, and the inner peripheral edge of the cover top cover portion 44 of the cover 41 is set as shown in FIG. The base portion 21 of the substrate holding portion 2 is arranged at the same position in the vertical direction. In other words, the inner periphery of the top cover portion 44 is located between the upper surface and the lower surface of the base portion 21 in the vertical direction. Thereby, the gap between the base portion 21 and the cover 41 is reduced, and the flow path area of the downflow flowing in from the upper side of the cover 41 to the inside of the cover 41 in the periphery of the base portion 21 is reduced (step S32). As a result, the substrate The flow velocity of the descending airflow in the periphery of 9 is increased, and the removal of the processing liquid on the peripheral edge of the substrate 9 is further promoted. In addition, step S32 may be performed before step S31 as long as the rotation of the substrate 9 is stopped in step S14.

當開始從圖10所示的第一噴嘴61的第一噴出口616噴出惰性氣體經過預定時間後,從第一噴出口616噴出的惰性氣體的流量增大至比第一流量還大的第二流量(例如30公升/分鐘)。藉此,促進液膜93的孔96的擴大。此外,藉由板升降機構53使加熱板51下降至待機位置,加熱板51停止加熱基板9。 After a predetermined time has elapsed since the inert gas is sprayed from the first spray port 616 of the first nozzle 61 shown in FIG. Flow rate (for example, 30 liters/minute). Thereby, the expansion of the pore 96 of the liquid film 93 is promoted. In addition, the heating plate 51 is lowered to the standby position by the plate lifting mechanism 53, and the heating plate 51 stops heating the substrate 9.

如圖12所示,當處理液的液膜93的孔96變大至某種程度時,除了從第一噴嘴61的第一噴出口616噴出惰性氣體之外,亦從複數個第二噴出口617噴出惰性氣體(步驟S33)。來自複數個第二噴出口617的惰性氣體係放射狀地噴出至從液膜93的中央部(亦即基板9的中央部)朝向周圍之方向。藉此,處理液的液膜93的孔96係進一步地擴大,基板9的上表面91上的處理液係從基板9的外緣流下並從基板9上去除。此外,由於能藉由來自複數個第二噴出口617的放射狀的氣流效率佳地使基板9的周緣部上的處理液朝徑方向外側移動,因此能適當地防止或者抑制於基板9的周緣部殘存有處理液。 As shown in FIG. 12, when the hole 96 of the liquid film 93 of the processing liquid becomes larger to a certain extent, in addition to the inert gas ejected from the first ejection port 616 of the first nozzle 61, the second ejection port is also 617 ejects inert gas (step S33). The inert gas system from the plurality of second ejection ports 617 is ejected radially to the direction from the center of the liquid film 93 (that is, the center of the substrate 9) toward the surroundings. Thereby, the hole 96 of the liquid film 93 of the processing liquid is further enlarged, and the processing liquid on the upper surface 91 of the substrate 9 flows down from the outer edge of the substrate 9 and is removed from the substrate 9. In addition, since the processing liquid on the peripheral edge portion of the substrate 9 can be efficiently moved radially outward by the radial airflow from the plurality of second ejection ports 617, it is possible to appropriately prevent or suppress the processing liquid on the peripheral edge of the substrate 9 Treatment liquid remains in the part.

此外,在處理液的液膜93的去除中,亦可省略步驟S33(亦即從複數個第二噴出口617噴出惰性氣體)。即使在此種情形中,亦藉由步驟S31至步驟S32,基板9的上表面91上的處理液的液膜93係從基板9的中央部朝外緣移動並從基板9上去除。 In addition, in the removal of the liquid film 93 of the processing liquid, step S33 (that is, the inert gas is ejected from the plurality of second ejection ports 617) may be omitted. Even in this case, by steps S31 to S32, the liquid film 93 of the processing liquid on the upper surface 91 of the substrate 9 moves from the center of the substrate 9 toward the outer edge and is removed from the substrate 9.

當結束處理液的液膜93的去除(步驟S20)時,進行基板9的乾燥處理(步驟S21)。在步驟S21中,在罩41上升並位於基板9的周圍之狀態下,以較高的旋轉速度使基板保持部31旋轉。藉此,有可能殘存於基板9上的液體成分被甩離並被去除,使基板9乾燥。在步驟S21中,持續從第一噴嘴61噴出惰 性氣體。因此,防止或者抑制從罩41濺起的液滴以及霧氣再次附著至基板9的上表面91等。 When the removal of the liquid film 93 of the processing liquid is completed (step S20), the drying process of the substrate 9 is performed (step S21). In step S21, in a state where the cover 41 is raised and located around the substrate 9, the substrate holding portion 31 is rotated at a relatively high rotation speed. Thereby, there is a possibility that the liquid components remaining on the substrate 9 are flung off and removed, and the substrate 9 is dried. In step S21, the idler is continuously ejected from the first nozzle 61 性气。 The gas. Therefore, it is prevented or suppressed that liquid droplets and mist splashed from the cover 41 are reattached to the upper surface 91 of the substrate 9 and the like.

已結束乾燥處理的基板9係從基板處理裝置1被搬出。在基板處理裝置1中,依序對複數個基板9進行上述步驟S11至步驟S21的處理。 The substrate 9 on which the drying process has been completed is carried out from the substrate processing apparatus 1. In the substrate processing apparatus 1, the above-mentioned steps S11 to S21 are sequentially performed on a plurality of substrates 9.

如上所述,基板處理裝置1係具備有基板保持部2、處理液供給部、加熱部5以及液體去除部。基板保持部2係以水平狀態保持基板9。處理液供給部(在上述例子中為第一噴嘴61)係將表面張力比IPA還高的處理液供給至基板9的上表面91,藉此形成覆蓋基板9的上表面91的整面之處理液的液膜93。加熱部5係從下表面92之側加熱基板9並使液膜93的一部分氣化,藉此於基板9的上表面91與液膜93之間形成氣相層94。液體去除部(在上述例子中為第一噴嘴61)係去除氣相層94上的液膜93。 As described above, the substrate processing apparatus 1 includes the substrate holding section 2, the processing liquid supply section, the heating section 5, and the liquid removal section. The substrate holding portion 2 holds the substrate 9 in a horizontal state. The processing liquid supply unit (the first nozzle 61 in the above example) supplies processing liquid with a surface tension higher than IPA to the upper surface 91 of the substrate 9, thereby forming a processing covering the entire upper surface 91 of the substrate 9液的液膜93。 Liquid liquid film 93. The heating unit 5 heats the substrate 9 from the side of the lower surface 92 and vaporizes a part of the liquid film 93, thereby forming a gas phase layer 94 between the upper surface 91 of the substrate 9 and the liquid film 93. The liquid removal part (the first nozzle 61 in the above example) removes the liquid film 93 on the gas phase layer 94.

如此,以與IPA形成液膜之情形相比,以表面張力比IPA還高的處理液形成液膜93,藉此能抑制去除液膜93之前液膜93非預期性的破損(例如處理液從基板9的周緣以及夾具銷23流下或者因為液膜93與基板9之間所產生的處理液的蒸氣導致液膜93的龜裂等)。結果,能確保形成處理液的氣相層94所需的加熱時間,從而能使液膜93適當地朝基板9的上方浮起。因此,能防止或者抑制基板9上的圖案的崩壞(亦即上面所說明的構造體911的崩壞),並穩定地從基板9上去除液膜93。 In this way, the liquid film 93 is formed with a treatment liquid with a higher surface tension than IPA compared to the case where the liquid film is formed by IPA, thereby preventing unintended damage of the liquid film 93 before the liquid film 93 is removed (for example, the treatment liquid is removed from The periphery of the substrate 9 and the clamp pins 23 flow down, or the liquid film 93 is cracked due to the vapor of the processing liquid generated between the liquid film 93 and the substrate 9). As a result, the heating time required to form the gas phase layer 94 of the processing liquid can be ensured, and the liquid film 93 can be appropriately floated above the substrate 9. Therefore, the collapse of the pattern on the substrate 9 (that is, the collapse of the structure 911 described above) can be prevented or suppressed, and the liquid film 93 can be stably removed from the substrate 9.

如上所述,較佳為該處理液的蒸氣壓比IPA的蒸氣壓還高。藉此,與由IPA的液膜形成氣相層之情形相比,能以低的溫度形成處理液的氣相層94。此外,與由IPA的液膜形成氣相層之情形相比,只要加熱溫度相同,即能縮短形成處理液的氣相層94所需的時間。結果,能以較短的時間使液膜93朝基板9的上方浮起。此外,亦能縮短基板處理裝置1中的基板9的處理所需的時間。 As described above, it is preferable that the vapor pressure of the treatment liquid is higher than the vapor pressure of IPA. Thereby, the gas phase layer 94 of the treatment liquid can be formed at a lower temperature than in the case where the gas phase layer is formed from the liquid film of IPA. In addition, compared with the case where the gas phase layer is formed from a liquid film of IPA, as long as the heating temperature is the same, the time required to form the gas phase layer 94 of the treatment liquid can be shortened. As a result, the liquid film 93 can be floated above the substrate 9 in a short time. In addition, the time required for the processing of the substrate 9 in the substrate processing apparatus 1 can also be shortened.

較佳為該處理液係包含有順-1,2-二氯乙烯(分子式:C2H2Cl2)、三氯甲烷(分子式:CHCl3)、乙酸甲酯(分子式:C3H6O2)、1,3-二氧戊烷(分子式:C3H6O2)、四氫呋喃(分子式:C4H8O)、1,1,1-三氯乙烷(分子式:C2H3Cl3)、四氯甲烷(分子式:CCl4)、苯(分子式:C6H6)、環己烷(分子式:C6H12)、乙腈(分子式:C2H3N)、三氯乙烯(分子式:C2HCl3)、四氫哌喃(分子式:C5H10O)、硝酸(分子式:HNO3)、1,2-二氯乙烷(分子式:C2H4Cl2)、1,2-二氯丙烷(分子式:C3H6Cl2)、氟三硝基甲烷(分子式:CFN3O6)、吡咯啶(分子式:C4H9N)、丙烯腈(分子式:C3H3N)、環己烯(分子式:C6H10)中的至少一者。藉此,能將處理液的表面張力以及蒸氣壓設定成比IPA的表面張力以及蒸氣壓還高。 Preferably, the treatment liquid contains cis-1,2-dichloroethylene (molecular formula: C 2 H 2 Cl 2 ), chloroform (molecular formula: CHCl 3 ), methyl acetate (molecular formula: C 3 H 6 O 2 ), 1,3-dioxolane (molecular formula: C 3 H 6 O 2 ), tetrahydrofuran (molecular formula: C 4 H 8 O), 1,1,1-trichloroethane (molecular formula: C 2 H 3 Cl 3 ), tetrachloromethane (molecular formula: CCl 4 ), benzene (molecular formula: C 6 H 6 ), cyclohexane (molecular formula: C 6 H 12 ), acetonitrile (molecular formula: C 2 H 3 N), trichloroethylene (Molecular formula: C 2 HCl 3 ), tetrahydropiperan (molecular formula: C 5 H 10 O), nitric acid (molecular formula: HNO 3 ), 1,2-dichloroethane (molecular formula: C 2 H 4 Cl 2 ), 1,2-Dichloropropane (molecular formula: C 3 H 6 Cl 2 ), fluorotrinitromethane (molecular formula: CFN 3 O 6 ), pyrrolidine (molecular formula: C 4 H 9 N), acrylonitrile (molecular formula: C At least one of 3 H 3 N) and cyclohexene (molecular formula: C 6 H 10 ). Thereby, the surface tension and vapor pressure of the processing liquid can be set higher than the surface tension and vapor pressure of IPA.

如上所述,較佳為加熱部5對基板9之加熱(步驟S17)係在藉由從處理液供給部所供給的處理液覆蓋基板9的上表面91的整面(步驟S14)後再次開始。藉此,與供給處理液之前加熱基板之情形以及在處理液覆蓋基板的上表面整體之前加熱基板之情形相比,能抑制供給至基板9上的處理液的溫度急遽地上升以及急速地氣化。結果,能在基板9上穩定且適當地形成處理液的液膜93。 As described above, it is preferable that the heating of the substrate 9 by the heating unit 5 (step S17) is restarted after the entire upper surface 91 of the substrate 9 is covered by the processing liquid supplied from the processing liquid supply unit (step S14). . By this, compared with the case where the substrate is heated before the processing liquid is supplied and the case where the substrate is heated before the processing liquid covers the entire upper surface of the substrate, the temperature of the processing liquid supplied to the substrate 9 can be suppressed from rising rapidly and vaporizing rapidly. . As a result, the liquid film 93 of the processing liquid can be stably and appropriately formed on the substrate 9.

在基板處理裝置1中,較佳為液體去除部係具備有用以朝液膜93的中央部噴出氣體之氣體噴出部(在上述例子中為第一噴嘴61)。在此情形中,藉由來自氣體噴出部的氣體形成從液膜93的中央部朝向周圍之放射狀的氣流,使處理液從液膜93的中央部朝基板9的外緣移動並從基板9上去除。藉此,能以簡單的構造實現從基板9上去除液膜93。 In the substrate processing apparatus 1, it is preferable that the liquid removing section includes a gas ejection section (the first nozzle 61 in the above example) for ejecting gas toward the center of the liquid film 93. In this case, the gas from the gas ejection portion forms a radial flow from the center of the liquid film 93 toward the surroundings, so that the processing liquid moves from the center of the liquid film 93 toward the outer edge of the substrate 9 and moves from the substrate 9 On removal. Thereby, the liquid film 93 can be removed from the substrate 9 with a simple structure.

較佳為氣體噴出部係具備有第一噴出口616以及複數個第二噴出口617。第一噴出口616係朝液膜93的中央部噴出氣體。複數個第二噴出口617係周狀地配置於第一噴出口616的周圍。複數個第二噴出口617係對從液膜 93的中央部朝向周圍之方向放射狀地噴出氣體。藉此,能迅速地擴大形成於液膜93的中央部的孔96。結果,能容易且迅速地進行從基板9上去除液膜93。此外,與設置有圓周狀的細縫狀的噴出口之情形相比,藉由周狀地設置有較小的複數個第二噴出口617,能使從第二噴出口617噴出的氣體的流速增大。結果,能適當地防止或者抑制於基板9的周緣部殘存有處理液。 Preferably, the gas ejection portion is provided with a first ejection port 616 and a plurality of second ejection ports 617. The first ejection port 616 ejects gas toward the center of the liquid film 93. The plurality of second ejection ports 617 are circumferentially arranged around the first ejection port 616. The plurality of second ejection ports 617 are aligned with the liquid film The central part of 93 ejects gas radially toward the surrounding direction. Thereby, the hole 96 formed in the center of the liquid film 93 can be rapidly expanded. As a result, the liquid film 93 can be removed from the substrate 9 easily and quickly. In addition, compared with the case where a circular slit-shaped ejection port is provided, by providing a plurality of smaller second ejection ports 617 circumferentially, the flow rate of the gas ejected from the second ejection port 617 can be increased. Increase. As a result, it is possible to appropriately prevent or suppress the remaining processing liquid in the peripheral portion of the substrate 9.

較佳為,基板處理裝置1係進一步具備有腔室11以及氣流形成部71。腔室11係將基板保持部2收容於內部空間。氣流形成部71係從腔室11的上部對內部空間送出氣體,於基板9的周圍形成從基板9的上側朝向下側的下降氣流。在從基板9上去除處理液時,該下降氣流係促進基板9的上表面91的周緣部中的處理液朝外緣移動。藉此,能迅速地進行從基板9上去除液膜93。 Preferably, the substrate processing apparatus 1 further includes a chamber 11 and an air flow forming part 71. The chamber 11 accommodates the substrate holding portion 2 in the internal space. The gas flow forming part 71 sends gas from the upper part of the chamber 11 to the internal space, and forms a downward flow from the upper side to the lower side of the substrate 9 around the substrate 9. When the processing liquid is removed from the substrate 9, the downward airflow promotes the processing liquid in the peripheral portion of the upper surface 91 of the substrate 9 to move toward the outer edge. Thereby, the liquid film 93 can be quickly removed from the substrate 9.

更佳為,基板處理裝置1係進一步具備有旋轉機構3、罩41以及罩移動機構42。旋轉機構3係旋轉基板保持部2。罩41係隔著間隙配置於基板保持部2的周圍。罩41係接住從旋轉中的基板9飛散的液體。罩移動機構42係使罩41相對於基板保持部2相對性地移動。從基板9上去除處理液時,藉由罩移動機構42使罩41相對性地移動,藉此縮小基板保持部2與罩41之間的間隙。藉此,能使基板9的周圍中的上面所說明的下降氣流的流速增大。結果,能更迅速地進行從基板9上去除液膜93。 More preferably, the substrate processing apparatus 1 further includes a rotating mechanism 3, a cover 41, and a cover moving mechanism 42. The rotating mechanism 3 rotates the substrate holding portion 2. The cover 41 is arranged around the substrate holding portion 2 with a gap therebetween. The cover 41 catches the liquid scattered from the rotating substrate 9. The cover moving mechanism 42 relatively moves the cover 41 with respect to the substrate holding portion 2. When removing the processing liquid from the substrate 9, the cover 41 is relatively moved by the cover moving mechanism 42, thereby reducing the gap between the substrate holding portion 2 and the cover 41. Thereby, the flow velocity of the downward airflow described above in the periphery of the substrate 9 can be increased. As a result, the removal of the liquid film 93 from the substrate 9 can be performed more quickly.

如上所述,較佳為於加熱部5開始加熱基板9時(步驟S17),氣流形成部71停止形成下降氣流。藉此,能防止液膜93的周緣部被下降氣流冷卻。例如,停止形成下降氣流且已加熱預定時間之基板9的周緣部的溫度係變成比與未停止形成下降氣流之情形相比還高約10℃至20℃。結果,能大致均等地加熱液膜93整體並適當地形成氣相層94。此外,能縮短將基板9加熱至期望的溫度為止所需的時間。 As described above, it is preferable that when the heating unit 5 starts to heat the substrate 9 (step S17), the air flow forming unit 71 stops forming the downward air flow. Thereby, it is possible to prevent the peripheral edge portion of the liquid film 93 from being cooled by the downflow. For example, the temperature of the peripheral portion of the substrate 9 that has stopped forming the downflow and has been heated for a predetermined time becomes about 10°C to 20°C higher than that in the case where the downflow is not stopped. As a result, the entire liquid film 93 can be heated substantially uniformly and the gas phase layer 94 can be appropriately formed. In addition, the time required to heat the substrate 9 to a desired temperature can be shortened.

更佳為,與在加熱部5開始加熱基板9(步驟S17)之前處理液供 給部停止供給處理液(步驟S15)之同時,氣流形成部71停止形成下降氣流(步驟S16)。藉此,能抑制形成於基板9上的處理液的液膜93的周緣部因為下降氣流而吝亂,從而能穩定地保持液膜93。 More preferably, it is the same as the process liquid supply before the heating section 5 starts heating the substrate 9 (step S17) At the same time as the supply of the processing liquid is stopped by the supply unit (step S15), the airflow forming unit 71 stops forming the downflow (step S16). Thereby, it is possible to suppress the peripheral edge portion of the liquid film 93 of the processing liquid formed on the substrate 9 from being sloppy due to the downward air flow, and the liquid film 93 can be stably maintained.

此外,更佳為在基板9的周緣部的溫度變成預定溫度以上後氣流形成部71再次開始形成下降氣流(步驟S19)。藉此,能防止或者抑制在基板9的周緣部形成氣相層94的途中處理液的溫度因為下降氣流而降低並阻礙氣相層94的形成。 In addition, it is more preferable that the air flow forming portion 71 restarts to form a downward air flow after the temperature of the peripheral edge portion of the substrate 9 becomes a predetermined temperature or higher (step S19). Thereby, it is possible to prevent or suppress the temperature of the processing liquid in the process of forming the gas phase layer 94 on the peripheral edge portion of the substrate 9 from being lowered due to the downflow and hindering the formation of the gas phase layer 94.

上述基板處理方法係具備有下述工序:以水平狀態保持基板9(步驟S11);將表面張力比IPA還高的處理液供給至基板9的上表面91,藉此形成覆蓋基板9的上表面91的整面之處理液的液膜93(步驟S14);從下表面92之側加熱基板9並使液膜93的一部分氣化,藉此於基板9的上表面91與液膜93之間形成氣相層94(步驟S18);以及去除氣相層94上的液膜93(步驟S20)。藉此,如上所述,與以IPA形成液膜之情形相比,能抑制去除液膜93之前液膜93非預期性的破損。結果,能確保形成處理液的氣相層94所需的加熱時間,並能使液膜93適當地朝基板9的上方浮起。因此,能防止或者抑制基板9上的圖案的崩壞,並能從基板9上穩定地去除液膜93。 The above-mentioned substrate processing method includes the following steps: holding the substrate 9 in a horizontal state (step S11); supplying a processing liquid with a surface tension higher than IPA to the upper surface 91 of the substrate 9, thereby forming the upper surface of the covering substrate 9 The liquid film 93 of the treatment liquid on the entire surface of the substrate 91 (step S14); heat the substrate 9 from the side of the lower surface 92 and vaporize a part of the liquid film 93, thereby being between the upper surface 91 of the substrate 9 and the liquid film 93 The gas phase layer 94 is formed (step S18); and the liquid film 93 on the gas phase layer 94 is removed (step S20). As a result, as described above, compared with the case where the liquid film is formed by IPA, the liquid film 93 can be prevented from being damaged unexpectedly before the liquid film 93 is removed. As a result, the heating time required to form the gas phase layer 94 of the processing liquid can be ensured, and the liquid film 93 can be appropriately floated upward of the substrate 9. Therefore, the collapse of the pattern on the substrate 9 can be prevented or suppressed, and the liquid film 93 can be stably removed from the substrate 9.

在基板處理裝置1中,在上面所說明的清洗液與處理液不易親近之情形等,亦可在清洗處理(步驟S13)與對基板9供給處理液(步驟S14)之間對基板9進行IPA供給處理。具體而言,在結束基板9的清洗處理後,對旋轉中的基板9供給IPA並擴展至基板9的上表面91的整體。藉此,沖洗基板9的上表面91上的清洗液並置換成IPA。之後,停止對基板9供給IPA,且如上面所說明對旋轉中的基板9供給處理液。接著,處理液係從基板9的中央部擴展至上表面91整體,基板9的上表面91上的IPA係被沖洗並被置換成處理液。 In the substrate processing apparatus 1, in the case where the cleaning liquid and the processing liquid are not easily approached as described above, the substrate 9 may be IPA between the cleaning process (step S13) and the supply of the processing liquid to the substrate 9 (step S14). Supply processing. Specifically, after the cleaning process of the substrate 9 is completed, the IPA is supplied to the rotating substrate 9 and spread to the entire upper surface 91 of the substrate 9. Thereby, the cleaning liquid on the upper surface 91 of the substrate 9 is rinsed and replaced with IPA. After that, the supply of IPA to the substrate 9 is stopped, and the processing liquid is supplied to the rotating substrate 9 as described above. Next, the processing liquid system spreads from the center of the substrate 9 to the entire upper surface 91, and the IPA system on the upper surface 91 of the substrate 9 is rinsed and replaced with the processing liquid.

如此,在基板處理裝置1中,亦可在基板9的上表面91的整面被 IPA覆蓋的狀態下從處理液供給部(在上述例子中為第一噴嘴61)對基板9的上表面91供給處理液。接著,基板9的上表面91上的IPA被處理液置換,藉此形成有處理液的液膜93。由於處理液與IPA比較容易親近,因此能使處理液與基板9親近並容易進入至圖案的構造體911之間。結果,能於基板9上適當地形成處理液的液膜93。 In this way, in the substrate processing apparatus 1, the entire upper surface 91 of the substrate 9 may be covered The processing liquid is supplied to the upper surface 91 of the substrate 9 from the processing liquid supply part (the first nozzle 61 in the above-mentioned example) in the state covered with IPA. Next, the IPA on the upper surface 91 of the substrate 9 is replaced by the processing liquid, thereby forming a liquid film 93 of the processing liquid. Since the processing liquid and IPA are relatively easy to get close, the processing liquid can be made close to the substrate 9 and can easily enter between the patterned structures 911. As a result, the liquid film 93 of the processing liquid can be appropriately formed on the substrate 9.

上述處理液亦可為將表面張力比IPA還高且蒸氣壓比IPA還低的物質混合至IPA之混合液。在此種情形中亦與上述同樣地,與以IPA形成液膜之情形相比,能抑制在去除液膜93之前液膜93非預期性的破損。此外,由於IPA與清洗液比較容易親近,因此能容易地進行步驟S14中的清洗液與處理液的置換,或者如上述般在進行IPA供給時能容易地進行IPA與處理液的置換。再者,由於該物質的蒸氣壓比IPA的蒸氣壓還低,因此能在加熱處理液的液膜93並形成氣相層94時僅該物質比IPA還先氣化從而防止處理液的表面張力降低。作為該物質,例如能利用烯丙醇(allyl alcohol;分子式C3H6O)或者1-丙醇(1-propanol;分子式C3H8O)等。 The above-mentioned treatment liquid may be a mixed liquid in which a substance having a surface tension higher than IPA and a vapor pressure lower than IPA is mixed with IPA. In this case as well as the above, it is possible to suppress unexpected breakage of the liquid film 93 before the liquid film 93 is removed, compared to the case where the liquid film is formed by IPA. In addition, since IPA and the cleaning liquid are relatively easy to get close to each other, the replacement of the cleaning liquid and the processing liquid in step S14 can be easily performed, or the replacement of the IPA and the processing liquid can be easily performed when the IPA is supplied as described above. Furthermore, since the vapor pressure of this substance is lower than that of IPA, when the liquid film 93 of the treatment liquid is heated and the gas phase layer 94 is formed, only this substance vaporizes before IPA to prevent the surface tension of the treatment liquid. reduce. As the substance, for example, allyl alcohol (allyl alcohol; molecular formula C 3 H 6 O) or 1-propanol (1-propanol; molecular formula C 3 H 8 O) can be used.

在上述基板處理裝置1以及基板處理方法中,可進行各種變更。 Various changes can be made in the substrate processing apparatus 1 and the substrate processing method described above.

例如,亦可設置有周狀的細縫狀的噴出口以取代複數個第二噴出口617,或者亦可除了設置有複數個第二噴出口617之外還設置有周狀的細縫狀的噴出口,周狀的細縫狀的噴出口係對從中央部朝向周圍之方向放射狀地噴出氣體。此外,亦可不於第一噴嘴61的外側面設置用以噴出氣體之噴出口。 For example, a circumferential slit-shaped ejection port may be provided instead of a plurality of second ejection ports 617, or in addition to a plurality of second ejection ports 617, a circumferential slit-shaped ejection port may also be provided. The ejection port is a circumferential slit-shaped ejection port that ejects gas radially from the center to the surrounding direction. In addition, it is not necessary to provide an ejection port for ejecting gas on the outer surface of the first nozzle 61.

在步驟S14中供給至基板9之處理液係未限定於上面所說明且例示的液體,亦可利用表面張力比IPA還高的各種液體作為該處理液。例如,亦可利用表面張力比IPA還高且蒸氣壓為IPA以下的液體作為上述處理液。 The processing liquid supplied to the substrate 9 in step S14 is not limited to the liquids described and exemplified above, and various liquids having a surface tension higher than IPA may be used as the processing liquid. For example, a liquid having a surface tension higher than IPA and a vapor pressure of IPA or less can also be used as the above-mentioned treatment liquid.

步驟S16中停止形成下降氣流並不一定需要與步驟S15中停止 供給處理液之同時地進行,亦可在步驟S15之前或者之後進行。此外,亦可與基板9的周緣部的溫度無關地進行步驟S19中之再次開始形成下降氣流。此外,在步驟S11至步驟S21之間亦可不停止形成下降氣流而是持續形成下降氣流。 It is not necessary to stop the formation of downdraft in step S16 with the stop in step S15. While supplying the processing liquid is performed simultaneously, it may be performed before or after step S15. In addition, regardless of the temperature of the peripheral portion of the substrate 9, the formation of the downward airflow may be restarted in step S19. In addition, between step S11 and step S21, the downflow may not be stopped but the downflow may be continuously formed.

如上所述,在加熱部5開始加熱基板9時,氣流形成部71停止形成下降氣流,藉此能大致均等地加熱液膜93整體並適當地形成氣相層94。因此,從大致均等地加熱處理液的液膜93整體並適當地形成氣相層94之觀點而言,處理液並不一定需要為表面張力比IPA還高的液體,亦可為表面張力為IPA以下的液體。例如,處理液亦可為IPA。 As described above, when the heating unit 5 starts to heat the substrate 9, the air flow forming unit 71 stops forming the downward air flow, whereby the entire liquid film 93 can be heated substantially uniformly and the gas phase layer 94 can be appropriately formed. Therefore, from the viewpoint of heating the entire liquid film 93 of the treatment liquid substantially uniformly and appropriately forming the gas phase layer 94, the treatment liquid does not necessarily need to be a liquid with a surface tension higher than IPA, and it may also be a liquid with a surface tension of IPA. The following liquid. For example, the treatment liquid may be IPA.

步驟S17中的基板9的加熱並不一定需要在步驟S14中的液膜93的形成後才開始,亦可與步驟S14同時地開始或者在步驟S14之前開始。此外,用以加熱基板9之加熱部5亦可例如具備有加熱燈以取代加熱板51,該加熱燈係用以對基板9的下表面92照射光線並加熱基板9。 The heating of the substrate 9 in step S17 does not necessarily need to be started after the formation of the liquid film 93 in step S14, and may be started simultaneously with step S14 or before step S14. In addition, the heating unit 5 for heating the substrate 9 may also be provided with, for example, a heating lamp instead of the heating plate 51, and the heating lamp is used to irradiate the lower surface 92 of the substrate 9 with light and heat the substrate 9.

在步驟S20中的液膜93的去除中,由於不一定需要縮小基板保持部2與罩41之間的間隙,因此亦可省略步驟S32。此外,在步驟S20中,亦可不進行藉由下降氣流促進處理液的移動。 In the removal of the liquid film 93 in step S20, since it is not necessary to reduce the gap between the substrate holding portion 2 and the cover 41, step S32 may be omitted. In addition, in step S20, it is not necessary to promote the movement of the processing liquid by the downflow.

在步驟S20中,亦可藉由旋轉基板9來促進液膜93的去除。在此情形中,亦可於上述液體去除部包含有用以旋轉基板9之旋轉機構3。 In step S20, the removal of the liquid film 93 can also be promoted by rotating the substrate 9. In this case, a rotating mechanism 3 for rotating the substrate 9 may also be included in the liquid removing part.

液體去除部對於液膜93的去除亦可藉由其他的各種方法進行。例如,亦可利用吸引噴嘴作為液體去除部;該吸引噴嘴係插入至被支撐在氣相層94的液膜93中並吸引液膜93,藉此將液膜93從基板9上去除。或者,亦可利用海棉等作為液體去除部,該海綿係接觸至被支撐在氣相層94上的液膜93的上表面並吸收液膜93。此外,亦可一邊從比基板9的直徑還長的細縫狀的惰性氣體噴出口朝基板9的上表面91帶狀地噴出惰性氣體,一邊使該惰性氣 體噴出口在基板9的上方往復移動,藉此從基板9上去除液膜93。 The removal of the liquid film 93 by the liquid removal part may be performed by other various methods. For example, a suction nozzle may also be used as a liquid removal part; the suction nozzle is inserted into the liquid film 93 supported by the gas phase layer 94 and sucks the liquid film 93, thereby removing the liquid film 93 from the substrate 9. Alternatively, a sponge or the like may be used as the liquid removal part, and the sponge contacts the upper surface of the liquid film 93 supported on the gas phase layer 94 and absorbs the liquid film 93. In addition, the inert gas may be sprayed in a strip form toward the upper surface 91 of the substrate 9 from a slit-shaped inert gas ejection port that is longer than the diameter of the substrate 9 while making the inert gas The body ejection port reciprocates above the substrate 9, thereby removing the liquid film 93 from the substrate 9.

上述基板處理裝置1係除了利用於半導體基板的處理之外,亦可利用於液晶顯示裝置或者有機EL(Electro Luminescence;電致發光)顯示裝置等平面顯示裝置(Flat Panel Display)所使用之玻璃基板的處理,或者亦可利用於其他的顯示裝置所使用之玻璃基板的處理。此外,上述基板處理裝置1亦可利用於光碟用基板、磁碟用基板、光磁碟用基板、光罩用基板、陶瓷基板以及太陽能電池用基板等的處理。 The above-mentioned substrate processing apparatus 1 is not only used for processing semiconductor substrates, but also used for glass substrates used in flat panel displays such as liquid crystal display devices or organic EL (Electro Luminescence) display devices. It can also be used in the treatment of glass substrates used in other display devices. In addition, the substrate processing apparatus 1 described above can also be used for processing substrates for optical disks, substrates for magnetic disks, substrates for optical magnetic disks, substrates for photomasks, ceramic substrates, substrates for solar cells, and the like.

上述實施形態以及各變化例中的構成只要未互相矛盾,則亦可適當地組合。 As long as the configurations in the above-mentioned embodiment and each modification example do not contradict each other, they may be combined as appropriate.

雖然已詳細地描繪並說明本發明,但上述說明僅為例示性而非是限定性。因此,只要未逸離本發明的範圍,則可有複數種變化以及態樣。 Although the present invention has been described and explained in detail, the above description is only illustrative and not restrictive. Therefore, as long as it does not deviate from the scope of the present invention, there may be a plurality of changes and aspects.

1:基板處理裝置 1: Substrate processing equipment

2:基板保持部 2: Board holding part

3:旋轉機構 3: Rotating mechanism

5:加熱部 5: Heating part

6:液體供給部 6: Liquid supply part

7:氣體供給部 7: Gas supply department

9:基板(半導體基板) 9: Substrate (semiconductor substrate)

11:腔室 11: Chamber

21:基座部 21: Base

22:保持軸部 22: Keep the shaft

23:夾具銷 23: Fixture pin

24:蓋部 24: Lid

41:罩 41: Hood

42:罩移動機構 42: Hood moving mechanism

43:罩側壁部 43: hood side wall

44:罩頂蓋部 44: Cover top cover

45:排出埠 45: discharge port

51:加熱板 51: heating plate

52:加熱軸部 52: Heating shaft

53:板升降機構 53: Plate lifting mechanism

62:第二噴嘴 62: second nozzle

63:第三噴嘴 63: The third nozzle

71:氣流形成部 71: Airflow forming part

72:風扇單元 72: fan unit

91:(基板的)上表面 91: (of the substrate) upper surface

610:第一噴嘴移動機構 610: The first nozzle moving mechanism

620:第二噴嘴移動機構 620: Second nozzle moving mechanism

J1:中心軸 J1: Central axis

Claims (23)

一種基板處理裝置,係用以處理基板,並具備有:基板保持部,係以水平狀態保持前述基板;處理液供給部,係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;加熱部,係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及液體去除部,係去除前述氣相層上的前述液膜;前述處理液的蒸氣壓係比前述異丙醇的蒸氣壓還高;前述處理液係包含有順-1,2-二氯乙烯、三氯甲烷、乙酸甲酯、1,3-二氧戊烷、四氫呋喃、1,1,1-三氯乙烷、四氯甲烷、苯、環己烷、乙腈、三氯乙烯、四氫哌喃、硝酸、1,2-二氯乙烷、1,2-二氯丙烷、氟三硝基甲烷、吡咯啶、丙烯腈、環己烯中的至少一者。 A substrate processing device for processing substrates, and is provided with: a substrate holding portion that holds the substrate in a horizontal state; a processing liquid supply portion that supplies a processing liquid with a higher surface tension than isopropanol to the substrate On the upper surface, a liquid film of the processing liquid covering the entire surface of the upper surface of the substrate is formed; the heating part heats the substrate from the lower surface side and vaporizes a part of the liquid film, thereby forming a liquid film on the substrate A gas phase layer is formed between the upper surface of the upper surface and the liquid film; and a liquid removal section for removing the liquid film on the gas phase layer; the vapor pressure of the treatment liquid is higher than the vapor pressure of the isopropanol; The aforementioned treatment liquid system contains cis-1,2-dichloroethylene, chloroform, methyl acetate, 1,3-dioxolane, tetrahydrofuran, 1,1,1-trichloroethane, tetrachloromethane, Benzene, cyclohexane, acetonitrile, trichloroethylene, tetrahydropiperan, nitric acid, 1,2-dichloroethane, 1,2-dichloropropane, fluorotrinitromethane, pyrrolidine, acrylonitrile, cyclohexane At least one of olefins. 一種基板處理裝置,係用以處理基板,並具備有:基板保持部,係以水平狀態保持前述基板;處理液供給部,係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;加熱部,係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及液體去除部,係去除前述氣相層上的前述液膜;在藉由前述異丙醇覆蓋前述基板的前述上表面的整面之狀態下,從前述處理液供給部對前述基板的前述上表面供給前述處理液,並藉由前述處理液置換前述基板的前述上表面上的前述異丙醇,藉此 形成前述處理液的前述液膜。 A substrate processing device for processing substrates, and is provided with: a substrate holding portion that holds the substrate in a horizontal state; a processing liquid supply portion that supplies a processing liquid with a higher surface tension than isopropanol to the substrate On the upper surface, a liquid film of the processing liquid covering the entire surface of the upper surface of the substrate is formed; the heating part heats the substrate from the lower surface side and vaporizes a part of the liquid film, thereby forming a liquid film on the substrate A vapor phase layer is formed between the upper surface and the liquid film; and a liquid removal section for removing the liquid film on the vapor layer; on the entire surface of the upper surface of the substrate covered by the isopropyl alcohol In the state, the processing liquid is supplied from the processing liquid supply part to the upper surface of the substrate, and the isopropyl alcohol on the upper surface of the substrate is replaced by the processing liquid, thereby The aforementioned liquid film of the aforementioned treatment liquid is formed. 一種基板處理裝置,係用以處理基板,並具備有:基板保持部,係以水平狀態保持前述基板;處理液供給部,係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;加熱部,係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及液體去除部,係去除前述氣相層上的前述液膜;前述處理液係將表面張力比前述異丙醇還高且蒸氣壓比前述異丙醇還低之物質混合至前述異丙醇之混合液。 A substrate processing device for processing substrates, and is provided with: a substrate holding portion that holds the substrate in a horizontal state; a processing liquid supply portion that supplies a processing liquid with a higher surface tension than isopropanol to the substrate On the upper surface, a liquid film of the processing liquid covering the entire surface of the upper surface of the substrate is formed; the heating part heats the substrate from the lower surface side and vaporizes a part of the liquid film, thereby forming a liquid film on the substrate A gas phase layer is formed between the upper surface and the liquid film; and the liquid removal part removes the liquid film on the gas phase layer; the treatment liquid has a surface tension higher than that of the isopropanol and a vapor pressure ratio The above-mentioned isopropanol is still low and mixed into the above-mentioned mixture of isopropanol. 如請求項1至3中任一項所記載之基板處理裝置,其中前述加熱部對前述基板的加熱係在藉由從前述處理液供給部所供給的前述處理液覆蓋前述基板的前述上表面的整面後再開始。 The substrate processing apparatus according to any one of claims 1 to 3, wherein the heating of the substrate by the heating section is performed by covering the upper surface of the substrate with the processing liquid supplied from the processing liquid supply section Start after the whole face. 如請求項1至3中任一項所記載之基板處理裝置,其中前述液體去除部係具備有:氣體噴出部,係朝前述液膜的中央部噴出氣體;藉由來自前述氣體噴出部的前述氣體形成從前述液膜的前述中央部朝向周圍之放射狀的氣流,並使前述處理液從前述液膜的前述中央部朝前述基板的外緣移動並從前述基板上去除。 The substrate processing apparatus according to any one of claims 1 to 3, wherein the liquid removal section includes: a gas ejection section that ejects gas toward the center of the liquid film; The gas forms a radial flow from the center portion of the liquid film toward the surroundings, and the processing liquid moves from the center portion of the liquid film toward the outer edge of the substrate and is removed from the substrate. 如請求項5所記載之基板處理裝置,其中前述氣體噴出部係具備有:第一噴出口,係朝前述液膜的前述中央部噴出氣體;以及複數個第二噴出口,係周狀地配置於前述第一噴出口的周圍,對從前述液膜的前述中央部朝向周圍之方向放射狀地噴出氣體。 The substrate processing apparatus according to claim 5, wherein the gas ejection portion includes: a first ejection port that ejects gas toward the center portion of the liquid film; and a plurality of second ejection ports that are circumferentially arranged Around the first ejection port, gas is ejected radially from the center portion of the liquid film toward the periphery. 如請求項5所記載之基板處理裝置,其中進一步具備有: 腔室,係將前述基板保持部收容於內部空間;以及氣流形成部,係從前述腔室的上部對前述內部空間送出氣體,並於前述基板的周圍形成從前述基板的上側朝向下側的下降氣流;前述下降氣流係在從前述基板上去除前述處理液時促進前述基板的前述上表面的周緣部中之前述處理液朝前述外緣移動。 The substrate processing apparatus described in claim 5, which further includes: The chamber contains the substrate holding part in the internal space; and the air flow forming part sends gas from the upper part of the chamber to the internal space, and forms a drop from the upper side to the lower side of the substrate around the substrate Air flow; The down flow system promotes the processing liquid in the peripheral portion of the upper surface of the substrate to move toward the outer edge when the processing liquid is removed from the substrate. 如請求項7所記載之基板處理裝置,其中進一步具備有:旋轉機構,係旋轉前述基板保持部;罩,係隔著間隙配置於前述基板保持部的周圍,用以接住從旋轉中的前述基板飛散的液體;以及罩移動機構,係將前述罩相對於前述基板保持部相對性地移動;從前述基板上去除前述處理液時,藉由前述罩移動機構使前述罩相對性地移動,並縮小前述基板保持部與前述罩之間的間隙。 The substrate processing apparatus according to claim 7, further comprising: a rotating mechanism that rotates the substrate holding portion; and a cover is arranged around the substrate holding portion with a gap therebetween to catch the rotating Liquid scattered from the substrate; and a cover moving mechanism that relatively moves the cover relative to the substrate holding portion; when the processing liquid is removed from the substrate, the cover moving mechanism relatively moves the cover, and The gap between the substrate holding portion and the cover is reduced. 如請求項7所記載之基板處理裝置,其中在前述加熱部開始加熱前述基板時,前述氣流形成部停止形成前述下降氣流。 The substrate processing apparatus according to claim 7, wherein when the heating section starts to heat the substrate, the air flow forming section stops forming the down flow. 如請求項9所記載之基板處理裝置,其中在前述加熱部開始加熱前述基板之前的前述處理液供給部停止供給前述處理液之同時,前述氣流形成部停止形成前述下降氣流。 The substrate processing apparatus according to claim 9, wherein at the same time that the processing liquid supply unit stops supplying the processing liquid before the heating unit starts to heat the substrate, the airflow forming unit stops forming the downflow. 如請求項9所記載之基板處理裝置,其中在前述基板的前述周緣部的溫度變成預定溫度以上後,前述氣流形成部再次開始形成前述下降氣流。 The substrate processing apparatus according to claim 9, wherein after the temperature of the peripheral edge portion of the substrate becomes a predetermined temperature or higher, the airflow forming portion starts to form the downflow again. 一種基板處理裝置,係用以處理基板,並具備有:基板保持部,係以水平狀態保持前述基板;處理液供給部,係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;加熱部,係從下表面側加熱前述基板並使前述液膜的一部分氣 化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;液體去除部,係去除前述氣相層上的前述液膜;腔室,係將前述基板保持部收容於內部空間;以及氣流形成部,係從前述腔室的上部對前述內部空間送出氣體,並於前述基板的周圍形成從前述基板的上側朝向下側的下降氣流;前述液體去除部係具備有:氣體噴出部,係朝前述液膜的中央部噴出氣體;藉由來自前述氣體噴出部的前述氣體形成從前述液膜的前述中央部朝向周圍之放射狀的氣流,並使前述處理液從前述液膜的前述中央部朝前述基板的外緣移動並從前述基板上去除;前述下降氣流係在從前述基板上去除前述處理液時促進前述基板的前述上表面的周緣部中之前述處理液朝前述外緣移動。 A substrate processing device for processing substrates, and is provided with: a substrate holding portion that holds the substrate in a horizontal state; a processing liquid supply portion that supplies a processing liquid with a higher surface tension than isopropanol to the substrate On the upper surface, a liquid film of the processing liquid covering the entire surface of the upper surface of the substrate is formed; the heating part heats the substrate from the lower surface side and makes a part of the liquid film gas To form a gas phase layer between the upper surface of the substrate and the liquid film; the liquid removal part removes the liquid film on the gas phase layer; the chamber contains the substrate holding part inside Space; and a gas flow forming part, which sends gas from the upper part of the chamber to the internal space, and forms a descending gas flow from the upper side to the lower side of the substrate around the substrate; the liquid removal part is provided with: gas ejection The part is to eject gas toward the center of the liquid film; the gas from the gas ejection section forms a radial gas flow from the center of the liquid film to the surroundings, and causes the processing liquid to flow from the liquid film. The central portion moves toward the outer edge of the substrate and is removed from the substrate; the downward air flow promotes the processing liquid in the peripheral portion of the upper surface of the substrate toward the outer edge when the processing liquid is removed from the substrate mobile. 如請求項12所記載之基板處理裝置,其中前述加熱部對前述基板的加熱係在藉由從前述處理液供給部所供給的前述處理液覆蓋前述基板的前述上表面的整面後再開始。 The substrate processing apparatus according to claim 12, wherein the heating of the substrate by the heating section is started after the entire upper surface of the substrate is covered by the processing liquid supplied from the processing liquid supply section. 如請求項12所記載之基板處理裝置,其中前述氣體噴出部係具備有:第一噴出口,係朝前述液膜的前述中央部噴出氣體;以及複數個第二噴出口,係周狀地配置於前述第一噴出口的周圍,對從前述液膜的前述中央部朝向周圍之方向放射狀地噴出氣體。 The substrate processing apparatus according to claim 12, wherein the gas ejection portion is provided with: a first ejection port that ejects gas toward the center portion of the liquid film; and a plurality of second ejection ports that are circumferentially arranged Around the first ejection port, gas is ejected radially from the center portion of the liquid film toward the periphery. 如請求項12所記載之基板處理裝置,其中進一步具備有:旋轉機構,係旋轉前述基板保持部;罩,係隔著間隙配置於前述基板保持部的周圍,用以接住從旋轉中的前述基板飛散的液體;以及罩移動機構,係將前述罩相對於前述基板保持部相對性地移動; 從前述基板上去除前述處理液時,藉由前述罩移動機構使前述罩相對性地移動,並縮小前述基板保持部與前述罩之間的間隙。 The substrate processing apparatus according to claim 12, further comprising: a rotating mechanism that rotates the substrate holding portion; and a cover is arranged around the substrate holding portion with a gap therebetween to catch the rotating Liquid scattered from the substrate; and a cover moving mechanism that relatively moves the cover relative to the substrate holding portion; When the processing liquid is removed from the substrate, the cover is moved relatively by the cover moving mechanism, and the gap between the substrate holding portion and the cover is reduced. 如請求項12所記載之基板處理裝置,其中在前述加熱部開始加熱前述基板時,前述氣流形成部停止形成前述下降氣流。 The substrate processing apparatus according to claim 12, wherein when the heating section starts to heat the substrate, the air flow forming section stops forming the down flow. 如請求項16所記載之基板處理裝置,其中在前述加熱部開始加熱前述基板之前的前述處理液供給部停止供給前述處理液之同時,前述氣流形成部停止形成前述下降氣流。 The substrate processing apparatus according to claim 16, wherein at the same time that the processing liquid supply unit stops supplying the processing liquid before the heating unit starts to heat the substrate, the airflow forming unit stops forming the downflow. 如請求項16所記載之基板處理裝置,其中在前述基板的前述周緣部的溫度變成預定溫度以上後,前述氣流形成部再次開始形成前述下降氣流。 The substrate processing apparatus according to claim 16, wherein after the temperature of the peripheral edge portion of the substrate becomes a predetermined temperature or higher, the airflow forming portion starts to form the downflow again. 一種處理液,係使用於基板的處理,且表面張力比異丙醇還高,並在請求項1至18中任一項所記載之基板處理裝置中被供給至前述基板的前述上表面。 A processing liquid used for the processing of substrates, has a surface tension higher than isopropanol, and is supplied to the upper surface of the substrate in the substrate processing apparatus described in any one of claims 1 to 18. 一種基板處理方法,係用以處理基板,並具備有:工序(a),係以水平狀態保持前述基板;工序(b),係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;工序(c),係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及工序(d),係去除前述氣相層上的前述液膜;前述處理液的蒸氣壓係比前述異丙醇的蒸氣壓還高;前述處理液係包含有順-1,2-二氯乙烯、三氯甲烷、乙酸甲酯、1,3-二氧戊烷、四氫呋喃、1,1,1-三氯乙烷、四氯甲烷、苯、環己烷、乙腈、三氯乙烯、四氫哌喃、硝酸、1,2-二氯乙烷、1,2-二氯丙烷、氟三硝基甲烷、吡咯啶、丙烯腈、環己烯中的至少一者。 A substrate processing method for processing substrates, and includes: step (a), holding the substrate in a horizontal state; step (b), supplying a processing solution with a higher surface tension than isopropanol to the substrate The upper surface of the substrate, thereby forming a liquid film of the treatment liquid covering the entire surface of the upper surface of the substrate; step (c), heating the substrate from the lower surface side and vaporizing a part of the liquid film, thereby Forming a gas phase layer between the upper surface of the substrate and the liquid film; and step (d) is to remove the liquid film on the gas phase layer; the vapor pressure of the treatment liquid is higher than that of the isopropanol vapor The pressure is still high; the aforementioned treatment liquid system contains cis-1,2-dichloroethylene, chloroform, methyl acetate, 1,3-dioxolane, tetrahydrofuran, 1,1,1-trichloroethane, Tetrachloromethane, benzene, cyclohexane, acetonitrile, trichloroethylene, tetrahydropiperan, nitric acid, 1,2-dichloroethane, 1,2-dichloropropane, fluorotrinitromethane, pyrrolidine, propylene At least one of nitrile and cyclohexene. 一種基板處理方法,係用以處理基板,並具備有:工序(a),係以水平狀態保持前述基板;工序(b),係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;工序(c),係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及工序(d),係去除前述氣相層上的前述液膜;於前述工序(b)中,係在藉由前述異丙醇覆蓋前述基板的前述上表面的整面之狀態下,對前述基板的前述上表面供給前述處理液,並藉由前述處理液置換前述基板的前述上表面上的前述異丙醇,藉此形成前述處理液的前述液膜。 A substrate processing method for processing substrates, and includes: step (a), holding the substrate in a horizontal state; step (b), supplying a processing solution with a higher surface tension than isopropanol to the substrate The upper surface of the substrate, thereby forming a liquid film of the treatment liquid covering the entire surface of the upper surface of the substrate; step (c), heating the substrate from the lower surface side and vaporizing a part of the liquid film, thereby Forming a vapor phase layer between the upper surface of the substrate and the liquid film; and step (d) is to remove the liquid film on the vapor layer; in the step (b), With propanol covering the entire surface of the upper surface of the substrate, the processing liquid is supplied to the upper surface of the substrate, and the isopropyl alcohol on the upper surface of the substrate is replaced by the processing liquid, thereby The aforementioned liquid film of the aforementioned treatment liquid is formed. 一種基板處理方法,係用以處理基板,並具備有:工序(a),係以水平狀態保持前述基板;工序(b),係將表面張力比異丙醇還高的處理液供給至前述基板的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;工序(c),係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及工序(d),係去除前述氣相層上的前述液膜;前述處理液係將表面張力比前述異丙醇還高且蒸氣壓比前述異丙醇還低之物質混合至前述異丙醇之混合液。 A substrate processing method for processing substrates, and includes: step (a), holding the substrate in a horizontal state; step (b), supplying a processing solution with a higher surface tension than isopropanol to the substrate The upper surface of the substrate, thereby forming a liquid film of the treatment liquid covering the entire surface of the upper surface of the substrate; step (c), heating the substrate from the lower surface side and vaporizing a part of the liquid film, thereby A gas phase layer is formed between the upper surface of the substrate and the liquid film; and step (d) is to remove the liquid film on the gas phase layer; the surface tension of the treatment liquid is higher than that of the isopropyl alcohol And a substance with a vapor pressure lower than the aforementioned isopropanol is mixed into the aforementioned mixture of isopropanol. 一種基板處理方法,係用以處理基板,並具備有:工序(a),係於腔室之內部空間中以水平狀態保持前述基板;工序(b),係將表面張力比異丙醇還高的處理液供給至前述基板 的上表面,藉此形成覆蓋前述基板的前述上表面的整面之前述處理液的液膜;工序(c),係從下表面側加熱前述基板並使前述液膜的一部分氣化,藉此於前述基板的前述上表面與前述液膜之間形成氣相層;以及工序(d),係去除前述氣相層上的前述液膜;於前述工序(d)中,藉由向前述液膜的中央部噴出氣體而形成從前述液膜的前述中央部朝向周圍之放射狀的氣流,並使前述處理液從前述液膜的前述中央部朝前述基板的外緣移動並從前述基板上去除;在從前述基板上去除前述處理液時,藉由從前述腔室之上部送出前述氣體至前述內部空間,於前述基板之周圍形成從前述基板之上側朝向下側的下降氣流,藉此促進前述基板的前述上表面的周緣部中之前述處理液朝前述外緣移動。 A substrate processing method for processing substrates, and includes: step (a), which is to maintain the aforementioned substrate in a horizontal state in the internal space of the chamber; step (b), to make the surface tension higher than isopropanol The processing liquid is supplied to the aforementioned substrate The upper surface of the substrate, thereby forming a liquid film of the treatment liquid covering the entire surface of the upper surface of the substrate; step (c), heating the substrate from the lower surface side and vaporizing a part of the liquid film, thereby Forming a gas phase layer between the upper surface of the substrate and the liquid film; and step (d) is to remove the liquid film on the gas phase layer; in the step (d), by adding the liquid film to the liquid film The central portion of the liquid film is sprayed with gas to form a radial air flow from the central portion of the liquid film toward the surroundings, and the processing liquid is moved from the central portion of the liquid film toward the outer edge of the substrate and removed from the substrate; When removing the processing liquid from the substrate, by sending the gas from the upper part of the chamber to the internal space, a downward airflow from the upper side to the lower side of the substrate is formed around the substrate, thereby promoting the substrate The processing liquid in the peripheral edge portion of the upper surface moves toward the outer edge.
TW108125150A 2018-08-24 2019-07-17 Substrate processing apparatus, processing liquid and substrate processing method TWI721495B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018157133A JP7209494B2 (en) 2018-08-24 2018-08-24 SUBSTRATE PROCESSING APPARATUS, PROCESSING LIQUID AND SUBSTRATE PROCESSING METHOD
JP2018-157133 2018-08-24

Publications (2)

Publication Number Publication Date
TW202011501A TW202011501A (en) 2020-03-16
TWI721495B true TWI721495B (en) 2021-03-11

Family

ID=69592510

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125150A TWI721495B (en) 2018-08-24 2019-07-17 Substrate processing apparatus, processing liquid and substrate processing method

Country Status (5)

Country Link
JP (1) JP7209494B2 (en)
KR (1) KR102531469B1 (en)
CN (1) CN112514032A (en)
TW (1) TWI721495B (en)
WO (1) WO2020039784A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022178469A (en) * 2021-05-20 2022-12-02 株式会社Screenホールディングス Substrate processing method and substrate processing device
JP2023121003A (en) 2022-02-18 2023-08-30 東京応化工業株式会社 Rinse solution, substrate processing method and method for manufacturing semiconductor element

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150279708A1 (en) * 2014-03-25 2015-10-01 SCREEN Holdings Co., Ltd. Substrate treatment method and substrate treatment apparatus
US20160214148A1 (en) * 2015-01-23 2016-07-28 SCREEN Holdings Co., Ltd. Substrate processing method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09148231A (en) * 1995-11-16 1997-06-06 Dainippon Screen Mfg Co Ltd Rotary wafer processing apparatus
US5920455A (en) * 1997-05-01 1999-07-06 Wilson Greatbatch Ltd. One step ultrasonically coated substrate for use in a capacitor
JP2000097564A (en) * 1998-09-21 2000-04-04 Hitachi Ltd Substrate dryer and substrate dryer/cleaner
JP3811602B2 (en) * 2000-09-01 2006-08-23 大日本スクリーン製造株式会社 Substrate surface treatment method and substrate surface treatment apparatus
JP4019258B2 (en) * 2002-06-25 2007-12-12 日本電気株式会社 Method for producing copolymerized polymer film
WO2011007878A1 (en) * 2009-07-16 2011-01-20 Jx日鉱日石エネルギー株式会社 Diffraction grating, organic el element using same, and method for manufacturing said diffraction grating and organic el element
JP5765791B2 (en) * 2014-01-31 2015-08-19 Jx日鉱日石エネルギー株式会社 Method for producing transparent conductive substrate for solar cell
JP6566414B2 (en) * 2015-02-27 2019-08-28 株式会社Screenホールディングス Substrate processing method, substrate processing apparatus, and fluid nozzle
CN105675670A (en) * 2016-01-13 2016-06-15 杨炳 Electric vehicle charging station for resistance to water vapor corrosion
JP6671217B2 (en) * 2016-03-31 2020-03-25 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
KR102008566B1 (en) * 2016-05-24 2019-08-07 가부시키가이샤 스크린 홀딩스 Substrate processing apparatus and substrate processing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150279708A1 (en) * 2014-03-25 2015-10-01 SCREEN Holdings Co., Ltd. Substrate treatment method and substrate treatment apparatus
US20160214148A1 (en) * 2015-01-23 2016-07-28 SCREEN Holdings Co., Ltd. Substrate processing method

Also Published As

Publication number Publication date
WO2020039784A1 (en) 2020-02-27
CN112514032A (en) 2021-03-16
JP7209494B2 (en) 2023-01-20
KR102531469B1 (en) 2023-05-11
JP2020031172A (en) 2020-02-27
TW202011501A (en) 2020-03-16
KR20210043665A (en) 2021-04-21

Similar Documents

Publication Publication Date Title
TWI702987B (en) Substrate processing apparatus and substrate processing method
CN107026105B (en) Substrate processing apparatus and substrate processing method
JP6811619B2 (en) Substrate processing method and substrate processing equipment
TWI666688B (en) Substrate processing method and substrate processing apparatus
WO2015146546A1 (en) Substrate processing device and substrate processing method
US10818521B2 (en) Substrate processing apparatus, substrate processing method, and storage medium
TWI740095B (en) Substrate processing method and substrate processing apparatus
TW201929077A (en) Substrate processing method and substrtae processing apparatus
JP7122911B2 (en) Substrate processing method and substrate processing apparatus
TWI721495B (en) Substrate processing apparatus, processing liquid and substrate processing method
TWI708339B (en) Substrate processing method and substrate processing device
TWI672738B (en) Substrate processing method and substrate processing apparatus
CN107799441B (en) Substrate processing method
WO2015141468A1 (en) Substrate processing method and substrate processing apparatus
TWI667076B (en) Substrate processing method and substrate processing apparatus
TWI743585B (en) Substrate processing method and substrate processing device
TWI734320B (en) Substrate processing method and substrate processing apparatus
JP6771080B2 (en) Substrate processing equipment and substrate processing method
JP6405259B2 (en) Substrate processing apparatus and substrate processing method