TWI719638B - 液體輸送設備、液體輸送及蒸發方法、以及半導體製造系統 - Google Patents

液體輸送設備、液體輸送及蒸發方法、以及半導體製造系統 Download PDF

Info

Publication number
TWI719638B
TWI719638B TW108133326A TW108133326A TWI719638B TW I719638 B TWI719638 B TW I719638B TW 108133326 A TW108133326 A TW 108133326A TW 108133326 A TW108133326 A TW 108133326A TW I719638 B TWI719638 B TW I719638B
Authority
TW
Taiwan
Prior art keywords
liquid
fluid
container
telescopic tube
pressure
Prior art date
Application number
TW108133326A
Other languages
English (en)
Other versions
TW202017004A (zh
Inventor
楊信龍
官志達
彭垂亞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202017004A publication Critical patent/TW202017004A/zh
Application granted granted Critical
Publication of TWI719638B publication Critical patent/TWI719638B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01BBOILING; BOILING APPARATUS ; EVAPORATION; EVAPORATION APPARATUS
    • B01B1/00Boiling; Boiling apparatus for physical or chemical purposes ; Evaporation in general
    • B01B1/005Evaporation for physical or chemical purposes; Evaporation apparatus therefor, e.g. evaporation of liquids for gas phase reactions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D1/00Evaporating
    • B01D1/0011Heating features
    • B01D1/0017Use of electrical or wave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D1/00Evaporating
    • B01D1/0082Regulation; Control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/34Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping with one or more auxiliary substances
    • B01D3/343Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping with one or more auxiliary substances the substance being a gas
    • B01D3/346Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping with one or more auxiliary substances the substance being a gas the gas being used for removing vapours, e.g. transport gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭露一些實施例提供一種將液體輸送到下游製程的液體輸送設備以及方法。所述液體輸送設備可包括配置以保持液體的容器;以及流體連接容器以從容器接收流體以及流體連接下游製程以輸送流體的伸縮管。伸縮管暴露於恆定外界壓力,並配置以在伸縮管停止從容器接收流體時,在恆定外界壓力下輸送流體。在一些實施例中,恆定外界壓力係為大氣壓力。所述伸縮管包括可經受壓力而變形的材料。所述液體輸送設備更包括蒸發器,配置以接收流體並蒸發流體以製造蒸氣;以及一或多個化學氣相沉積腔室,配置以接收蒸氣並保持基板,用以將蒸氣之成分沉積在基板上。

Description

液體輸送設備、液體輸送及蒸發方法、以及半導體製造系統
本揭露實施例係關於一種液體輸送設備、一種液體輸送及蒸發方法、以及一種半導體製造系統。
半導體積體電路(integrated circuit,IC)工業經歷了指數增長。積體電路材料及設計的技術改進已產生了數個世代的積體電路,每一世代的積體電路都具有比上一世代更小及更複雜的電路。在積體電路進化過程中,功能密度(單位晶片面積的互聯裝置數量)通常隨著幾何尺寸(使用製造製程可以創建的最小元件或線)下降而增加。這種微縮化的過程通常可提高生產效率和降低相關成本。
本揭露一些實施例提供一種液體輸送設備,用以將液體輸送到下游製程。在一些實施例中,液體輸送設備可包括配置以保持液體的容器;以及流體連接容器以從容器接收流體以及流體連接下游製程以輸送流體的伸縮管。在一些實施例中,伸縮管暴露於恆定外界壓力,並配置以在伸縮管停止從容器接收流體時,在恆定外界壓力下輸送流體。
本揭露一些實施例亦提供一種液體輸送及蒸發方法。所述方法可包括將液體充入容器、將流體從容器輸送到伸縮管,伸縮管係配置以當伸縮管停止從容器接收流體時,在恆定外界壓力下進行變形,而將流體排出到蒸發器、以及在蒸發器中蒸發流體以形成蒸氣。
本揭露一些實施例還提供一種半導體製造系統。所述半導體製造系統可包括配置以保持流體的容器、流體連接容器以從容器接收流體以及流體連接下游製程以輸送流體的伸縮管、配置以接收流體以及製造蒸氣的蒸發器、配置以接收蒸氣並保持基板的一或多個化學氣相沉積腔室,以將蒸氣之成分沉積在基板上、以及配置以連接容器、伸縮管、蒸發器、以及一或多個化學氣相沉積腔室的一或多個管道。在一些實施例中,伸縮管暴露於恆定外界壓力,並配置以在伸縮管停止從容器接收流體時,在恆定外界壓力下輸送流體。
應理解的是,以下公開許多不同的實施方法或是範例來實行所提供之標的之不同特徵,以下描述具體的元件及其排列的實施例以闡述本發明。當然這些實施例僅用以例示,且不該以此限定本發明的範圍。舉例來說,在說明書中提到第一特徵部件形成於第二特徵部件之上,其包括第一特徵部件與第二特徵部件是直接接觸的實施例,另外也包括於第一特徵部件與第二特徵部件之間另外有其他特徵的實施例,亦即,第一特徵部件與第二特徵部件並非直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本發明,不代表所討論的不同實施例及/或結構之間有特定的關係。
此外,其中可能用到與空間相關用詞,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
本文所使用的用詞「名義上(nominal)」是指在產品或製程的設計階段期間所設定的組件或製程操作的特徵或參數的期望值或目標值、以及高於及/或低於期望值的數值。上述數值的範圍通常是由於生產製程或公差的微小變化而造成。
本文所使用的用詞「垂直」代表名義上垂直於基板的表面。
本文所用的用詞「實質上」表示可以基於與目標半導體裝置相關的特定技術節點而變化的特定數量的值。基於特定技術節點,用詞「實質上」可以表示給定數量的值,其在如目標(或預期)數值的±5%內變化。
本文所用的用詞「約」表示可以基於與目標半導體裝置相關的特定技術節點而變化的特定數量的值。基於特定技術節點,用詞「約」可以表示給定數量的值,其在如數值的10-30%內變化(如數值的±10%、±20%或±30%)。
可在半導體裝置的生產過程中形成膜(例如半導體膜、絕緣膜和金屬膜)。形成膜的製程包括可以使用光能或熱能的化學氣相沉積(chemical vapor deposition,CVD)。根據所述沉積製程,將製程材料置於化學氣相沉積蒸氣的環境中,例如有機金屬化合物。吸附在材料上的化學氣相沉積蒸氣藉由曝曬於光能或熱能而分解。因此可以在所述材料的表面上形成膜(例如半導體膜、介電膜、或金屬膜)。化學氣相沉積製程的關鍵元件之一包括液體輸送和蒸發設備,其將液體前驅物蒸發成化學氣相沉積蒸氣的形式,並將化學氣相沉積蒸氣輸送到化學氣相沉積腔室。當化學氣相沉積蒸氣與在化學氣相沉積腔室中加熱的基板接觸時,化學氣相沉積蒸氣在基板上分解(發生反應)並形成膜。藉由化學氣相沉積製程形成的膜的品質可取決於沉積製程中使用的液體輸送和蒸發設備的結構和性能。希望在化學氣相沉積製程中供應的化學氣相沉積蒸氣的流動是穩定和可靠的,以精確地控制蒸氣的濃度。
本揭露係關於一種液體輸送和蒸發設備。這種設備可以整合在但不限於各種化學氣相沉積系統中,例如常壓化學氣相沉積(atmospheric pressure CVD)、低壓化學氣相沉積(low-pressure CVD)、超高真空化學氣相沉積(ultrahigh vacuum CVD)、電漿增強化學氣相沉積(plasma-enhanced CVD)、原子層沉積(atomic layer deposition,ALD)、和金屬有機化學氣相沉積(metallo-organic CVD)系統。具體來說,根據一些實施例,液體輸送和蒸發設備包括作為緩衝的伸縮管結構,其可以整合到化學氣相沉積系統的氣體管線中以維持所輸送的材料(例如化學氣相沉積蒸氣)的流動。伸縮管結構的優點在於改善了膜的均勻性和品質等。
本揭露的設備和方法可用於輸送化學氣相沉積蒸氣,以藉由薄膜沉積材料的方式而製造半導體裝置。液體輸送和蒸發設備可以輔助蝕刻和沉積變得均勻,從而改善膜的品質。儘管在化學氣相沉積製程的背景下對所述設備進行描述,但是所述設備可以應用在其他沉積製程。
第1圖示出液體輸送和蒸發設備100的示意圖。液體輸送和蒸發設備100可包括用於保持待輸送液體的容器102、從容器102接收液體並且配置以響應於內部壓力與外部壓力(例如伸縮管106所暴露的大氣壓力)之間的差異而使液體排出的伸縮管106、第一閥108、第二閥110、基於從伸縮管106接收的液體產生蒸氣的蒸發器112、化學氣相沉積腔室114、和用於連接上述元件的一或多個管道104。在一些實施例中,伸縮管106與容器102流體連接以從容器102接收液體,並與下游製程進行流體連接以輸送液體。在一些實施例中,伸縮管106暴露於一恆定外部壓力並且配置以當伸縮管106停止從容器102接收液體時,在恆定外部壓力下輸送液體。在一些實施例中,所述恆定外部壓力是大氣壓力。
在一些實施例中,容器102可包括化學品補充系統(chemical refill system)。容器102可以構造成具有圓柱形、矩形、或其他合適的設計。在一些實施例中,液體105位在可動柱塞107下方的容器102的下部。可以將壓力引入容器102的上腔室中並傳送到可動柱塞107,從而加壓液體105。液體105通過進料管103和排出口109流出容器。
在一些實施例中,伸縮管106從容器102接收液體105。伸縮管106的內部容積可由伸縮管106名義上的直徑和長度定義。在一些實施例中,伸縮管106可包括可變形材料。在一些實施例中,當伸縮管106停止從容器102接收液體時,伸縮管106可配置以在恆定外部壓力(例如大氣壓力)下發生變形而將液體排放到蒸發器112。伸縮管106可能會受到流過它的液體和外部環境的腐蝕。根據一些實施例,可以從一系列能夠抵抗待輸送液體的材料中選擇用於伸縮管106的可變形材料。伸縮管106還可包括流體不可滲透 (fluid-impermeable)的材料。伸縮管106可包括但不限於不銹鋼、青銅、鎳銅合金、碳鋼和銅基(copper-based)的材料。在一些實施例中,伸縮管106可包括高分子材料,包括但不限於聚異戊二烯(polyisoprene)、聚丁二烯(polybutadiene)、氯丁橡膠(chloroprene rubber)、聚氯丁二烯(polychloroprene)、氯丁橡膠(neoprene)、海灣膠(baypren)、丁基橡膠(butyl rubber)、鹵化丁基橡膠(halogenated butyl rubbers)、苯乙烯-丁二烯橡膠(styrene-butadiene rubber)、丁腈橡膠(nitrile rubber)、乙丙橡膠(ethylene propylene rubber)、乙烯丙烯二烯橡膠(ethylene propylene diene rubber)、環氧氯丙烷橡膠(epichlorohydrin rubber)、聚丙烯酸橡膠(polyacrylic rubber)、矽橡膠(silicone rubber)、氟矽橡膠(fluorosilicone rubber)、含氟彈性體(fluoroelastomers)、氟橡膠(viton)、特氟芬(tecnoflon)、氟樹脂(fluorel)、全氟彈性體(perfluoroelastomers)、聚醚-聚醯胺嵌段共聚物(polyether block amides)、氯磺化聚乙烯(chlorosulfonated polyethylene)、和乙烯-乙酸乙烯酯(ethylene-vinyl acetate)。
參考第2A圖和第2B圖,其係在擴張模式(第2A圖)和壓縮模式(第2B圖)的伸縮管106的示意圖。大氣壓力約為14.6psi。第2A圖示出當液體輸送和蒸發設備在正常作業狀態時,處於擴張模式的伸縮管106。舉例來說,在正常的作業條件下,液體105從容器102泵送到伸縮管106,其中伸縮管106可具有等於大氣壓力的約14.6psi的內部壓力。第2B圖示出當液體輸送和蒸發設備在異常作業狀態時,處於壓縮模式的伸縮管106。舉例來說,在異常作業狀態下可能會發生容器102停止供應液體105,並導致化學氣相沉積和蝕刻製程未完成的故障事件。當容器102停止供應液體105時,伸縮管106的內部壓力降低。在一些實施例中,所述內部壓力可降低至小於14.6psi。在一些實施例中,內部壓力可降低至約0psi。恆定外部壓力(例如約為14.6psi的大氣壓力)可加壓伸縮管並使伸縮管106中的液體排出。在一些實施例中,當伸縮管的內部壓力小於恆定外部壓力時,伸縮管106的內部容積減小。在一些實施例中,所述恆定外部壓力是大氣壓力(例如約14.6psi)。
伸縮管106可以設計成具有大於單次製程運行(single process run)所需的液體的內部容積。在一些實施例中,伸縮管106的內部容積為約5mL至約900mL或約10mL至約100mL。在一些實施例中,伸縮管106的直徑在約0.5cm和約10cm之間或在約0.5cm和約1cm之間。在一些實施例中,伸縮管106的直徑約為0.6cm。在一些實施例中,內部容積的減少量大於單次製程運作所需的液體體積。
在一些實施例中,從伸縮管106排出的液體為約1mL至約500mL或約10mL至約20mL。在一些實施例中,從伸縮管106排出的液體可以為下游應用(例如化學氣相沉積製程)供應一次完整的製程運行。在一些實施例中,從伸縮管106排出的液體可以為下游應用(例如化學氣相沉積製程)供應一次以上的完整製程運行。
在一些實施例中,伸縮管106可包括固定的第一端106A 和可撓的第二端106B 。固定的第一端106A 可以安裝在液體輸送和蒸發設備的管道的連接點(tie-in point)處,而可撓的第二端106B 可以藉由機械力在軸向方向上朝固定的第一端106A自由地移動。所述連接點是指在現有的管道上用於與新管道進行連接的位置。在一些實施例中,所述機械力係由伸縮管106的內部壓力與伸縮管106的外部壓力(例如大氣壓力)之間的壓力差所產生。
在一些實施例中,液體輸送和蒸發設備中可包括用於調節從伸縮管106排出的液體的流速的液體流速控制裝置(圖中未示出),以產生受控的液體流。在一些實施例中,液體流動控制裝置可為彈性的連接元件,例如整合在伸縮管上的壓縮彈簧。當伸縮管106的內部壓力降低,並且伸縮管106中的液體藉由在大氣壓力下對伸縮管106進行壓縮而排出時,彈性的連接元件可以對施加到伸縮管106的力(例如由內部壓力和恆定外界壓力之間的壓力差造成的力)進行線性控制,並允許對伸縮管106內的液體施加恆定的壓力,從而實現受調節的液體流動。藉由對伸縮管106的壓力進行調節,可以精確且可靠地獲得具有所需流速的調節流量。根據一些實施例,所述設備還可以包括可選的流量調節器,以對具有期望流速的液體進行計量,而與所述伸縮管的壓縮無關。
液體輸送和蒸發設備還可包括各種控制閥,以控制和調節液體輸送和蒸發設備中的液體流動。這些閥可以響應於獨立的裝置(如流量計或溫度計)產生的訊號。在一些實施例中並參考第1圖,所述閥可包括用於工程氣體管線維護的第一閥108(例如手柄閥(handle valve))和用於處理和控制化學品供應的第二閥110(例如自動閥(auto valve)或氣動閥(pneumatic valve))。第一閥108(例如手柄閥)可以設計成允許工程師對氣體管線隔離進行手動控制。當液體輸送和蒸發設備在液體輸送和蒸發製程中時可以打開第一閥108(例如手柄閥),並且在維護氣體管線時(例如泵出化學品、檢查洩漏或更換氣體管線元件時)可以關閉第一閥108。可以基於製程配方而將第二閥110(例如自動閥或氣動閥)設定為開啟或關閉。當液體輸送和蒸發設備在液體輸送和蒸發製程中時可以打開第二閥110(例如自動閥或氣動閥),並且第二閥110還可以調節設備中的液體流動。
在一些實施例中,液體輸送和蒸發設備還可包括計量閥(dosing valve)、減壓閥(pressure reducing valve)、釋壓閥(pressure relief valve)、隔膜閥(diaphragm valve)、高真空自動閥(high vacuum auto valve)、氣動閥(pneumatic valve)、可變洩漏閥(variable leak valve)、或上述的組合。控制閥可裝配有致動器和定位器。氣動致動閥和隔膜閥可用於進行控制。也可用角行程閥(Quarter-turn valves,例如修飾後的球閥(ball valve)和蝶閥(butterfly valve))以隔離或調節液體的流動。控制閥可以包括液壓致動器,其可以響應壓力或流量的變化並且可以在不使用外部電源的情況下打開/關閉所述閥。
液體輸送和蒸發設備可包括用於接收和蒸發液體105的蒸發器112。可以在沒有載氣的情況下進行蒸發,或者蒸發器112可以包括用於引入載氣以使蒸氣(例如化學氣相沉積蒸氣)和載氣(例如氬氣)形成混合物的裝置。可以通過蒸發器112的惰性載氣入口(圖中未示出)引入載氣。
蒸發器112可配備有至少一個用於液體105的入口和用於蒸發的氣體的出口。在一些實施例中,蒸發器112可具有球形、橢圓形、圓筒形、圓柱形、圓錐形、截圓錐形(truncated cone)、半球形或其組合的形狀。在一些實施例中,可以根據液體的類型和供應量、蒸發氣體的濃度、和其他的操作條件,將蒸發器112加熱到所需溫度。可以使用纏繞在蒸發器112周圍的帶狀加熱器、具有覆蓋蒸發器112的形狀的塊狀加熱器、或藉由熱空氣或液體熱介質的循環以對蒸發器112進行加熱。在一些實施例中,蒸發器112的溫度根據下游應用(例如化學氣相沉積製程)的操作條件而有所不同。在一些實施例中,所述溫度介於約40℃至約250℃之間。
液體輸送和蒸發設備還可包括各種元件,以根據不同的下游製程接收蒸氣。舉例來說,液體輸送和蒸發設備還可包括化學氣相沉積腔室114,以從蒸發器112接收蒸氣。化學氣相沉積腔室114可適於保持基板以使用蒸氣而沉積出膜。在一些實施例中,化學氣相沉積腔室114可為冷壁系統(cold-wall system)。在所述冷壁系統中,可以藉由內部支撐元件的電感耦合(inductive coupling)、輻射加熱、或直接電阻加熱以加熱基板。在這些系統中,基板可以位在真空腔室內,並且感應線圈可以佈置在真空腔室的外部。基板可以安裝在適於藉由射頻(radio frequency,RF)能量加熱的平台上。藉由對基板局部加熱,可以將化學氣相沉積製程引導到被加熱的區域。
在一些實施例中,化學氣相沉積腔室114可為熱壁系統(hot-wall system)。熱壁系統依賴於佈置為產生加熱反應和沉積區域的輻射加熱元件。熱壁系統亦可使用傳導和對流的加熱方法。在一些實施例中,液體輸送和蒸發設備可包括多個化學氣相沉積腔室(例如四個化學氣相沉積腔室)。
在一些實施例中,化學氣相沉積腔室114是提供共形(conformal)薄膜沉積的原子層沉積腔室。原子層沉積製程的特徵在於依序交替暴露與基板反應的化學前驅物。在原子層沉積製程中,藉由液體輸送和蒸發設備在一段預定的時間內將第一液體(例如第一前驅物)脈衝到反應腔室中,以允許第一前驅物與基板表面反應。隨後,用惰性氣體吹掃反應腔室,以去除任何未反應的前驅物和反應副產物。然後藉由液體輸送和蒸發設備將第二液體(例如第二前驅物)脈衝到反應腔室中,以與反應的第一前驅物反應並形成所需材料的一或多個原子層。然後循環所述製程,直至達到所需的膜厚度。在原子層沉積製程的期間,伸縮管(例如伸縮管106)可以作為緩衝系統以確保每種前驅物完全且可靠地沉積。
在一些實施例中,液體輸送和蒸發設備可進一步包括緩衝槽(圖中未示出)。緩衝槽可具有與蒸發器(例如蒸發器112)實質上相同的尺寸和形狀。可以用加熱器(block heater)覆蓋蒸發器和緩衝槽,以將這些元件加熱到所欲的溫度。蒸發器可將蒸氣通過緩衝槽而供應到化學氣相沉積腔室。
在一些實施例中,液體輸送和蒸發設備還可包括用於監測容器102中的液體105的壓力的監測裝置。在一些實施例中,監測裝置可包括可在容器102的內部壓力處於異常作業狀態時發送聲音或視覺訊號的警報器。在一些實施例中,伸縮管106可以使用大氣壓力以繼續排出液體105(在伸縮管106中),以在警報器發送訊號時完成製程的運行。
第3圖是根據一些實施例的用於輸送和蒸發液體的方法300的流程圖。應理解的是,方法300中示出的步驟並非限制性的,並且可以在所示的任何操作之前、之後、或之間執行其他的操作。可以藉由第1圖的液體輸送和蒸發設備100以執行方法300的操作。
參考第3圖,方法300從將液體(例如液體105)充入容器(例如容器102)之中的操作302開始。操作302可包括用一種或多種液體(例如化學氣相沉積前驅物)充入容器中。可以藉由手動方式或自動化學品補充系統來達成所述充入操作。
化學氣相沉積的前驅物可包括鹵化物(halides)、羰基化合物(carbonyls)、氫化物(hydrides)、和金屬有機物(metallo-organics)。鹵化物中的鹵素可包括氟、氯、溴、和碘。也可以使用金屬羰基化合物(metal carbonyls)。所述金屬羰基化合物可包括過渡金屬,包括第一(3d族)過渡金屬(Sc、Ti、V、Cr、Mn、Fe、Co、和Ni)、第二(4d族)過渡金屬(Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、和Ag) 、和第三(5d族)過渡金屬(Hf、Ta、W、Re、Os、Ir、Pt、和Au)。在一些實施例中,金屬羰基化合物可包括羰基鎳(nickel carbonyl,Ni(CO)4 )和羰基鐵(iron carbonyl,Fe(CO)5 )。在一些實施例中,金屬羰基化合物可包括羰基鹵化物(carbonyl halides)和羰基-一氧化氮的錯合物(carbonyl-nitricoxide complexes)。可使用氫化物以沉積單一元素,例如硼或矽。氫化物還可以與金屬有機物結合以形成III-V族和II-VI族半導體化合物。在一些實施例中,氫化物可包括IIIb、IVb、Vb、和VIb族的元素。在一些實施例中,氫化物可包括砷化氫(arsine)、乙硼烷(diborane)、鍺烷(germane)、氨、磷化氫(phosphine)、硫化氫(hydrogen sulfide)、銻、硒化氫(hydrogen selenide)、矽烷(silane,SiH4 )和碲化氫(hydrogen telluride)。金屬有機物可包括IIa、IIb、IIIb、IVb、Vb、和VIb族的非過渡金屬。因此,鹵化物和羰基化合物形成金屬有機物,其中所述鹵化物和羰基化合物是過渡金屬(Iva、Va、和VIa族)及其化合物沉積的前驅物。金屬有機物可包括元素的化合物,例如矽、磷、砷、硒、和碲。金屬有機物還可包括烷基(alkyl)、脂環族(alicyclic)和芳基(aryl)化合物。
方法300進行到將液體從容器(例如容器102)輸送到可經受壓力而變形的伸縮管(例如伸縮管106)和蒸發器(例如蒸發器112) 的操作304。可經受壓力而變形的伸縮管係配置以藉由在伸縮管停止從容器接收液體時,使伸縮管在一恆定外界壓力下變形,以將液體排放到蒸發器。在一些實施例中,所述恆定外部壓力是大氣壓力。在操作304中,將壓力引入容器中,並迫使液體流過可經受壓力而變形的伸縮管。可經受壓力而變形的伸縮管可包括可經受壓力而變形的材料,例如不銹鋼。在正常的作業狀態期間,所述容器可以藉由處於擴張模式的伸縮管而提供連續的液體進料。在異常的作業狀態期間會發生液體進料不足或無液體進料的故障事件,例如容器(例如液體化學品補充系統)在化學氣相沉積和蝕刻的循環未完成時即停止供應液體。由於停止供應液體,伸縮管的內部壓力降低。在一些實施例中,內部壓力小於14.6psi(例如大氣壓力)。在一些實施例中,內部壓力為約0psi。恆定外部壓力(例如大氣壓力)可壓縮伸縮管並使液體從伸縮管排出。從伸縮管排出的液體可以提供完成未完成的製程運行所需的液體。
方法300進行到將液體蒸發以形成蒸氣的操作306。操作306可包括使用蒸發器(例如蒸發器112)產生蒸氣。在一些實施例中,蒸發製程可包括在蒸發器(蒸發腔室)中將液體朝向噴射器(例如汽車噴射器)噴射。在一些實施例中,可以進行「純淨的(neat)」蒸發操作,或者蒸發操作可以包括將載氣引入蒸發器以形成蒸氣(例如化學氣相沉積蒸氣)和載氣(例如氬氣)的混合物的裝置。載氣可以通過蒸發器的惰性載氣入口而引入蒸發器中。載氣可包括氫氣和例如氬氣的惰性氣體。載氣作為載體以增強表面反應並提高反應速率,從而增加沉積的效果。
方法300進行到藉由如化學氣相沉積的沉積製程將蒸氣沉積在基板上的操作308。在操作308期間,將含有化學氣相沉積前驅物的蒸氣引入化學氣相沉積腔室(例如化學氣相沉積腔室114)中。將晶圓(基板)暴露於蒸氣(揮發性前驅物),所述蒸氣在基板表面上反應及/或分解以產生所需的膜。在一些實施例中,還產生可藉由通過化學氣相沉積腔室的氣流除去的揮發性副產物。在一些實施例中,在將蒸氣沉積在基板上之前,可以將載氣與蒸氣進行混合。
應理解的是,所述液體輸送設備可以與蒸發器一起使用或不與蒸發器一起使用。並且本文描述的實施例可以與其他的製造系統結合,例如使用多個腔室的半導體製造系統。參考第4圖,根據一些實施例示出了半導體製造系統400。半導體製造系統400具有以聚集形式配置多個反應腔室。所述多個反應腔室可用於沉積各種層,例如介電層、阻障層、半導體層、黏合層、抗反射層和任何其它合適的層。每個反應腔室可以連接到如本揭露中所述的相應的液體輸送和蒸發設備。或者如果在不同的腔室中使用相同的蒸氣,則所述多個反應腔室可以連接到單個液體輸送和蒸發設備。具有伸縮管結構和聚集配置之多個反應腔室的液體輸送和蒸發設備可以依序沉積薄膜,而不會在沉積製程之間將基板暴露於周圍的環境條件。舉例來說,在正常的作業條件下,液體前驅物從容器(例如第1圖中的容器102)泵送到伸縮管(例如第1圖中的伸縮管106)並流過伸縮管和管道(例如第1圖中的管道104)以進入蒸發器(第1圖中的蒸發器112)中。液體前驅物可以在蒸發器中蒸發以形成化學氣相沉積蒸氣,其隨後可以被輸送到化學氣相沉積腔室(例如阻障層沉積腔室403、n型功函數層(n-type work function layer)沉積腔室405和p型功函數層(p-type work function layer)沉積腔室407)。伸縮管可具有等於大氣壓力的約14.6psi的內部壓力。在異常的作業條件下,可能會發生容器停止供應液體前驅物而導致伸縮管的內部壓力降低的故障。在一些實施例中,內部壓力可降低至小於14.6psi。在一些實施例中,內部壓力可降低至約0psi。恆定外部壓力(例如約14.6psi的大氣壓力)可壓縮伸縮管並排出伸縮管中的液體前驅物,且將液體前驅物輸送到蒸發器中以進行蒸發。在一些實施例中,在蝕刻或沉積製程的期間,化學氣相沉積腔室的腔室壓力可以保持在約1Torr和約20Torr之間。
如第4圖所示,半導體製造系統400可包括兩個加載鎖定腔室410和420、兩個脫氣腔室430和440、介電質沉積腔室401、阻障層沉積腔室403、n型功函數層沉積腔室405、和p型功函數層沉積腔室407。應理解的是,第4圖中所示的腔室配置並非限制性的,並且可以將更多或更少的腔室整合在所示的半導體製造系統中。
加載鎖定腔室410和420配置成接收從裝載端口402傳送的晶圓。當晶圓在裝載端口402以及加載鎖定腔室410或420之間傳送時,加載鎖定腔室410和420進行排氣,直到壓力等於裝載端口402的壓力。當將晶圓從加載鎖定腔室410或420傳送到半導體製造系統400中的其中一個腔室時,加載鎖定腔室410和420降壓到真空或實質上接近真空的壓力。在一些實施例中,半導體製造系統400可包括至少一個機械裝置(例如機械手臂404),以將晶圓從抽真空的加載鎖定腔室410或420傳送到其中一個反應腔室。半導體製造系統400還可以包括用於活化(activate)和去除氣體及/或液體物質(例如來自基板的水分和氧氣)以防止可能導致沉積失敗的薄膜特性的變化的脫氣腔室430和440。
在一些實施例中,介電質沉積腔室401連接到裝載有前驅物的液體輸送和蒸發設備,用於高介電常數介電質(high-k dielectric)生長。舉例來說,可藉由液體輸送和蒸發設備將前驅物(如四氯化鉿(HfCl4 )、水(H2 O)和任何其它合適的前驅物)提供到介電質沉積腔室401。
在一些實施例中,阻障層沉積腔室403連接到裝載有前驅物的液體輸送和蒸發設備,用於阻障層生長。舉例來說,阻障層沉積腔室403可以藉由液體輸送和蒸發設備裝載有用於多次循環沉積和金屬鹵化物蝕刻製程的前驅物,以形成具有改進的階梯覆蓋(step coverage)的共形阻障層。阻障層沉積腔室403在金屬鹵化物蝕刻過程中可以在有或無激發的電漿的條件下進行操作。在一些實施例中,阻障層沉積腔室403可為原子層沉積腔室,其亦可執行原位(in-situ)金屬鹵化物蝕刻製程。在一些實施例中,阻礙層沉積腔室403可以保持在室溫(約20℃至約25℃)和約200℃之間或保持在約200℃至約1000℃之間的溫度。在一些實施例中,在金屬鹵化物蝕刻製程期間,阻障層沉積腔室403可以保持在約1Torr至約20Torr的腔室壓力下。在一些實施例中,阻障層沉積腔室403可以連接到用於前驅物的液體輸送和蒸發設備,所述前驅物例如為WClx 、WFx 、TaClx 、TiClx 、NH3 、PDMAT及/或任何其他合適的前驅物,其中x值可以介於約1和約6之間。
在一些實施例中,n型功函數層沉積腔室405連接到裝載有前驅物的液體輸送和蒸發設備,用於沉積n型功函數層。舉例來說,n型功函數層沉積腔室405可以藉由液體輸送和蒸發設備裝載有用於多循環沉積製程和金屬鹵化物蝕刻製程的前驅物,以形成n型功函數層。在一些實施例中,n型功函數層沉積腔室405可為原子層沉積腔室,其也可以執行原位金屬鹵化物蝕刻製程。在一些實施例中,n型功函數層沉積腔室405可以保持在室溫(約20℃至約25℃)和約200℃之間、或約200℃至約1000℃之間的溫度下。在一些實施例中,在金屬鹵化物蝕刻製程期間,n型功函數層沉積腔室405可保持在約1Torr至約20Torr的腔室壓力下。在一些實施例中,n型功函數層沉積腔室405可以連接到用於前驅物的液體輸送和蒸發設備,所述前驅物例如為WClx 、SnClx 、NbClx 、MoClx 、TEA及/或任何其他合適的前驅物,其中x值可以介於約1和約6之間。
在一些實施例中,p型功函數層沉積腔室407連接到裝載有前驅物的液體輸送和蒸發設備,用於沉積p型功函數層。舉例來說,p型功函數層沉積腔室407可以藉由液體輸送和蒸發設備裝載有用於多循環沉積製程和金屬鹵化物蝕刻製程的前驅物,以形成p型功函數層。在一些實施例中,p型功函數層沉積腔室407可為原子層沉積腔室,其也可以執行原位金屬鹵化物蝕刻製程。在一些實施例中,p型功函數層沉積腔室407可以保持在室溫(約20℃至約25℃)和約200℃之間或保持在約200℃至約1000℃之間的溫度下。在一些實施例中,在金屬鹵化物蝕刻製程期間,p型功函數層沉積腔室407可以保持在約1Torr至約20Torr的腔室壓力下。在一些實施例中,p型功函數層沉積腔室407可以連接到用於前驅物的液體輸送和蒸發設備,所述前驅物例如為WClx 、SnClx 、NbClx 、MoClx 、TEA及/或任何其他合適的前驅物,其中x值可以介於約1和約6之間。
在一些實施例中,可以包括冷卻腔室,以允許晶圓在各種薄膜生長製程之間以適當的冷卻速率降溫到期望的溫度,而不暴露於環境條件。在一些實施例中,半導體製造系統400中可以包括額外的腔室(例如金屬層沉積腔室),以沉積用於形成半導體結構的任何合適的材料。
在本揭露中描述了使用半導體製造系統400的化學氣相沉積製程。在一些實施例中,首先可以使用機械手臂404將基板從加載鎖定腔室410及/或420轉移到脫氣腔室430或440。然後可以將晶圓送入高介電常數介電質沉積腔室401中以沉積介電層。在一些實施例中,在形成高介電常數介電層之後,可以將晶圓從介電質沉積腔室401轉移到阻障層沉積腔室403以生長阻障層。在一些實施例中,阻障層是可選的,並且晶圓可以從介電質沉積腔室401轉移到n型功函數層沉積腔室405,以沉積n型功函數層。在沉積n型功函數層之後,可以將晶圓傳送到p型功函數層沉積腔室407,以沉積p型功函數層。或者可以將晶圓從介電質沉積腔室401傳送到p型功函數層沉積腔室407,以沉積p型功函數層。在一些實施例中,然後可以使用機械手臂404將晶圓放置在加載鎖定腔室410和420處,以將真空水平提升到與裝載端口402相當的水平,然後再將晶圓轉移到裝載端口402並取出以進行進一步的半導體製程。
根據本揭露的各種實施例,具有用於半導體製造中的液體輸送和蒸發的可經受壓力而變形的伸縮管的設備提供下述優點:(i)使用大氣壓力作為外力來壓縮伸縮管以連續提供化學品,而無需使用外部的能量源,從而降低成本、(ii)當停止供應液體化學品時,在故障事件期間提供完整的化學品運行、(iii) 化學沉積製程的可靠度由於緩衝結構(伸縮管)而變得更高。
本揭露一些實施例提供一種液體輸送設備,用以將液體輸送到下游製程。在一些實施例中,液體輸送設備可包括配置以保持液體的容器;以及流體連接容器以從容器接收流體以及流體連接下游製程以輸送流體的伸縮管。在一些實施例中,伸縮管暴露於恆定外界壓力,並配置以在伸縮管停止從容器接收流體時,在恆定外界壓力下輸送流體。在一些實施例中,恆定外界壓力係為大氣壓力。在一些實施例中,伸縮管包括可經受壓力而變形的材料。在一些實施例中,伸縮管之內部壓力小於恆定外界壓力時,伸縮管之內部容積降低。
在一些實施例中,可經受壓力而變形的材料包括不鏽鋼。在一些實施例中,可經受壓力而變形的材料係為流體不可滲透的材料。在一些實施例中,內部容積的降低量大於單次製程運行所需的液體的體積。在一些實施例中,伸縮管包括固定的第一端以及可撓的第二端。在一些實施例中,當內部壓力小於恆定外界壓力時,可撓的第二端朝固定的第一端移動。在一些實施例中,所述液體輸送設備更包括液體流速控制裝置,配置以調節從伸縮管排出的液體之流速。在一些實施例中,所述液體輸送設備更包括蒸發器,配置以接收流體並蒸發流體以製造蒸氣。
本揭露一些實施例亦提供一種液體輸送及蒸發方法。所述方法可包括將液體充入容器、將流體從容器輸送到伸縮管,伸縮管係配置以當伸縮管停止從容器接收流體時,在恆定外界壓力下進行變形,而將流體排出到蒸發器、以及在蒸發器中蒸發流體以形成蒸氣。
在一些實施例中,所述液體輸送及蒸發方法更包括藉由化學氣相沉積而將蒸氣沉積在基板上。在一些實施例中,所述液體輸送及蒸發方法更包括在將蒸氣沉積在基板上之前將蒸氣與載氣混合。
本揭露一些實施例還提供一種半導體製造系統。所述半導體製造系統可包括配置以保持流體的容器、流體連接容器以從容器接收流體以及流體連接下游製程以輸送流體的伸縮管、配置以接收流體以及製造蒸氣的蒸發器、配置以接收蒸氣並保持基板的一或多個化學氣相沉積腔室,以將蒸氣之成分沉積在基板上、以及配置以連接容器、伸縮管、蒸發器、以及一或多個化學氣相沉積腔室的一或多個管道。在一些實施例中,伸縮管暴露於恆定外界壓力,並配置以在伸縮管停止從容器接收流體時,在恆定外界壓力下輸送流體。
在一些實施例中,當伸縮管之內部壓力小於恆定外界壓力時,伸縮管之內部容積降低。在一些實施例中,恆定外界壓力係為大氣壓力。在一些實施例中,所述一或多個化學氣相沉積腔室包括介電層沉積腔室、n型功函數層沉積腔室、p型功函數層沉積腔室、或上述的組合。在一些實施例中,所述一或多個化學氣相沉積腔室包括至少一個原子層沉積腔室。在一些實施例中,所述半導體製造系統更包括一或多個加載鎖定腔室以及一或多個脫氣腔室。
應理解的是,本揭露的申請專利範圍係以實施方式而非摘要來解釋。本揭露的摘要可以闡述一或多個示例性實施例,但並非全部預期的示例性實施例,因此並非旨在限制所附的申請專利範圍。
上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本揭露之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本揭露為基礎,設計或修改其他製程及結構,以達到與本揭露實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本揭露之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本揭露的精神及範圍。
100:液體輸送和蒸發設備 102:容器 103:進料管 104:管道 105:液體 106:伸縮管 106A:固定的第一端 106B:可撓的第二端 107:可動柱塞 108:第一閥 110:第二閥 112:蒸發器 114:化學氣相沉積腔室 300:方法 302、304、306、308:操作 400:半導體製造系統 401:介電質沉積腔室 402:裝載端口 403:阻障層沉積腔室 404:機械手臂 405:n型功函數層沉積腔室 407:p型功函數層沉積腔室 410、420:加載鎖定腔室 430、440:脫氣腔室
以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,多種特徵並未按照比例繪示且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1圖是根據一些實施例的液體輸送和蒸發設備的示意圖。 第2A圖和第2B圖是根據一些實施例的在擴張模式(第2A圖)和壓縮模式(第2B圖)的伸縮管(bellow)的示意圖。 第3圖是根據一些實施例的用於輸送和蒸發液體的方法的流程圖。 第4圖是根據一些實施例的半導體製造系統的示意圖。
100:液體輸送和蒸發設備
102:容器
103:進料管
104:管道
105:液體
106:伸縮管
107:可動柱塞
108:第一閥
109:排出口
110:第二閥
112:蒸發器
114:化學氣相沉積腔室

Claims (10)

  1. 一種液體輸送設備,用以將一液體輸送到一下游製程,包括:一容器,配置以保持該液體;以及一伸縮管,包括一入口以及一出口,該入口流體連接該容器以從該容器接收該流體,該出口流體連接該下游製程以輸送該流體,其中該伸縮管暴露於一恆定外界壓力,並配置以在該伸縮管停止從該容器接收該流體時,在該恆定外界壓力下輸送該流體。
  2. 如申請專利範圍第1項所述的液體輸送設備,其中該恆定外界壓力係為大氣壓力。
  3. 如申請專利範圍第1項所述的液體輸送設備,其中該伸縮管包括一可經受壓力而變形的材料。
  4. 如申請專利範圍第1項所述的液體輸送設備,其中當該伸縮管之一內部壓力小於該恆定外界壓力時,該伸縮管之一內部容積降低。
  5. 如申請專利範圍第4項所述的液體輸送設備,其中該內部容積的降低量大於單次製程運行所需的該液體的體積。
  6. 如申請專利範圍第4項所述的液體輸送設備,其中該伸縮管包括一固定的第一端以及一可撓的第二端,當該內部壓力小於該恆定外界壓力時,該可撓的第二端朝該固定的第一端移動。
  7. 一種液體輸送及蒸發方法,包括:將一流體充入一容器;將該流體從該容器輸送到一伸縮管,其中該伸縮管包括一入口以及一出口,且係配置以當該伸縮管停止從該容器通過該入口接收該流體時,在一恆定外界壓力下進行變形,而將該流體從該出口排出到一蒸發器;以及在該蒸發器中蒸發該流體以形成一蒸氣。
  8. 一種半導體製造系統,包括:一容器,配置以保持一流體;一伸縮管,包括一入口以及一出口,該入口流體連接該容器以從該容器接收該流體,該出口流體連接一下游製程以輸送該流體,其中該伸縮管暴露於一恆定外界壓力,並配置以在該伸縮管停止從該容器接收該流體時,在該恆定外界壓力下輸送該流體;一蒸發器,配置以接收該流體以及製造一蒸氣;一或多個化學氣相沉積腔室,配置以接收該蒸氣並保持一基板,以將該蒸氣之一成分沉積在該基板上;以及一或多個管道,配置以連接該容器、該伸縮管、該蒸發器、以及該一或多個化學氣相沉積腔室。
  9. 如申請專利範圍第8項所述的半導體製造系統,其中該一或多個化學氣相沉積腔室包括一介電層沉積腔室、一n型功函數層沉積腔室、一p型功函數層沉積腔室、或上述的組合。
  10. 如申請專利範圍第8項所述的半導體製造系統,更包括一或多個加載鎖定腔室以及一或多個脫氣腔室。
TW108133326A 2018-09-20 2019-09-17 液體輸送設備、液體輸送及蒸發方法、以及半導體製造系統 TWI719638B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/136,870 US11162174B2 (en) 2018-09-20 2018-09-20 Liquid delivery and vaporization apparatus and method
US16/136,870 2018-09-20

Publications (2)

Publication Number Publication Date
TW202017004A TW202017004A (zh) 2020-05-01
TWI719638B true TWI719638B (zh) 2021-02-21

Family

ID=69848708

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108133326A TWI719638B (zh) 2018-09-20 2019-09-17 液體輸送設備、液體輸送及蒸發方法、以及半導體製造系統

Country Status (3)

Country Link
US (1) US11162174B2 (zh)
CN (1) CN110931391B (zh)
TW (1) TWI719638B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11527380B2 (en) * 2020-04-01 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implanter toxic gas delivery system
WO2024080020A1 (ja) * 2022-10-12 2024-04-18 株式会社堀場エステック 流体供給機構及び流体供給方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090000740A1 (en) * 2005-01-14 2009-01-01 Tokyo Electron Limited Vaporizer and Processor
US20100236480A1 (en) * 2007-09-28 2010-09-23 Tokyo Electron Limited Raw material gas supply system and film forming apparatus
US20170137936A1 (en) * 2012-05-27 2017-05-18 Versum Materials Us, Llc Vessel With Filter

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3644036B2 (ja) * 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
US20030155882A1 (en) * 2002-02-19 2003-08-21 Nikon Corporation Anti-gravity mount with air and magnets
ATE468421T1 (de) * 2003-06-27 2010-06-15 Sundew Technologies Llc Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
JPWO2005071723A1 (ja) * 2004-01-21 2007-09-06 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
EP3025366A1 (en) * 2013-07-23 2016-06-01 Entegris, Inc. Remote delivery of chemical reagents
WO2016069467A1 (en) * 2014-10-27 2016-05-06 Entegris, Inc. Solid source vapor delivery package and method
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090000740A1 (en) * 2005-01-14 2009-01-01 Tokyo Electron Limited Vaporizer and Processor
US20100236480A1 (en) * 2007-09-28 2010-09-23 Tokyo Electron Limited Raw material gas supply system and film forming apparatus
US20170137936A1 (en) * 2012-05-27 2017-05-18 Versum Materials Us, Llc Vessel With Filter

Also Published As

Publication number Publication date
US11162174B2 (en) 2021-11-02
TW202017004A (zh) 2020-05-01
CN110931391B (zh) 2022-06-03
CN110931391A (zh) 2020-03-27
US20200095681A1 (en) 2020-03-26

Similar Documents

Publication Publication Date Title
KR101063788B1 (ko) 진공부품의 제조방법
TWI719638B (zh) 液體輸送設備、液體輸送及蒸發方法、以及半導體製造系統
JP2016098406A (ja) モリブデン膜の成膜方法
CN105714272B (zh) 用于提高膜均匀性的装置和方法
TW200924854A (en) Multi-gas spiral channel showerhead
CN104947064A (zh) 钨膜的成膜方法和半导体器件的制造方法
US10557203B2 (en) Temperature control system and process for gaseous precursor delivery
CN101608734B (zh) 试剂分配装置及输送方法
CN109576674B (zh) 原子层沉积设备
US20220356573A1 (en) Atomic layer deposition tool and method
KR102051185B1 (ko) 가스 공급 장치 및 가스 공급 방법
US10910225B2 (en) Film forming method
CN115803473A (zh) 钼的沉积
TW201739941A (zh) 流經線進氣空間
TW466593B (en) CVD TiN plug formation from titanium halide precursors
CN109576675B (zh) 原子层沉积装置及方法
US20240003008A1 (en) Precursor dispensing systems with line charge volume containers for atomic layer deposition
US11408530B2 (en) Valve for varying flow conductance under vacuum
US11939668B2 (en) Gas delivery for tungsten-containing layer
TWI844534B (zh) 用於提供蒸氣的方法及設備
TW202413682A (zh) 在半導體處理設備中鉬氧鹵化物副產物的原位處理
CN118007100A (zh) 气体输送装置及半导体处理装置
CN114790543A (zh) 用于沉积层的方法和系统
KR20240104133A (ko) 기판 프로세싱 시스템의 액체 전달 시스템을 위해 제어된 압력에서 불활성 퍼지 가스를 사용하는 탈기 (degas) 시스템
TW202114775A (zh) 低揮發性前驅物的供應系統