TWI715704B - 用於以在環移轉期間保護堆疊的處理器擴展的處理器及方法 - Google Patents

用於以在環移轉期間保護堆疊的處理器擴展的處理器及方法 Download PDF

Info

Publication number
TWI715704B
TWI715704B TW106101043A TW106101043A TWI715704B TW I715704 B TWI715704 B TW I715704B TW 106101043 A TW106101043 A TW 106101043A TW 106101043 A TW106101043 A TW 106101043A TW I715704 B TWI715704 B TW I715704B
Authority
TW
Taiwan
Prior art keywords
ssp
shadow stack
processor
stack
privileged
Prior art date
Application number
TW106101043A
Other languages
English (en)
Other versions
TW201738800A (zh
Inventor
凡德伊斯 沙巴吉
傑森 布蘭特
拉維 莎西塔
巴瑞 亨特里
貝吉 帕帖爾
狄帕克 古塔
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201738800A publication Critical patent/TW201738800A/zh
Application granted granted Critical
Publication of TWI715704B publication Critical patent/TWI715704B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/52Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity ; Preventing unwanted data erasure; Buffer overflow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/52Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity ; Preventing unwanted data erasure; Buffer overflow
    • G06F21/54Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity ; Preventing unwanted data erasure; Buffer overflow by adding security routines or objects to programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0811Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • G06F12/1458Protection against unauthorised use of memory or access to memory by checking the subject access rights
    • G06F12/1491Protection against unauthorised use of memory or access to memory by checking the subject access rights in a hierarchical protection system, e.g. privilege levels, memory rings
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/062Securing storage systems
    • G06F3/0622Securing storage systems in relation to access
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0629Configuration or reconfiguration of storage systems
    • G06F3/0637Permissions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30101Special purpose registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30134Register stacks; shift registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/461Saving or restoring of program or task context
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1052Security improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2221/00Indexing scheme relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/03Indexing scheme relating to G06F21/50, monitoring users, programs or devices to maintain the integrity of platforms
    • G06F2221/033Test or assess software
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2221/00Indexing scheme relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/21Indexing scheme relating to G06F21/00 and subgroups addressing additional information or applications relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/2141Access rights, e.g. capability lists, access control lists, access tables, access matrices

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Security & Cryptography (AREA)
  • Human Computer Interaction (AREA)
  • Computer Hardware Design (AREA)
  • Storage Device Security (AREA)
  • Executing Machine-Instructions (AREA)
  • Advance Control (AREA)
  • Information Transfer Systems (AREA)

Abstract

提供一種實施用於在環移轉期間保護堆疊的處理器擴展之技術的處理器。於一實施例中,該處理器包括複數暫存器及處理器核心,其係操作性地耦合至該些複數暫存器。該些複數暫存器係用以儲存特權階層移轉中所使用的資料。該些複數暫存器之各暫存器係與特權階層關聯。接收用以將目前有效應用程式的第一特權階層改變至第二特權階層之指示符。根據該第二特權階層以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP)。該暫存器係與該第二特權階層關聯。藉由使用該SSP以識別供由該處理器於該第二特權階層使用之影子堆疊。

Description

用於以在環移轉期間保護堆疊的處理器擴展的處理器及方法
本發明之實施例一般係有關於微處理器,及更明確地(但非限制地)有關於用以在環移轉期間保護堆疊的處理器擴展。
返回導向編程(ROP)為一種電腦安全性利用技術,其中攻擊者係使用軟體控制來執行攻擊者選擇的指令序列。於ROP攻擊中,攻擊者可將其已知為「器件」之序列鏈結在一起。各器件可代表一組一個或一些指令,接續以來自程序指令之返回。攻擊者可分析碼,諸如應用程式、系統級碼、驅動程式、程式庫,等等,以找出或識別所欲的器件。於某些情況下,攻擊者能夠識別足夠的器件而能夠串起來並履行多種不同的惡意行為。
100‧‧‧處理裝置
110‧‧‧處理器核心
120‧‧‧記憶體控制器單元
130‧‧‧快取單元
132‧‧‧第一階(L1)
134‧‧‧第二階(L2)
136‧‧‧最後階快取(LLC)
140‧‧‧使用者階層應用程式
150‧‧‧指令
152‧‧‧呼叫程序指令
154‧‧‧返回自程序指令
170‧‧‧影子堆疊暫存器
175‧‧‧堆疊指針(SSP)
180‧‧‧影子堆疊保護邏輯
185‧‧‧影子堆疊模式
200‧‧‧系統
201‧‧‧記憶體
203‧‧‧資料堆疊
205‧‧‧資料
207‧‧‧控制資訊
209‧‧‧影子堆疊
210‧‧‧目前影子堆疊
220‧‧‧SSP
225‧‧‧驗證及設定忙碌邏輯
230‧‧‧符記
240‧‧‧碼段(CS)
250‧‧‧指令指針(EIP)
260‧‧‧SSP
300‧‧‧系統
310‧‧‧中斷影子堆疊指針表
320‧‧‧中斷SSP表位址暫存器
330‧‧‧選擇器
400‧‧‧系統
410‧‧‧影子堆疊
420‧‧‧目前TSS
425‧‧‧新TSS
427‧‧‧新工作
430‧‧‧影子堆疊指針
440‧‧‧符記
450‧‧‧CS
460‧‧‧EIP
470‧‧‧SSP
600‧‧‧處理器
602‧‧‧提取級
604‧‧‧長度解碼級
606‧‧‧解碼級
608‧‧‧配置級
610‧‧‧重新命名級
612‧‧‧排程級
614‧‧‧暫存器讀取/記憶體讀取級
616‧‧‧執行級
618‧‧‧寫入回/記憶體寫入級
622‧‧‧例外處置級
624‧‧‧確定級
630‧‧‧前端單元
632‧‧‧分支預測單元
634‧‧‧指令快取單元
636‧‧‧指令變換後備緩衝(TLB)
638‧‧‧指令提取單元
640‧‧‧解碼單元
650‧‧‧執行引擎單元
652‧‧‧重新命名/配置器單元
654‧‧‧撤回單元
656‧‧‧排程器單元
658‧‧‧實體暫存器檔單元
660‧‧‧執行叢集
662‧‧‧執行單元
664‧‧‧記憶體存取單元
670‧‧‧記憶體單元
672‧‧‧資料TLB單元
674‧‧‧資料快取單元
676‧‧‧第2階(L2)快取單元
680‧‧‧資料預提取器
690‧‧‧電力管理單元(PMU)
700‧‧‧處理器
701‧‧‧前端
702‧‧‧快速排程器
703‧‧‧失序執行引擎
704‧‧‧緩慢/一般浮點排程器
706‧‧‧簡單浮點排程器
708‧‧‧整數暫存器檔
710‧‧‧浮點暫存器檔
711‧‧‧執行區塊
712‧‧‧位址產生單元(AGU)
714‧‧‧AGU
716‧‧‧快速ALU
718‧‧‧快速ALU
720‧‧‧緩慢ALU
722‧‧‧浮點ALU
724‧‧‧浮點移動單元
726‧‧‧指令預提取器
728‧‧‧指令解碼器
730‧‧‧軌線快取
732‧‧‧微碼ROM
734‧‧‧微操作佇列
800‧‧‧多處理器系統
814‧‧‧I/O裝置
816‧‧‧第一匯流排
818‧‧‧匯流排橋
820‧‧‧第二匯流排
822‧‧‧鍵盤及/或滑鼠
824‧‧‧音頻I/O
827‧‧‧通訊裝置
828‧‧‧儲存單元
830‧‧‧指令/碼及資料
832‧‧‧記憶體
834‧‧‧記憶體
838‧‧‧高性能圖形電路
839‧‧‧高性能圖形介面
850‧‧‧點對點互連
852、854‧‧‧P-P介面
870‧‧‧第一處理器
872、882‧‧‧集成記憶體控制器單元
876、878‧‧‧點對點(P-P)介面
880‧‧‧第二處理器
886、888‧‧‧P-P介面
890‧‧‧晶片組
894、898‧‧‧點對點介面電路
896‧‧‧介面
900‧‧‧系統
910、915‧‧‧處理器
920‧‧‧圖形記憶體控制器集線器(GMCH)
940‧‧‧記憶體
945‧‧‧顯示
950‧‧‧輸入/輸出(I/O)控制器集線器(ICH)
960‧‧‧外部圖形裝置
970‧‧‧周邊裝置
995‧‧‧前側匯流排(FSB)
1000‧‧‧系統
1014‧‧‧I/O裝置
1015‧‧‧舊有I/O裝置
1032、1034‧‧‧記憶體
1050‧‧‧點對點互連
1052、1054‧‧‧點對點互連
1070、1080‧‧‧處理器
1072、1082‧‧‧控制邏輯
1076-1094‧‧‧P-P介面
1086-1098‧‧‧P-P介面
1090‧‧‧晶片組
1096‧‧‧介面
1100‧‧‧SoC
1102A-N‧‧‧核心
1106‧‧‧共用快取單元
1108‧‧‧集成圖形邏輯
1110‧‧‧系統代理單元
1114‧‧‧集成記憶體控制器單元
1116‧‧‧匯流排控制器單元
1120‧‧‧處理器
1124‧‧‧影像處理器
1126‧‧‧音頻處理器
1128‧‧‧視頻處理器
1130‧‧‧靜態隨機存取記憶體(SRAM)單元
1132‧‧‧直接記憶體存取(DMA)單元
1140‧‧‧顯示單元
1200‧‧‧SoC
1206、1207‧‧‧核心
1208‧‧‧快取控制
1209‧‧‧匯流排介面單元
1210‧‧‧L2快取
1215‧‧‧GPU
1220‧‧‧視頻編碼解碼器
1225‧‧‧視頻介面
1230‧‧‧用戶身份模組(SIM)
1235‧‧‧開機ROM
1240‧‧‧SDRAM控制器
1245‧‧‧快閃控制器
1250‧‧‧周邊控制
1260‧‧‧DRAM
1265‧‧‧快閃
1270‧‧‧藍牙模組
1275‧‧‧3G數據機
1280‧‧‧GPS
1300‧‧‧電腦系統
1302‧‧‧處理裝置
1304‧‧‧主記憶體
1306‧‧‧靜態記憶體
1308‧‧‧網路介面裝置
1310‧‧‧視頻顯示單元
1312‧‧‧文數輸入裝置
1314‧‧‧游標控制裝置
1316‧‧‧信號產生裝置
1318‧‧‧資料儲存裝置
1320‧‧‧網路
1322‧‧‧圖形處理單元
1324‧‧‧機器可存取儲存媒體
1326‧‧‧軟體
1328‧‧‧視頻處理單元
1330‧‧‧匯流排
1332‧‧‧音頻處理單元
本發明將從以下所提供之詳細描述以及從本發明之各個實施例的附圖被更完整地瞭解。然而,該些圖形不應被 視為限制本發明於特定實施例,而是僅為了解釋及理解。
圖1闡明一種用以支援在環移轉期間保護堆疊的處理器擴展之處理裝置的方塊圖,依據一實施例。
圖2闡明一種包括用以支援在環移轉期間保護堆疊的處理器擴展之記憶體的系統,依據一實施例。
圖3闡明包括圖2之記憶體的系統,用以切換堆疊而成為中斷傳遞之部分,依據一實施例。
圖4闡明包括圖2之記憶體的系統,用以切換堆疊而成為工作切換之部分,依據一實施例。
圖5闡明一種於特權轉移上切換堆疊的方法之流程圖,依據一實施例。
圖6A為闡明用於處理器之微架構的方塊圖,依據一實施例。
圖6B為闡明依序管線及暫存器重新命名級、失序發送/執行管線之方塊圖,依據一實施例。
圖7為闡明一電腦系統之方塊圖,依據一實施方式。
圖8為闡明一系統之方塊圖,其中本發明之一實施例可被使用。
圖9為闡明一系統之方塊圖,其中本發明之一實施例可被使用。
圖10為闡明一系統之方塊圖,其中本發明之一實施例可被使用。
圖11為闡明一系統單晶片(SoC)之方塊圖,其中本發明之一實施例可被使用。
圖12為闡明一SoC設計之方塊圖,其中本發明之一實施例可被使用。
圖13闡明一方塊圖,其係闡明其中本發明之一實施例可被使用的電腦系統。
【發明內容與實施方式】
文中係揭露用於在環移轉期間保護堆疊的處理器擴展之技術。於許多情況下,指令集架構(ISA),諸如x86架構,係提供至少四種不同的特權階層於硬體平台階層上。特權階層被用以增進與硬體平台關聯的作業系統(例如,內核)之可靠度,其係藉由保護資源不被較不受信任的實體直接存取。於某些實施例中,這些特權階層範圍從環0,最受信任的特權階層(例如,管理員模式),至環3,或無特權階層(例如,應用程式或使用者模式)。特權階層之各者需要某些資源,其被預配置且儲存起來以供於從一特權階層至另一特權階層之環移轉時的後續使用。
於許多情況下,電腦系統處理器經常具有目前特權階層,其係控制電腦系統中之應用程式指令執行。該處理器可改變其目前特權階層以控制應用程式對於系統資源(諸如,系統暫存器、系統指令、系統記憶體等等)之可存取性。於執行應用程式時,該處理器係利用與該處理器關聯的資料堆疊。資料堆疊係儲存資料(例如,傳遞至子常式之參數及其他資料,等等)及控制資訊,用以引導已執行指令之控制流。通常,控制資訊可包括針對一或更多先 前履行的程序呼叫之一或更多返回位址。這些返回位址是被呼叫程序所將返回該控制流之處,當該被呼叫程序完成並返回時。
於ROP攻擊時,攻擊者常尋求獲得該資料堆疊之控制以劫持程式控制流。例如,攻擊者可履行該資料堆疊中所儲存之程序的返回位址之未授權的堆疊修改。未授權的堆疊修改被用以將目前程序之控制流轉向至任意記憶體位置以達成攻擊者的意圖。一個可能傾向於使資料堆疊更易受ROP及其他類型的控制流破壞攻擊之傷害的因素在於,其堆疊通常係儲存資料及控制資訊兩者(例如,資料及返回位址常在相同堆疊上被混合在一起),而因此該資料堆疊需為可由軟體寫入的。其他可能傾向於使資料堆疊更易受此等攻擊之傷害的因素在於,其資料堆疊之切換通常被履行為無特權操作或者目前程序可能損耗資訊,例如來自其可被篡改而不被檢測之位置的資料堆疊之位置。例如,處理器回應於某些事件(例如,中斷/例外)而可將針對在無特權的使用者階層上所執行之指令序列的控制轉移至管理員特權階層,以存取處置該事件所需的資源。如以上所討論之這些因素可能傾向於增加系統暴露至控制流破壞攻擊,由於其容許堆疊指針及/或控制流資訊(例如,返回位址)被修改(例如,用以指向惡意程式/攻擊者控制的記憶體)之被利用的錯誤。
本發明之實施例提供用以保護特權轉移上之堆疊不受到某類型的控制流破壞攻擊之技術。於某些實施例中,提 供數個影子堆疊以協助保護資料堆疊上之控制資訊不受到篡改嘗試以及協助增加電腦安全性。影子堆疊是不同於資料堆疊之第二堆疊,且被用以儲存並保護來自被用於控制轉移操作中之資料堆疊的資訊。例如,影子堆疊可被用以儲存控制資訊,但非資料(例如,非儲存於使用者階層應用程式將需要能夠寫入並修改之堆疊上的類型之參數及其他資料)。
於某些實施例中,影子堆疊為僅由某些指令可寫入的,諸如「CALL」(其為使用資料堆疊之程序呼叫機制的範例)及「FAR CALL」(其為實施微分支及巨分支跳躍指令兩者之分支指令的範例),其係履行控制轉移操作及流程(例如,中斷/例外傳遞)。於此等情況下,影子堆疊無法透過其他指令而由其他軟體起始的儲存所寫入,諸如「MOV」(其係於單一操作中轉移複數位元組)及「XSAVE」(其被用以將背景狀態儲存至記憶體並將該背景狀態從記憶體復原至處理器的暫存器)。此寫入保護係藉由將用於頁表中之影子堆疊的記憶體標記為影子堆疊記憶體來達成,以致其藉由使用如「MOV」、「XSAVE」等等指令之軟體所起始的儲存不會完成而錯誤。類似地,諸如「CALL」等指令(當其產生針對影子堆疊記憶體之儲存指令時)係使用特定儲存操作,以致其僅在該儲存所履行之記憶體被標記(於頁表中)為影子堆疊記憶體時完成。否則,錯誤發生。
於某些實施例中,影子堆疊可選擇性地針對個別地於 無特權使用者階層模式(例如,環3特權階層)或者於有特權的或管理員特權階層模式(環0、環1、或環2特權階層)之操作而組態。為了支援切換影子堆疊從來源特權階層至新特權階層之特權切換,文中所述之技術係提供暫存器,其中各暫存器係與某特權階層關聯。於某些實施例中,系統軟體(例如,系統內核或作業系統)將影子堆疊指針(SSP)載入各暫存器。接著,處理器可經由與特定之新特權階層關聯的暫存器來存取該SSP以識別將於該階層使用的影子堆疊。操作時,一旦處理器針對新特權階層選擇一SSP,則該處理器便進行檢查以驗證被編程入該暫存器之SSP可由該處理器於該新階層使用。假如檢查是成功的,則該處理器將該新特權階層上之該影子堆疊設為忙碌,並將用於該來源特權階層之返回位址資訊推至該影子堆疊上。之後,本發明之實施例確保該處理器之任何移轉回至該來源特權階層被接著驗證,在該影子堆疊被標記為不忙碌以前。此驗證包括確保被推至影子堆疊上之來源特權階層的返回位址係匹配一與資料堆疊關聯的目前位址。為了履行此驗證,處理器使用特定載入操作(例如,「shadowStackPop」或具有影子堆疊語意之載入)以致假如該載入操作並非到被標記為影子堆疊記憶體(於頁表中)之記憶體,則此載入未完成,而是錯誤。因此欲將影子堆疊指針指向非影子堆疊記憶體之任何嘗試均被檢測並防止。
於其他實施例中,文中所述之技術可被使用(例 如):以保護影子堆疊切換為中斷/例外傳遞之部分,或者為從來源特權階層上之目前工作至新特權階層上之新工作的工作切換之部分;以履行具有影子堆疊之快速系統呼叫(例如,使用者模式特權移轉至具有硬體權限之完全控制的模式);以保護影子堆疊不受交叉執行緒攻擊,諸如從較無特權的程序至較有特權的程序之攻擊;及以在環移轉期間保護影子堆疊不受到由攻擊者所利用之其他類型的未授權堆疊修改。
圖1闡明一種用以支援在環移轉期間保護堆疊的處理器擴展之處理裝置的方塊圖,依據一實施例。處理裝置100可一般地被稱為「處理器」或「CPU」。文中之「處理器」或「CPU」將指稱一種能夠執行指令編碼算術、邏輯、或I/O操作之裝置。於一說明性範例中,處理器可包括算術邏輯單元(ALU)、控制單元、及複數暫存器。於進一步形態中,處理器可包括一或更多處理核心,而因此可為單核心處理器(其通常能夠處理單指令管線)、或多核心處理器(其可同時地處理多指令管線)。於另一形態中,處理器可被實施為單積體電路、二或更多積體電路,或者可為多晶片模組之組件(例如,其中個別微處理器晶粒被包括於單積體電路封裝中而因此共用單插口)。
如圖1中所示,處理裝置100可包括各種組件。於一實施例中,處理裝置100可包括一或更多處理器核心110及記憶體控制器單元120(於其他組件中),其係彼此耦合如圖所示。處理裝置100亦可包括通訊組件(未顯 示),其可被用於處理裝置100的各個組件之間的點對點通訊。處理裝置100可被用於計算系統(未顯示),其包括(但不限定於)桌上型電腦、輸入板電腦、膝上型電腦、小筆電、筆記型電腦、個人數位助理(PDA)、伺服器、工作站、行動電話、行動計算裝置、智慧型手機、網際網路器具或任何其他類型的計算裝置。於另一實施例中,處理裝置100可被用於系統單晶片(SoC)系統。於一實施例中,SoC可包含處理裝置100及記憶體。用於一此系統之記憶體為DRAM記憶體。DRAM記憶體可被置於如處理器及其他系統組件之相同晶片上。此外,其他邏輯區塊(諸如記憶體控制器或圖形控制器)亦可被置於晶片上。
處理器核心110可執行針對處理裝置100之指令。該些指令可包括(但不限定於)預提取邏輯(用以提取指令)、解碼邏輯(用以解碼指令)、執行邏輯(用以執行指令),等等。計算系統可代表根據可得自Intel® Corporation of Santa Clara,California之處理器及/或微處理器的Pentium®家族之處理系統,雖然其他系統(包括具有其他微處理器之計算裝置、工程工作站、機上盒等等)亦可被使用。於一實施例中,樣本計算系統可執行作業系統之版本、嵌入軟體、及/或圖形使用者介面。因此,本發明之實施例不限於硬體電路與軟體之任何特定組合。
於一說明性範例中,處理核心110可具有包括處理器 邏輯和電路之微架構。具有不同微架構之處理器核心可共用共同指令集之至少一部分。例如,類似暫存器架構可使用各種技術而以不同方式被實施於不同的微架構中,包括專屬的實體暫存器、使用暫存器重新命名機制之一或更多動態配置的實體暫存器(例如,使用暫存器別名表(RAT)、記錄器緩衝器(ROB)及撤回暫存器檔)。
記憶體控制器120可履行功能,其致能處理裝置100存取及與記憶體通訊(未顯示),其包括揮發性記憶體及/或非揮發性記憶體。於某些實施例中,記憶體控制器120可被置於與處理裝置100關聯的處理器晶粒上,而記憶體被置於處理器晶粒外。於某些實施例中,處理裝置100包括快取單元130,用以快取指令及/或資料。快取單元130包括(但不限定於)第一階(L1)132、第二階(L2)134、及最後階快取(LLC)136、或處理裝置100內之快取記憶體的任何其他組態。於某些實施例中,L1快取132及L2快取134可將資料轉移至或自LLC 136。於一實施例中,記憶體控制器120可被連接至LLC 136以轉移資料於快取單元130與記憶體之間。如圖所示,快取單元130可集成入處理核心110。快取單元130可儲存其由處理裝置100之一或更多組件所利用的資料(例如,包括指令)。
於某些實施例中,處理裝置100可執行一或更多使用者階層應用程式140(例如,使用者階層多線程應用程式)。此等使用者階層應用程式140可由安裝在處理裝置 100上之系統軟體(未顯示)所執行。系統軟體之範例包括(但不限定於)一或更多作業系統、虛擬機器監視器(VMM)、超管理器等等、及其組合。使用者階層應用程式140可使用指令(例如,指令150)以控制如文中所揭露之處理裝置100。指令150代表微指令、組合語言指令、或機器級指令,其被提供至處理核心110以供執行。
於某些實施例中,處理核心110可操作以履行呼叫程序指令152之實施例及返回自程序指令154之實施例,如由指令150所指明者。呼叫程序指令152將經常被簡稱為呼叫指令,而返回自程序指令154將經常被簡稱為返回指令。呼叫及返回指令可代表針對處理裝置100之特定指令集的指令或控制信號,用以於某特權階層上執行。於操作期間,處理核心110可接收呼叫指令152。例如,呼叫指令152可被接收自一與使用者階層應用程式140關聯的呼叫程序,其正在呼叫子常式、常式、中斷處置器,等等。於某些實施例中,返回指令154之位址可被推至記憶體中所儲存的資料堆疊上,當功能或程序已被呼叫時。
假如呼叫指令152造成處理裝置100之目前特權階層中的改變,則處理裝置100便履行從目前堆疊至針對新特權階層所定義之堆疊的堆疊切換。例如呼叫指令152可造成處理裝置100改變目前特權階層以存取在目前特權階層上無法存取之某些系統資源。於某些實施例中,記憶體中所儲存之一或更多影子堆疊被用以協助保護資料堆疊不受篡改及/或協助增加電腦安全性。影子堆疊上所儲存之資 訊可代表關於返回指令154之返回位址相關的資訊(例如,實際返回位址、用以確認返回位址之資訊、其他返回位址資訊)。
為了識別針對特定特權階層之目前影子堆疊,處理裝置100包括數個影子堆疊暫存器170,其中各暫存器係與某特權階層關聯。當作說明性範例,處理裝置100可包括被稱為IA32_PLx_SSP之四個暫存器,其中x代表特權階層(例如,0、1、2或3)。於某些實施例中,影子堆疊暫存器170可為模型特定暫存器(MSR)或通用暫存器(GPR)。於其他實施例中,各種類型的結構可被使用為暫存器170,只要其能夠儲存及提供資料如文中所述。
在處理裝置100之開始時,與裝置100關聯的系統軟體可將堆疊指針(SSP)175編程入影子堆疊暫存器170之各者。堆疊指針(SSP)175可操作以識別針對由該暫存器所識別之特權階層的特定影子堆疊。例如,SSP 175可為其指向影子堆疊之頂部的記憶體中之線性位址。於一實施例中,處理裝置100可包括影子堆疊保護邏輯180,用以在環移轉期間經由影子堆疊暫存器170來控制對於影子堆疊之存取。影子堆疊保護邏輯亦可致使其針對該影子堆疊所欲的載入及儲存可能錯誤,假如此載入或儲存所將履行之位址未在頁表中被標記為影子堆疊類型時。此影子堆疊保護邏輯亦可藉由軟體起始的儲存以防止儲存至影子堆疊記憶體,透過指令(諸如「MOV」及「XSAVE」)。於某些實施例中,影子堆疊保護邏輯180 亦可包括選擇性的可組態影子堆疊模式185。影子堆疊模式185可為位元指示器,其係組態成交替地致能及除能藉由處理裝置100之影子堆疊的使用。替代地,影子堆疊可選擇性地永遠被致能而影子堆疊模式185可選擇性地被刪除。替代地,可有相應於特權階層之各者的影子堆疊模式。
圖2闡明一種包括用以支援在環移轉期間保護堆疊的處理器擴展之記憶體201的系統200,依據一實施例。於此範例中,記憶體201儲存一或更多資料堆疊,諸如資料堆疊203。資料堆疊有時候被稱為呼叫堆疊、資料堆疊、或僅堆疊。資料堆疊203可代表堆疊型資料結構,其係操作以儲存資料205及用於引導已執行指令之控制流的控制資訊207兩者。通常,有針對與處理裝置100關聯的各特權階層之資料堆疊203。如此一來,當處理裝置100切換特權階層時,其亦切換資料堆疊203如其針對本發明中所述之影子堆疊所執行者。各資料堆疊之資料205可代表其可被推至資料堆疊201上之多種不同類型的資料之任一者(例如,被傳遞至子常式之參數及其他資料,等等)。常見地,控制資訊207可包括針對一或更多先前履行的程序呼叫之一或更多返回位址。這些返回位址可代表其中被呼叫程序所將返回控制流之指令位址,當該被呼叫程序完成並返回時。
如圖2中所示,記憶體201亦包括複數影子堆疊209。影子堆疊209被用以協助保護資料堆疊203不受某 類型的控制流攻擊。影子堆疊209可代表不同於資料堆疊203之額外堆疊類型資料結構。於某些實施例中,影子堆疊209可被用以儲存與已執行指令之控制資訊207(但非資料205)關聯的資訊。於一說明性範例中,影子堆疊209可儲存碼段(CS)描述符(諸如CS 240),其係指明正被執行之記憶體201中的碼段、及指令指針(EIP)(諸如EIP 250),其可識別相關於資料堆疊203中之指令的返回位址、以及其他資訊。於某些實施例中,用以產生影子堆疊之記憶體可被標記為屬於頁表中之影子堆疊記憶體類型,以致其載入及儲存至影子堆疊錯誤,假如其並非至該類型影子堆疊之記憶體的話。類似地,非用於影子堆疊錯誤之儲存(假如其被執行至記憶體的話)被標記為影子堆疊記憶體。
雖然系統200可包括複數影子堆疊209,但一次僅有一影子堆疊可被選為目前影子堆疊210。於此方面,影子堆疊209可個別地操作於無特權使用者階層模式(例如,環3特權階層)或者於有特權的或管理員特權階層模式(環0、環1、或環2特權階層)。於某些實施例中,影子堆疊209之各者包括影子堆疊指針(SSP),諸如SSP 220,其係操作以識別影子堆疊之頂部。例如,SSP 220可為其指向目前影子堆疊之頂部的記憶體201中之線性位址。如上所述,SSP 220可被儲存於與特定特權階層關聯的影子堆疊暫存器170之一中。
如圖所示,系統200亦可包括來自圖1之處理裝置 100。於某些實施例中,處理裝置100可具有目前特權階層,其係控制系統200中之應用程式的執行。於某情況下,該處理裝置100可將其目前特權階層改變至新特權階層以控制應用程式對於系統200之資源的可存取性。例如,處理裝置100可回應於某些類型的操作(諸如已呼叫程序、中斷/例外處置器)或者回應於其他類型的操作以改變其目前特權階層。於某些實施例中,處理裝置100可利用影子堆疊保護邏輯180以在特權階層移轉期間保護堆疊。
於特權階層移轉之一範例中,該處理裝置100可從使用者模式(例如,CPL3)下之目前特權階層(CPL)移轉至管理員模式(例如,CPL<3)下之新特權階層。此類型的特權階層移轉可被起始,例如,藉由一通過其請求較高特權階層之改變的呼叫閘之呼叫或者藉由呼叫中斷/例外處置器。回應於使用者模式至管理員模式特權階層移轉,處理裝置100檢查影子堆疊209是否被致能於新特權階層之處理裝置100。例如,處理裝置100可檢查影子堆疊模式185指示符之設定以判定影子堆疊209為致能或除能。假如影子堆疊209被致能,則與使用者模式關聯的目前SSP被存至影子堆疊暫存器170之一。於其上,新影子堆疊210被建立給管理員模式,使用與新特權階層關聯的暫存器中所儲存之SSP(諸如SSP220)。於某些實施例中,使用者模式SSP被存入影子堆疊暫存器以致其管理員模式下之處理裝置100可修改使用者模式SSP(當需要 時),諸如執行影子堆疊解開以從該堆疊移除項目及其他類型的固定微操作,於再次返回至使用者模式之前。
一旦處理裝置100從與該新特權階層關聯的暫存器擷取SSP 220,則處理裝置100便自動地履行數個檢查以驗證該暫存器中所編程的SSP,藉由使用影子堆疊保護邏輯180之某邏輯,諸如驗證及設定忙碌邏輯225。自動檢查包括(但不限定於)驗證其該暫存器中所編程的SSP 220被指向管理員影子堆疊(例如,影子堆疊210)之頂部,由SSP 220所識別的影子堆疊可被用於與處理裝置100關聯的平台硬體之目前模式且該影子堆疊不被載入至任何其他程序執行緒上。這些檢查可被用以防止某些攻擊,諸如交叉執行緒,其中攻擊者可將兩不同處理器執行緒上之暫存器指向相同的影子堆疊以藉由進行呼叫於第二處理器上來調處一處理器上所消耗的返回位址。
為了履行檢查以驗證SSP 220,處理裝置100之系統軟體(例如,內核或OS)係識別由該SSP所指出之影子堆疊210的頂部上之符記230。於某些實施例中,處理裝置100可(例如,自動地)從由SSP 220所指明之位址載入(例如,8位元組的)符記230。於一實施例中,符記230之載入係鎖定符記及/或位置(例如,快取線)以防止該符記被另一處理器核心或處理裝置所複製或修改。於一實施例中,符記之載入係由使用特定影子堆疊操作之處理裝置100所執行,以致假如該載入或儲存所被履行至之位址不是其被標記為頁表中之影子堆疊記憶體的記憶體,則 其造成錯誤。
符記230包含複數位元,其被用以驗證暫存器中所編程之SSP 220。於某些實施例中,處理裝置100分析複數位元以識別:設定位元,其代表符記230之線性位址、忙碌指示符,其可為設定至某一值(例如,1或0)之符記的已判定位元以指示影子堆疊210是否已被載入至任何邏輯處理器上、旗標指示符,其可為設定至某一值(例如,1或0)之符記的另一已判定位元以指示影子堆疊210是否用於某位元模式(例如,32位元或64位元)以及其他相關資訊。
依據驗證邏輯225,處理裝置100檢查其忙碌指示符未被設定(例如,0),指示其影子堆疊210尚未被載入任何邏輯處理器上。處理裝置100亦檢查其系統200之硬體平台係操作於一匹配由該旗標指示符所表示之位元模式的模式下。例如,根據該旗標指示符,處理裝置100可判定其影子堆疊210係用於32位元或64位元機器上。處理裝置100可接著檢查(例如)與硬體平台關聯的平台暫存器以驗證其該平台處於相應的操作模式(例如,32位元或64位元)。處理裝置100亦檢查其符記230中所記錄之線性位址係匹配SSP 220之線性位址。
此檢查以匹配線性位址係驗證其暫存器170被指向有效影子堆疊之頂部,因為影子堆疊上之8位元組位置的線性位址將不會出現於影子堆疊上之那些8位元組的內容中,除了當由系統軟體所產生以起始化符記203時之外。 如此一來,符記230形成指示符,其係指示如暫存器170中所儲存之影子堆疊指針指向有效影子堆疊之頂部。於某些實施例中,處理裝置100致使其影子堆疊根據處理裝置100處於操作之32位元或64位元模式而被對準於4位元組或8位元組,而因此,影子堆疊指針220之較低2位元總是0且可被用以儲存忙碌指示符及符記230之旗標。假如所有以上檢查均導致正確的指示,則該符記之忙碌指示符可被設定至某一值(例如,1)以指示其由SSP 220所指出之影子堆疊正被使用於新特權階層。應注意:當這些檢查正被履行時,含有符記230之記憶體位置(例如,快取線)係由處理裝置100鎖定以致其無法被修改(例如,由另一邏輯處理器設為忙碌)。在設定忙碌位元後,處理器100便釋放對於此記憶體位置之鎖定。以該符記所保留之鎖來執行這些檢查操作係確保其沒有檢查時間至使用時間(time-of-check-to-time-of-use)問題。否則,假如以上檢查之任一者失敗,則處理裝置100可產生錯誤或警示,其可被傳輸(例如)至一與處理裝置100關聯的作業系統。於某些實施例中,假如錯誤被產生,則對於含有符記230之記憶體位置的鎖定被釋放而不修改該位置之內容。
在從管理員模式至使用者模式特權階層(諸如CPL 0/1/2->CPL 3模式移轉)之返回上,處理裝置100藉由使用某邏輯(諸如驗證及清除忙碌邏輯225)以履行數個檢查。此類型的特權階層移轉可被起始(例如)以:中斷返回(IRET)指令或「FAR RET」指令,其係將下一待執 行位址移至CPU之指令指針、或者其他類型的返回指令。
當作回到使用者模式特權階層之返回移轉的部分,如由驗證及清除忙碌邏輯235所指示之處理裝置100係檢查符記230中之忙碌指示符是否被設定以及該符記中所記錄之線性位址是否匹配SSP 220之位址以及SSP 220是否指向8位元組對準的位址。假如所有以上檢查均導致正確指示,則處理裝置100便藉由清除符記230中之忙碌指示符以將符記230標記為「空閒」。應注意:符記係使用鎖定載入而從記憶體位址被載入至SSP 220以致其位置(例如,快取線)被鎖定而不受後續的修改。假如驗證成功則忙碌位元被清除且鎖定被釋放。假如驗證不成功則鎖定被釋放而不修改該位置之內容。之後,處理裝置100從與該特權階層關聯的適當影子堆疊暫存器170擷取針對使用者模式之SSP。否則,處理裝置100可產生一錯誤,其係指示符記230不是有效的。
於特權階層移轉之另一範例中,該處理裝置100可從目前管理員模式特權階層移轉至新的較高管理員模式,諸如CPL2->CPL1、CPL1->CPL0或CPL2->CPL0模式移轉。此類型的特權階層移轉可被起始,例如,藉由一通過其請求較高特權階層之改變的呼叫閘之呼叫或者藉由呼叫中斷/例外處置器。於此一移轉時,處理裝置100從針對該階層之影子堆疊暫存器170的適當一者選擇針對新特權階層之SSP 220。一旦處理裝置100擷取SSP 220自其與 新特權階層關聯的暫存器,該處理裝置100便履行數個檢查以驗證該暫存器中所編程的SSP。
於某些實施例中,該處理裝置100藉由使用如上所述之驗證及設定忙碌邏輯225以履行檢查。假如該些檢查成功,則處理裝置100藉由設定符記230中之忙碌指示符以將影子堆疊210標記為忙碌。接著,處理裝置100將以下各者推至新特權階層之影子堆疊上:CS 240選擇器(例如,碼段選擇器),其係識別正被執行之記憶體201中的碼段、EIP 250,其係識別返回位址資訊、及SSP 260,其係與目前特權階層關聯。於某些實施例中,處理裝置100可將線性指令指針(LIP)(其為EIP加上碼段基礎)推至新特權階層之影子堆疊上,以取代EIP 250。此可被執行以檢測欲改變介於呼叫與來自該呼叫的返回之間的碼段基礎之任何嘗試。
於從新管理員模式至先前管理員模式之返回時,處理裝置100確保其此等返回係回到其中先前呼叫所被執行自之相同位址。例如,處理裝置100驗證其來自影子堆疊210之CS 240及EIP 250(或LIP)係匹配資料堆疊203上之相應值。假如有匹配,則處理裝置100便移除或彈出CS 240、EIP 250及SSP 260離開影子堆疊210以建立於新特權階層上所使用的新SSP。於某些實施例中,推及彈出操作係使用特定的影子堆疊儲存及載入操作來完成,以致其假如該些操作係針對未被標記為頁表中之影子堆疊記憶體的記憶體,則該些操作造成錯誤。
作為該移轉之部分,如由驗證及清除忙碌邏輯235所指引之處理裝置100係檢查其指向SSP 220之影子堆疊的符記230中之忙碌指示符是否被設定以及符記230中所記錄的線性位址是否匹配SSP 220的位址。假如所有以上檢查均導致正確指示,則處理裝置100便藉由清除符記230中之忙碌指示符以將符記230標記為「空閒」。
於另一範例中,特權階層移轉可根據從使用者模式至最受信任特權階層之處理裝置100的模式移轉,諸如CPL3->CPL0模式移轉。此類型的特權階層移轉可(例如)使用如SYSCALL或SYSENTER之某指令而被起始,該指令係被設計以引動系統功能呼叫,其中某些系統呼叫並未使用該堆疊以履行系統呼叫操作。於此一移轉中,處理裝置100將目前SSP儲存於與使用者模式關聯的暫存器中並切換至與CPL0特權階層關聯的影子堆疊,藉由擷取相應暫存器中之SSP。因為處理裝置100可能正在履行系統關鍵操作所以其不會驗證SSP,但是其仍選擇該組影子堆疊中的影子堆疊之一為針對新特權階層之目前SSP。例如,此類型的特權階層移轉可被起始,例如,藉由一種不使用該堆疊來履行系統呼叫操作之快速系統呼叫。於某些實施例中,假如該系統呼叫需使用該堆疊來進行呼叫,則其可能組態成使用由處理裝置100所提供的新指令以履行該驗證並將該影子堆疊標記為忙碌,諸如驗證及設定忙碌邏輯225中所利用者。驗證及設定忙碌邏輯225之此操作被執行於一影子堆疊(其係被選擇、但未被事先驗證並設 定忙碌)上。
於從最受信任特權階層至先前使用者模式之返回上,諸如CPL0->CPL3模式移轉,處理裝置100係藉由存取其相應於使用者模式特權階層之暫存器中所儲存的SSP以復原使用者模式影子堆疊。此類型的特權階層移轉可被起始,例如,藉由系統返回或離開指令(例如,SYSRET或SYSEXIT)。在返回至CPL3之前,假如系統呼叫係使用由處理裝置100所提供的新指令以驗證並標記影子堆疊為忙碌,則互補指令係由處理裝置100所提供以驗證並標記影子堆疊為空閒,諸如利用於驗證及清除忙碌邏輯235中。
圖3闡明包括圖2之記憶體201的系統300,用以切換堆疊而成為中斷或例外傳遞之部分,依據一實施例。於某些實施例中,本發明提供用以於某些中斷及執行之傳遞期間補充影子堆疊切換的技術,藉由使用中斷影子堆疊指針表310以取代其被用以選擇針對此中斷或例外處置器所將被執行之特權階層的影子堆疊指針之影子堆疊暫存器170。處理裝置110之系統軟體可將表310之位址編程入暫存器,諸如中斷SSP表位址暫存器320。表310被用以儲存由處理裝置100所識別的SSP 220,於針對系統200之如上所述的環移轉期間;或用以造成堆疊切換,即使沒有環移轉,假如該中斷或例外係組態成操作於新影子堆疊上的話。於此方面,新影子堆疊之選擇係來自中斷影子堆疊表310中的影子堆疊指針之一,使用針對中斷描述符表 (IDT)中之該中斷而組態的選擇器330。
操作時,當例外或中斷發生時,處理裝置100可回應以控制轉移至某一欲處理此一事件之碼序列。此碼序列通常運行於管理員模式。此特殊碼序列(或常式)之位址係由處理裝置100從中斷描述符表(IDT)所擷取,使用IDT內之指標,其為所發生的例外之類型所特有的(例如,一指標可相應於頁錯誤而另一指標相應於溢流例外)。此IDT項目可進一步具有中斷影子堆疊表310內之指標,以致當此指標值為非零時其係指示影子堆疊切換需被履行於該中斷或例外,即使當沒有用以傳遞該中斷或例外所需的特權改變時。處理裝置100可使用與IDT關聯的指標以索引中斷影子堆疊指針表310來識別儲存SSP 220之項目。例如,中斷影子堆疊指針表310中之項目亦可為其所發生之例外類型所特有的。SSP 220可被處理裝置100使用於與該中斷關聯的新特權階層。接續於SSP之選擇,處理器裝置100引動某邏輯(例如,驗證及設定忙碌邏輯225)以確保其中斷影子堆疊表310中所儲存的SSP 220係指向該操作模式之有效影子堆疊的頂部以及其該影子堆疊並非忙碌。
圖4闡明包括圖2之記憶體201的系統400,用以切換堆疊而成為工作切換之部分,依據一實施例。於工作切換操作時,處理裝置100可執行控制轉移指令,其致使從目前運行工作至新工作的工作切換。於某些實施例中,該些工作可代表其處理裝置100可調度、執行或中止之工作 單元。舉例而言,該些工作可被用以執行程式、工作或程序、作業系統服務公用程式、中斷或例外處置器、或者內核或執行公用程式之一或更多者。於一形態中,工作切換可被履行在當呼叫程序指令被履行時、或者當中斷或例外發生時、或者其他。
當處理裝置100履行從目前工作至新工作之工作切換時,裝置100便將目前工作之狀態資訊存於被稱為工作狀態段(TSS)之記憶體201中的資料結構中,諸如相應於目前工作之目前TSS 420,且新工作427之狀態資訊可被載入或擷取自其相應於新工作427之另一TSS(例如,新TSS 425)。此狀態資訊可包括(但不限定於)用以履行工作切換所需的資訊,諸如堆疊和指令指針及I/O映射基礎位址。
TSS代表Intel®架構相容的電腦上之記憶體中的硬體管理資料結構,其可被用以儲存有關工作之資訊。例如,TSS包括處理裝置100欲履行工作切換所需的資訊,諸如堆疊指針。針對不同工作之各TSS可包括針對不同特權階層之不同堆疊(例如,用以儲存控制資訊及資料兩者之資料堆疊)的堆疊指針。堆疊指針被用以識別適當堆疊,當由於工作切換操作而進行特權階層改變時。例如,工作切換操作可包括將目前特權階層之目前工作切換至新特權階層之新工作。
於某些實施例中,如文中所述之影子堆疊可被用以於從目前工作至新工作之特權階層移轉期間保護資料堆疊。 如上所述,影子堆疊是不同於資料堆疊之第二影子堆疊,其係儲存並保護來自控制轉移操作中所使用之資料堆疊的資訊。於一實施例中,影子堆疊選擇資訊(諸如影子堆疊指針430)可被儲存於某Intel®架構相容電腦的TSS結構中。
參考圖4,操作中之處理裝置100係藉由識別與新工作關聯的TSS 420以回應於從目前工作至新工作的工作切換。於此方面,工作切換可被起始,例如,藉由呼叫指令、中斷/例外或其他。於某些實施例中,處理裝置100擷取TSS 420中所儲存的SSP 430。SSP 430識別影子堆疊410以配合新工作使用。
於某些實施例中,該處理裝置100藉由使用如上所述之驗證及設定忙碌邏輯225以履行檢查來驗證SSP 430。假如該些檢查成功,則處理裝置100藉由設定符記440中之忙碌指示符以將影子堆疊210標記為忙碌。接著,處理裝置100將以下推至新影子堆疊上:CS 450指針,其係識別正被執行之目前工作的碼段、EIP 460,其係識別有關於目前工作之返回位址資訊、及SSP 470,其係與目前工作之目前特權階層關聯。
於從新工作至先前工作之返回上,處理裝置100確保其此等返回係回到先前工作之相同位址。例如,處理裝置100驗證其來自影子堆疊410之CS 450及EIP 460係匹配與先前工作關聯的TTS中之相應值。假如有匹配,則處理裝置100便移除或彈出CS 450、EIP 460及SSP 470離 開影子堆疊410以建立於先前工作中所使用的目前SSP。作為該返回移轉至先前工作之部分,如由驗證及清除忙碌邏輯235所指引之處理裝置100係檢查其符記440中之忙碌指示符是否被設定以及符記440之線性位址是否匹配SSP 430之位址。假如所有以上檢查均導致正確指示,則處理裝置100便藉由清除符記440中之忙碌指示符以將符記440標記為「空閒」。
圖5闡明方法500之流程圖,其係切換特權轉移上之堆疊或者當堆疊切換係由於中斷SSP表中之非零指標的選擇或由於工作切換而被造成時,依據一實施例。方法500可由處理邏輯所履行,該處理邏輯可包含硬體(例如,電路、專用邏輯、可編程邏輯、微碼,等等)、軟體(諸如運作於處理裝置上之指令)、韌體、或其組合。於一實施例中,圖1中之處理裝置100(如由影子堆疊保護邏輯180所指示)可履行方法500。雖然以特別的序列或順序顯示,但除非另有指明,否則該些程序之順序可被修改。因此,所闡明的實施方式應僅被理解為範例,而所闡明的程序可被履行以不同的順序,且某些程序可被平行地履行。此外,一或更多程序可被省略於各個實施例中。因此,於每一實施方式中並非所有程序均為必要的。其他的程序流程是可能的。
方法500開始於區塊510,其中係接收一指令,用以將目前有效應用程式之目前特權階層(CPL)改變至新特權階層。於區塊520,複數暫存器之一暫存器中所儲存的 影子堆疊指針(SSP)係根據新特權階層而被選擇。供由處理器於新特權階層所使用的影子堆疊係使用SSP而被識別,於區塊530。方法500分支於區塊535,根據CPL中之改變是由呼叫程序指令或者返回指令所起始。假如該改變係由呼叫程序指令所起始,則方法500前進至區塊540。否則,方法500前進至區塊570。
於區塊540,與新特權階層關聯的影子堆疊被驗證。假如影子堆疊為有效,則影子堆疊被接著標示為忙碌,於區塊550。接著,相關於該指令之位址指針被推至影子堆疊上,於區塊560。於某些實施例中,於區塊560中之返回位址指針的推送可被省略,諸如於CPL3->CPL0/1/2移轉(例如,使用者至管理員移轉)。於此等情況下,CPL3 SSP可被存至使用者模式暫存器,假如該移轉是來自CPL3->CPL0/1/2的話。假如該影子堆疊不是有效,則錯誤被產生於區塊545。
於區塊570,判定有關影子堆疊上所儲存之位址指針是否匹配相關於該指令之返回位址。於某些實施例中,於區塊570中之返回位址指針的匹配可被省略,諸如於CPL0/1/2->CPL3移轉(例如,管理員至使用者模式移轉)。於此等情況下,CPL3之先前儲存的SSP可被擷取自使用者模式暫存器,假如該移轉是來自CPL0/1/2->CPL3的話。假如影子堆疊與資料堆疊上之位址指針匹配,則該些位址指針便彈出影子堆疊,於區塊580。此外,針對較低管理員特權之SSP可被彈出自較高管理員特 權之影子堆疊(於區塊580)以建立針對較低管理員特權之SSP。影子堆疊被接著標記為不忙碌或者空閒,於區塊590。假如該些位址指針不匹配,則錯誤被產生於區塊575。
圖6A為闡明針對處理器600的微架構之方塊圖,該處理器600係實施在環移轉期間保護堆疊的處理器擴展之技術,依據本發明之一實施例。明確地,處理器600係闡明其將被包括於處理器中的依序架構核心及暫存器重新命名邏輯、失序發送/執行邏輯,依據本發明之至少一實施例。
處理器600包括一耦合至執行執行引擎單元650之前端單元630,且兩者均耦合至記憶體單元670。處理器600可包括減少指令集計算(RISC)核心、複雜指令集計算(CISC)核心、極長指令字元(VLIW)核心、或者併合或替代核心類型。於又另一實施例中,處理器600可包括特殊用途核心,諸如(例如)網路或通訊核心、壓縮引擎、圖形核心,等等。於一實施例中,處理器600可為多核心處理器或者可為多處理器系統之部分。
前端單元630包括一分支預測單元632,其係耦合至指令快取單元634,其係耦合至指令變換後備緩衝(TLB)636,其係耦合至指令提取單元638,其係耦合至解碼單元640。解碼單元640(亦已知解碼器)可解碼指令;並可將以下產生為輸出:一或更多微操作、微碼進入點、微指令、其他指令、或其他控制信號,其被解碼自 (或者反應)、或被衍生自原始指令。解碼器640可使用各種不同的機制來實施。適當機制之範例包括(但不限定於)查找表、硬體實施方式、可編程邏輯陣列(PLA)、微碼唯讀記憶體(ROM),等等。指令快取單元634被進一步耦合至記憶體單元670。解碼單元640被耦合至執行引擎單元650中之重新命名/配置器單元652。
執行引擎單元650包括重新命名/配置器單元652,其係耦合至撤回單元654及一組一或更多排程器單元656。排程器單元656代表任何數目的不同排程器,包括保留站(RS)、中央指令窗,等等。排程器單元656被耦合至實體暫存器檔單元658。實體暫存器檔單元658之各者代表一或更多實體暫存器檔,其不同者係儲存一或更多不同的資料類型,諸如純量整數、純量浮點、緊縮整數、緊縮浮點、向量整數、向量浮點等等、狀態(例如,其為下一待執行指令之位址的指令指標),等等。實體暫存器檔單元658係由撤回單元654所重疊以闡明其中暫存器重新命名及失序執行可被實施之各種方式(例如,使用記錄器緩衝器和撤回暫存器檔;使用未來檔、歷史緩衝器、和撤回暫存器檔;使用暫存器映圖和暫存器池,等等)。執行引擎單元650可包括(例如)電力管理單元(PMU)690,其係管理功能性單元之電力功能。
通常,架構暫存器從處理器之外部或者從編程者之觀點為可見的。暫存器不限於任何已知特定類型的電路。各種不同類型的暫存器為適合的,只要其能夠儲存並提供資 料如文中所述者。適當暫存器之範例包括(但不限定於)專屬實體暫存器、使用暫存器重新命名之動態配置實體暫存器、專屬及動態配置實體暫存器之組合,等等。撤回單元654及實體暫存器檔單元658被耦合至執行叢集660。執行叢集660包括一組一或更多執行單元662及一組一或更多記憶體存取單元664。執行單元662可履行各種操作(例如,移位、相加、相減、相乘)以及於各種類型的資料上(例如,純量浮點、緊縮整數、緊縮浮點、向量整數、向量浮點)。
雖然某些實施例可包括數個專屬於特定功能或功能集之執行單元,但其他實施例可包括僅一個執行單元或者全部履行所有功能之多數執行單元。排程器單元656、實體暫存器檔單元658、及執行叢集660被顯示為可能複數的,因為某些實施例係針對某些類型的資料/操作產生分離的管線(例如,純量整數管線、純量浮點/緊縮整數/緊縮浮點/向量整數/向量浮點管線、及/或記憶體存取管線,其各具有本身的排程器單元、實體暫存器檔單元、及/或執行叢集-且於分離記憶體存取管線之情況下,某些實施例被實施於其中僅有此管線之執行叢集具有記憶體存取單元664)。亦應理解:當使用分離管線時,這些管線之一或更多者可為失序發送/執行而其他者為依序。
該組記憶體存取單元664被耦合至記憶體單元670,其可包括資料預提取器680、資料TLB單元672、資料快取單元(DCU)674、及第2階(L2)快取單元676,舉 出一些範例。於某些實施例中,DCU 674亦已知為第一階資料快取(L1快取)。DCU 674可處置多重顯著的快取喪失並繼續服務進來的儲存及載入。其亦支援維持快取同調性。資料TLB單元672為一種藉由映射虛擬及實體位址空間以增進位址變換速度之快取。於一範例實施例中,記憶體存取單元664可包括載入單元、儲存位址單元、及儲存資料單元,其各者係耦合至記憶體單元670中之資料TLB單元672。L2快取單元676可被耦合至一或更多其他階的快取且最終至主記憶體。
於一實施例中,資料預提取器680臆測地載入/預提取資料至DCU 674,藉由自動地預測程式將使用哪個資料。預提取可指稱將記憶體階層(例如,較低階快取或記憶體)之一記憶體位置中所儲存的資料轉移至其較接近(例如,產生較低存取潛時)處理器之較高階記憶體位置,在該資料實際地被該處理器所要求以前。更明確地,預提取可指稱從較低階快取/記憶體之一至資料快取及/或預提取緩衝器的資料之早期擷取,在處理器發出針對其被返回之特定資料的要求以前。
於一實施方式中,處理器600可相同於針對圖6所述之處理裝置100,用以支援在環移轉期間保護堆疊的處理器擴展,於針對本發明之實施方式所述的處理裝置中。
處理器600可支援一或更多指令集(例如,x86指令集(具有其已被加入以較新版本之某些延伸);MIPS Technologies of Sunnyvale,CA之MIPS指令集;ARM Holdings of Sunnyvale,CA之ARM指令集(具有諸如NEON之選擇性額外延伸))。
應理解:核心可支援多線程(執行二或更多平行組的操作或線緒),並可以多種方式執行,包括時間切割多線程、同時多線程(其中單一實體核心提供邏輯核心給其實體核心正同時地多線程之每一線緒)、或者其組合(例如,時間切割提取和解碼以及之後的同時多線程,諸如Intel® Hyperthreading科技)。
雖然暫存器重新命名被描述於失序執行之背景,但應理解其暫存器重新命名可被使用於依序架構。雖然處理器之所述的實施例亦包括分離的指令和資料快取單元以及共用L2快取單元,但替代實施例可具有針對指令和資料兩者之單一內部快取,諸如(例如)第1階(L1)內部快取、或多階內部快取。於某些實施例中,該系統可包括內部快取與外部快取之組合,該外部快取是位於核心及/或處理器之外部。替代地,所有快取可於核心及/或處理器之外部。
圖6B為闡明由圖6A之處理器600所實施的依序管線及暫存器重新命名級、失序發送/執行管線之方塊圖,依據本發明之某些實施例。圖6B中之實線方盒係闡明依序管線,而虛線方盒係闡明暫存器重新命名、失序發送/執行管線。於圖6B中,處理器管線601包括提取級602、長度解碼級604、解碼級606、配置級608、重新命名級610、排程(亦已知為分派或發送)級612、暫存器 讀取/記憶體讀取級614、執行級616、寫入回/記憶體寫入級618、例外處置級622、及確定級624。於某些實施例中,級602-624之排序可不同於所顯示者且不限於圖6B中所示之特定排序。
圖7為闡明針對處理器700的微架構之方塊圖,該處理器700包括邏輯電路,用以實施在環移轉期間保護堆疊的處理器擴展之技術,依據本發明之一實施例。於某些實施例中,依據一實施例之指令可被實施以操作於資料元件,其具有位元組、字元、雙字元、四字元等等之尺寸;以及資料類型,諸如單和雙精確度整數及浮點資料類型。於一實施例中,依序前端701為處理器700之部分,其係提取將被執行的指令並備製將稍後於處理器管線中使用的指令。
前端701可包括數個單元。於一實施例中,指令預提取器726係從記憶體提取指令並將該些指令饋送至指令解碼器728,其接著解碼或解讀該些指令。例如,於一實施例中,解碼器將已接收指令解碼為一或更多操作,稱為其機器可執行之「微指令」或「微操作」(亦稱為micro op或uops)。於其他實施例中,解碼器將指令剖析為運算碼及相應的資料和控制欄位,其係由微架構所使用以依據一實施例來履行操作。於一實施例中,軌線快取730取用已解碼的微操作並將其組合為微操作佇列734中之程式依序列或軌線,以供執行。當軌線快取730遭遇複雜指令時,則微碼ROM 732便提供用以完成該操作所需的微操作。
某些指令被轉換為單一微操作,而其他指令則需要數個微操作來完成完整操作。於一實施例中,假如需要四個微操作來完成指令,則解碼器728係存取微碼ROM 732以執行該指令。針對一實施例,指令可被解碼為少數微操作,以供處理於指令解碼器728。於另一實施例中,假如需要數個微操作來完成該操作,則指令可被儲存於微碼ROM 732內。軌線快取730係指稱進入點可編程邏輯陣列(PLA),用以判定正確的微指令指針,以供讀取微碼序列來完成一或更多指令(依據一實施例)自微碼ROM 732。在微碼ROM 732完成排序針對一指令之微操作後,機器之前端701重新從軌線快取730提取微操作。
失序執行引擎703為準備用於執行之指令。失序執行邏輯具有數個緩衝器,用以平緩並重新排序指令之流程來最佳化性能,隨著其前進管線且被排程以供執行。配置器邏輯係配置其各微操作欲執行所需的機器緩衝器及資源。暫存器重新命名邏輯係將邏輯暫存器重新命名於暫存器檔中之項目上。配置器亦配置各微操作之項目於兩微操作佇列之一中,其中之一係針對記憶體操作而另一係針對非記憶體操作,在指令排程器之前:記憶體排程器、快速排程器702、緩慢/一般浮點排程器704、及簡單浮點排程器706。微操作排程器702、704、706係根據其相依的輸入暫存器運算元資源之備妥狀態及微操作欲完成其操作所需的執行資源之可用性以判定微操作何時準備好執行。一實施例之快速排程器702可於主時脈循環之各一半時排程, 而其他排程器僅可於每主處理器時脈循環排程一次。排程器係針對調度埠仲裁以排程用於執行之微操作。
暫存器檔708、710位於排程器702、704、706與執行區塊711中的執行單元712、714、716、718、720、722、724之間。有分離的暫存器檔708、710,個別地用於整數及浮點操作。一實施例之各暫存器檔708、710包括旁通網路,其可旁通或傳遞剛完成的結果(其尚未被寫入暫存器檔)至新的相依微操作。整數暫存器檔708及浮點暫存器檔710亦能夠彼此傳遞資料。針對一實施例,整數暫存器檔708被分割為兩個分離的暫存器檔,一暫存器檔用於資料之低順序的32位元而第二暫存器檔用於資料之高順序的32位元。一實施例之浮點暫存器檔710具有128位元寬項目,因為浮點指令通常具有寬度從64至128位元之運算元。
執行區塊711含有執行單元712、714、716、718、720、722、724,其中該些指令被實際地執行。此區段包括暫存器檔708、710,其係儲存微指令所需執行之整數及浮點資料運算元值。一實施例之處理器700包含數個執行單元:位址產生單元(AGU)712、AGU 714、快速ALU 716、快速ALU 718、緩慢ALU 720、浮點ALU 722、浮點移動單元724。針對一實施例,浮點執行區塊722、724執行浮點、MMX、SIMD、及SSE、或其他操作。一實施例之浮點ALU 722包括64位元X64位元浮點除法器,用以執行除法、平方根、及餘數微操作。針對本 發明之實施例,涉及浮點值之指令可被處置以浮點硬體。
於一實施例中,ALU操作來到高速ALU執行單元716、718。一實施例之高速ALU 716、718可執行具有半時脈循環之有效潛時的快速操作。針對一實施例,大部分複雜整數操作來到緩慢ALU 720,因為緩慢ALU 720包括針對長潛時類型操作的整數執行硬體,諸如乘法器、移位、旗標邏輯、及分支處理。記憶體載入/儲存操作係由AGU 712、714所執行。針對一實施例,整數ALU 716、718、720被描述以履行整數操作於64位元資料運算元上之背景。於替代實施例中,ALU 716、718、720可被實施以支援多種資料位元,包括16、32、128、256,等等。類似地,浮點單元722、724可被實施以支援具有各個寬度之位元的廣泛運算元。針對一實施例,浮點單元722、724可操作於128位元寬的緊縮資料運算元上,配合SIMD及多媒體指令。
於一實施例中,微操作排程器702、704、706在母載入已完成執行以前調度相依的操作。因為微操作被臆測地排程並執行於處理器700中,所以處理器700亦可包括用以處置記憶體喪失之邏輯。假如資料載入喪失於資料快取中,則可能有相依的操作於管線的途中,其已留給排程器暫時錯誤的資料。重播機制係追蹤並重新執行其使用錯誤資料之指令。僅有相依的操作需要被重播而獨立的操作被容許完成。處理器之一實施例的排程器及重播機制亦被設計成捕捉指令序列以供文字串比較操作。
處理器700亦包括邏輯,用以實施針對記憶體歧義消除之儲存位址預測,依據本發明之實施例。於一實施例中,處理器700之執行區塊711可包括儲存位址預測器(未顯示),用以實施在環移轉期間保護堆疊的處理器擴展之技術,依據本發明之一實施例。
術語「暫存器」可指稱板上處理器儲存位置,其被使用為用以識別運算元之指令的部分。換言之,暫存器可為那些從處理器外部(從編程者之觀點)可使用者。然而,實施例之暫存器不應被限制於指稱特定類型電路。反之,實施例之暫存器能夠儲存並提供資料、以及履行文中所述之功能。文中所述之暫存器可藉由使用任何數目之不同技術的處理器內之電路來實施,諸如專屬實體暫存器、使用暫存器重新命名之動態配置實體暫存器、專屬及動態配置實體暫存器之組合,等等。於一實施例中,整數暫存器係儲存三十二位元整數資料。一實施例之暫存器檔亦含有針對緊縮資料之八個多媒體SIMD暫存器。
針對以下的討論,暫存器被理解為設計成保持緊縮資料之資料暫存器,諸如64位元寬的MMXTM暫存器(亦稱為「mm」暫存器於某些例子中)於其致能有來自Intel Corporation of Santa Clara,California之MMX科技的微處理器中。這些MMX暫存器(可有整數及浮點形式兩者)可操作以其伴隨SIMD及SSE指令之緊縮資料元件。類似地,有關於SSE2、SSE3、SSE4、或超過(一般稱為「SSEx」)科技之128位元寬的XMM暫存器亦可被用以 保持此等緊縮資料運算元。於一實施例中,於儲存緊縮資料及整數資料時,暫存器無須於兩種資料類型之間區別。於一實施例中,整數及浮點被含入於相同的暫存器檔或不同的暫存器檔中。再者,於一實施例中,浮點及整數資料可被儲存於不同的暫存器或相同的暫存器中。
實施例可被實施以許多不同的系統類型。現在參考圖8,其顯示一闡明系統800之方塊圖,其中本發明之一實施例可被使用。如圖8中所示,多處理器系統800為點對點互連系統,並包括經由點對點互連850而耦合之第一處理器870及第二處理器880。雖然僅顯示兩個處理器870、880,但應理解其本發明之實施例的範圍未如此限制。於其他實施例中,一或更多額外處理器可存在於既定處理器中。於一實施例中,多處理器系統800可實施在環移轉期間保護堆疊的處理器擴展之技術,如文中所述者。
處理器870及880被顯示個別地包括集成記憶體控制器單元872及882。處理器870亦包括其匯流排控制器單元點對點(P-P)介面876及878之部分;類似地,第二處理器880包括P-P介面886及888。處理器870、880可使用P-P介面電路878、888而經由點對點(P-P)介面850來交換資訊。如圖8中所示,IMC 872及882將處理器耦合至個別記憶體,亦即記憶體832及記憶體834,其可為本地地裝附至個別處理器之主記憶體的部分。
處理器870、880可經由個別的P-P介面852、854而與晶片組890交換資訊,使用點對點介面電路876、 894、886、898。晶片組890亦可經由高性能圖形介面839而與高性能圖形電路838交換資訊。
共用快取(未顯示)可被包括於任一處理器中或者於兩處理器外部,而經由P-P互連與處理器連接,以致處理器之任一者或兩者的本地快取資訊可被儲存於共用快取中,假如處理器被置於低功率模式時。
晶片組890可經由一介面896而被耦合至第一匯流排816。於一實施例中,第一匯流排816可為周邊組件互連(PCI)匯流排、或者諸如PCI快速匯流排或其他第三代I/O互連匯流排等匯流排,雖然本發明之範圍未如此限制。
如圖8中所示,各種I/O裝置814可被耦合至第一匯流排816,連同匯流排橋818,其係將第一匯流排816耦合至第二匯流排820。於一實施例中,第二匯流排820可為低管腳數(LPC)匯流排。各個裝置可被耦合至第二匯流排820,其包括(例如)鍵盤及/或滑鼠822、通訊裝置827及儲存單元828,諸如磁碟機或其他大量儲存裝置(其可包括指令/碼及資料830),於一實施例中。此外,音頻I/O 824可被耦合至第二匯流排820。注意:其他架構是可能的。例如,取代圖8之點對點架構,系統可實施多點分支匯流排其他此類架構。
現在參考圖9,其顯示一系統900之方塊圖,其中本發明之一實施例可操作。系統900可包括一或更多處理器910、915,其被耦合至圖形記憶體控制器集線器 (GMCH)920。額外處理器915之選擇性本質於圖9中被標示以斷線。於一實施例中,處理器910、915支援在環移轉期間保護堆疊的處理器擴展,依據本發明之實施例。
各處理器910、915可為如上所述之電路、積體電路、處理器、及/或矽積體電路的某版本。然而,應注意:不太可能其集成圖形邏輯和集成記憶體控制單元將存在於處理器910、915中。圖9闡明其GMCH 920可被耦合至記憶體940,其可為(例如)動態隨機存取記憶體(DRAM)。DRAM可(針對至少一實施例)與非揮發性快取相關。
GMCH 920可為晶片組、或晶片組之一部分。GMCH 920可與處理器910、915通訊並控制介於處理器910、915與記憶體940之間的互動。GMCH 920亦可作用為介於處理器910、915與系統900的其他元件之間的加速匯流排介面。於至少一實施例中,GMCH 920係經由多點分支匯流排(諸如前側匯流排(FSB)995)而與處理器910、915通訊。
再者,GMCH 920被耦合至顯示945(諸如平板或觸控式顯示)。GMCH 920可包括集成圖形加速器。GMCH 920被進一步耦合至輸入/輸出(I/O)控制器集線器(ICH)950,其可被用以耦合各個周邊裝置至系統900。圖9之實施例中係顯示(例如)外部圖形裝置960,其可為分離的圖形裝置,耦合至ICH 950,連同另一周邊裝置 970。
替代地,額外或不同處理器亦可存在於系統900中。例如,額外處理器915可包括:其係相同於處理器910的額外處理器、其可與處理器910異質或非對稱的額外處理器、加速器(諸如,例如,圖形加速器或數位信號處理(DSP)單元)、場可編程閘極陣列、或任何其他處理器。於處理器910、915間可有多樣差異,針對價值矩陣之譜,包括架構、微架構、熱、功率耗損特性,等等。這些差異可有效地顯現自身為非對稱以及介於處理器910、915之間的異質性。針對至少一實施例,各個處理器910、915可駐存於相同晶粒封裝中。
現在參考圖10,其顯示一系統1000之方塊圖,其中本發明之一實施例可操作。圖10闡明處理器1070、1080。於一實施例中,處理器1070、1080可支援在環移轉期間保護堆疊的處理器擴展,如上所述。處理器1070、1080可個別地包括集成記憶體和I/O控制邏輯(「CL」)1072和1082,並經由個別地介於點對點(P-P)介面1078和1088之間的點對點互連1050而彼此互通訊。處理器1070、1080各經由點對點互連1052和1054而與晶片組1090通訊,透過如圖所示之個別P-P介面1076至1094及1086至1098。針對至少一實施例,CL 1072、1082可包括集成記憶體控制器單元。CL 1072、1082可包括I/O控制邏輯。如圖所示,記憶體1032、1034被耦合至CL 1072、1082,而I/O裝置1014亦被耦 合至控制邏輯1072、1082。舊有I/O裝置1015經由介面1096而被耦合至晶片組1090。
實施例可被實施以許多不同的系統類型。圖11為SoC 1100之方塊圖,依據本發明之實施例。虛線方塊為更多先進SoC上之選擇性特徵。於圖11中,互連單元1112被耦合至:應用程式處理器1120,其包括一組一或更多核心1102A-N及共用快取單元1106;系統代理單元1110;匯流排控制器單元1116;集成記憶體控制器單元1114;一組或者一或更多媒體處理器1118,其可包括集成圖形邏輯1108、影像處理器1124(用以提供靜止及/或視頻相機功能)、音頻處理器1126(用以提供硬體音頻加速)、及視頻處理器1128(用以提供視頻編碼/解碼加速);靜態隨機存取記憶體(SRAM)單元1130;直接記憶體存取(DMA)單元1132;及顯示單元1140(用以耦合至一或更多外部顯示)。於一實施例中,記憶體模組可被包括於集成記憶體控制器單元1114中。於另一實施例中,記憶體模組可被包括於SoC 1100之一或更多其他組件中,其可被用以存取及/或控制記憶體。應用程式處理器1120可包括PMU,用以實施沈靜記憶體指令及遺失率追蹤以最佳化執行緒上之切換策略,如文中之實施例中所述。
記憶體階層包括該些核心內之一或更多階快取、一組或者一或更多共用快取單元1106、及耦合至該組集成記憶體控制器單元1114之額外記憶體(未顯示)。該組共 用快取單元1106可包括一或更多中階快取,諸如第二階(L2)、第三階(L3)、第四階(L4)、或其他階快取、最後階快取(LLC)、及/或其組合。
於某些實施例中,一或更多核心1102A-N能夠進行多線程。系統代理1110包括協調並操作核心1102A-N之那些組件。系統代理單元1110可包括(例如)電力控制單元(PCU)及顯示單元。PCU可為或者包括用以調節核心1102A-N及集成圖形邏輯1108之電力狀態所需的邏輯和組件。顯示單元係用以驅動一或更多外部連接的顯示。
核心1102A-N可為同質或異質,針對架構及/或指令集。例如,核心1102A-N之部分可為依序的而其他為失序的。當作另一範例,核心1102A-N之二或更多者可執行相同指令集,而其他者可執行該指令集之僅一子集或不同的指令集。
應用程式處理器1120可為通用處理器,諸如CoreTM i3,i5,i7,2 Duo及Quad,XeonTM,ItaniumTM,AtomTM或QuarkTM處理器,其可得自IntelTM Corporation,of Santa Clara,Calif。替代地,應用程式處理器1120可被提供自其他公司,諸如ARM HoldingsTM,Ltd,MIPSTM,等等。應用程式處理器1120可為特殊用途處理器,諸如(例如)網路或通訊處理器、壓縮引擎、圖形處理器、共處理器、嵌入式處理器,等等。應用程式處理器1120可被實施於一或更多晶片上。應用程式處理器1120可為一或更多基底之部分及/或可被實施於其上,使用數個製程技術 之任一者,諸如(例如)BiCMOS、CMOS、或NMOS。
圖12為系統單晶片(SoC)設計之實施例的方塊圖,依據本發明。當作特定說明性範例,SoC 1200被包括於使用者設備(UE)中。於一實施例中,UE係指稱其將由終端使用者所用以通訊之任何裝置,諸如手持式電話、智慧型手機、輸入板、超薄筆記型電腦、具有寬頻轉接器之筆記型電腦、或任何其他類似的通訊裝置。UE經常連接至基地站或節點,其本質上潛在地相應於GSM網路中之行動站(MS)。
於此,SoC 1200包括2核心一1206及1207。核心1206及1207可符合指令集架構,諸如Intel® Architecture CoreTM為基之處理器、先進微型裝置公司(AMD)處理器、MIPS為基的處理器、ARM為基的處理器設計、或其消費者、以及其被授權者或採用者。核心1206及1207被耦合至快取控制1208,其係與匯流排介面單元1209及L2快取1210關聯以與系統1200之其他部分通訊。互連1210包括晶片上互連,諸如IOSF、AMBA、或以上所討論之其他互連,其可潛在地實施上述本發明之一或更多形態。於一實施例中,核心1206、1207可支援在環移轉期間保護堆疊的處理器擴展,如文中之實施例中所述。
互連1210提供通訊頻道至其他組件,諸如:用戶身份模組(SIM)1230,用以與SIM卡互介面、開機ROM 1235,用以保存開機碼以供由核心1206和1207執行來初 始化並開機SoC 1200、SDRAM控制器1240,用以與外部記憶體(例如,DRAM 1260)互介面、快閃控制器1245,用以與非揮發性記憶體(例如,快閃1265)互介面、周邊控制1250(例如,串列周邊介面)用以與周邊互介面、視頻編碼解碼器1220和視頻介面1225,用以顯示並接收輸入(例如,觸控致能輸入)、GPU 1215,用以履行圖形相關的計算,等等。這些介面之任一者可結合文中所述之本發明的形態。此外,系統1200顯示用於通訊之周邊,諸如藍牙模組1270、3G數據機1275、GPS 1280、及Wi-Fi 1185。
圖13闡明以電腦系統1200之範例形式的機器之圖形表示,於該系統內可執行一組指令以致使機器履行文中所討論之任何一或更多方法。於替代實施例中,機器可被連接(例如,連網)至LAN、內部網路、外部網路、或網際網路中之其他機器。機器可操作於用戶伺服器網路環境下之伺服器或用戶裝置之範圍中、或者當作點對點(或分散式)網路環境下之同級機器。機器可為個人電腦(PC)、輸入板PC、機上盒(STB)、個人數位助理(PDA)、行動電話、網路器具、伺服器、網路路由器、開關或橋、或者能夠執行其指明由該機器所採取之行動的一組指令(序列或其他)的任何機器。再者,雖僅顯示單一機器,但術語「機器」亦應被視為包括其獨立地或聯合地執行一組(或多組)用來履行文中所述之任何一或更多方法的指令之機器的任何集合。
計算系統1300包括處理裝置1302、主記憶體1304(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),諸如同步DRAM(SDRAM)或DRAM(RDRAM)等等)、靜態記憶體1306(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等等)、以及資料儲存裝置1318,其係經由匯流排1330而彼此通連。
處理裝置1302代表一或更多一般用途處理裝置,諸如微處理器、中央處理單元,等等。更特別地,處理裝置可為複雜指令組計算(CISC)微處理器、減少指令組計算(RISC)微處理器、極長指令字元(VLIW)微處理器、實施其他指令集的處理器、或實施指令集之組合的處理器。處理裝置1302亦可為一或更多特殊用途處理裝置,諸如特定應用積體電路(ASIC)、場可編程閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器,等等。於一實施例中,處理裝置1302可包括一或更多處理器核心。處理器裝置1302組態成執行處理邏輯1326,用以履行文中所討論之操作及步驟。於一實施例中,處理裝置1302相同於針對圖1所述之處理器架構100,其實施在環移轉期間保護堆疊的處理器擴展之技術,如文中依據本發明之實施例所述者。
電腦系統1300可進一步包括網路介面裝置1308,其係可通訊地耦合至網路1320。電腦系統1300亦可包括視頻顯示單元1310(例如,液晶顯示(LCD)或陰極射線管(CRT))、文數輸入裝置1312(例如,鍵盤)、游標控 制裝置1314(例如,滑鼠)、及信號產生裝置1316(例如,揚聲器)。再者,電腦系統1300可包括圖形處理單元1322、視頻處理單元1328及音頻處理單元1332。
資料儲存裝置1318可包括機器可存取儲存媒體1324,於其上儲存軟體1326,其係實施文中所述之功能的一或更多方法,諸如實施沈靜記憶體指令及遺失率追蹤以最佳化執行緒上之切換策略,於處理裝置中,如上所述。軟體1326亦可駐存(完全地或至少部分地)於主記憶體1304內(成為指令1326)及/或於處理裝置1302內(成為處理邏輯1326),在藉由電腦系統1300之其執行期間;主記憶體1304及處理裝置1302亦構成機器可存取儲存媒體。
機器可讀取儲存媒體1324亦可被用以儲存指令1326,其係實施沈靜記憶體指令及遺失率追蹤以最佳化執行緒上之切換策略,於處理裝置(諸如針對圖1中之處理裝置100所述者)中及/或含有其呼叫上述應用程式之方法的軟體庫中。雖然機器可存取儲存媒體1328被顯示於範例實施例中為單一媒體,但術語「機器可存取儲存媒體」應被視為包括單一媒體或多重媒體(例如,集中式或分散式資料庫、及/或相關快取及伺服器),其係儲存一或更多指令集。術語「機器可存取儲存媒體」亦應被視為包括能夠儲存、編碼或攜載供由機器所執行的指令集之任何媒體,且該媒體致使該機器履行本發明之一或更多方法。術語「機器可存取儲存媒體」應因此被視為包括(但 不限定於)固態記憶體、及光學和磁性媒體。
下列範例係有關進一步的實施例。
範例1為一種處理器,包含:1)複數暫存器,用以儲存影子堆疊指針(SSP),其中各暫存器係與特權階層關聯;及2)處理器核心,操作性地耦合至該些複數暫存器,用以:a)接收用以將與目前有效應用程式關聯的第一特權階層改變至第二特權階層之指示符;b)根據該第二特權階層以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),其中該暫存器係與該第二特權階層關聯;及c)使用該SSP以識別供由該處理器於該第二特權階層使用之影子堆疊。
於範例2中,範例1之請求標的,其中該處理器核心進一步用以,回應於檢測到其該第二特權階層係高於該第一特權階層,確認與該第二特權階層關聯的該SSP。
於範例3中,範例1-2之請求標的,其中用以確認該SSP,該處理器核心係進一步用以確認由該SSP所識別的該影子堆疊。
於範例4中,範例1-3之請求標的,其中用以確認該影子堆疊,該處理器核心係進一步用以:a)判定與該影子堆疊關聯的記憶體位置是否被標記為影子堆疊記憶體;b)識別與該影子堆疊關聯的符記;及c)驗證其由該SSP所指至之位址係匹配由該符記所包含的位址。
於範例5中,範例1-4之請求標的,其中該處理器核心係進一步用以,回應於檢測到該影子堆疊不是有效的, 產生錯誤指示符。
於範例6中,範例1-5之請求標的,其中該處理器核心係進一步用以,回應於檢測到該影子堆疊是有效的:a)標記該符記以指示其該影子堆疊是忙碌的;及b)將返回位址及與該第一特權階層關聯的SSP儲存於該影子堆疊中。
於範例7中,範例1-6之請求標的,其中該處理器核心係進一步用以,回應於檢測到從該第二特權階層返回至該第一特權階層,驗證其該影子堆疊中所儲存之該返回位址係匹配一與該目前有效應用程式關聯的堆疊中所儲存之返回位址。
於範例8中,範例1-7之請求標的,其中該處理器核心係進一步用以,回應於驗證該返回位址:a)將與該第一特權階層關聯的該SSP復原為用於該目前有效應用程式之目前SSP;及b)標記該符記,其係指示該影子堆疊為空閒的。
於範例9中,範例1-8之請求標的,其中該處理器核心係進一步用以,回應於檢測到該第一特權階層為應用程式特權階層而該第二特權階層為管理員特權階層:a)將用於該目前有效應用程式之目前SSP儲存於一與該應用程式特權階層關聯的暫存器中;b)選擇與該管理員特權階層關聯的該SSP為該目前SSP;c)判定其由該SSP所識別的該影子堆疊是否將被使用於該管理員特權階層;及d)回應於該判定,判定是否驗證該影子堆疊為忙碌或者 將與該影子堆疊關聯的符記標記為忙碌。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之處理器的所有選擇性特徵亦可針對文中所述之方法及程序而被實施,且該些範例中之特點可被使用於一或更多實施例中的任何地方。
範例10為一種方法,包含:1)使用處理裝置以接收用以將目前有效應用程式的第一特權階層改變至第二特權階層之指示符;2)根據該第二特權階層以選擇複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),其中該暫存器係與該第二特權階層關聯;及3)使用該SSP以識別供由該處理裝置於該第二特權階層使用之影子堆疊。
於範例11中,範例10之請求標的,進一步包含回應於檢測到其該第二特權階層係高於該第一特權階層,確認與該第二特權階層關聯的該SSP。
於範例12中,範例10-11之請求標的,其中用以確認該SSP進一步包含確認由該SSP所識別的該影子堆疊。
於範例13中,範例10-12之請求標的,其中用以確認該影子堆疊,進一步包含:a)判定與該影子堆疊關聯的記憶體位置是否被標記為影子堆疊記憶體;b)識別與該影子堆疊關聯的符記;及c)驗證其由該SSP所指至之位址係匹配由該符記所包含的位址。
於範例14中,範例10-13之請求標的,進一步包含回應於檢測到該影子堆疊不是有效的,產生錯誤指示符。
於範例15中,範例10-14之請求標的,進一步包含回應於檢測到該影子堆疊是有效的:a)標記該符記以指示其該影子堆疊是忙碌的;及b)將返回位址及與該第一特權階層關聯的SSP儲存於該影子堆疊中。
於範例16中,範例10-15之請求標的,進一步包含回應於檢測到從該第二特權階層返回至該第一特權階層,驗證其該影子堆疊中所儲存之該返回位址係匹配一與該目前有效應用程式關聯的堆疊中所儲存之返回位址。
於範例17中,範例10-16之請求標的,進一步包含回應於驗證該返回位址:a)將與該第一特權階層關聯的該SSP復原為用於該目前有效應用程式之目前SSP;及b)標記該符記,其係指示該影子堆疊為空閒的。
於範例18中,範例10-17之請求標的,進一步包含回應於檢測到該第一特權階層為應用程式特權階層而該第二特權階層為管理員特權階層:a)將用於該目前有效應用程式之目前SSP儲存於一與該應用程式特權階層關聯的暫存器中;b)選擇與該管理員特權階層關聯的該SSP為該目前SSP;c)判定其由該SSP所識別的該影子堆疊是否將被使用於該管理員特權階層;及d)回應於該判定,判定是否驗證該影子堆疊為忙碌或者將與該影子堆疊關聯的符記標記為忙碌。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之該些處理器及方法的所有選擇性特徵亦可針對文中所述之系統而被實施,且該些範例中之特 點可被使用於一或更多實施例的任何地方。
範例19為一種系統單晶片(SoC),包含:1)複數暫存器,用以儲存影子堆疊指針(SSP),其中各暫存器係與特權階層關聯;及2)處理器核心,操作性地耦合至該些複數暫存器,用以:a)接收用以將與目前有效應用程式關聯的第一特權階層改變至第二特權階層之指示符;b)根據該第二特權階層以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),其中該暫存器係與該第二特權階層關聯;及c)使用該SSP以識別供由該處理器於該第二特權階層使用之影子堆疊。
於範例20中,範例19之請求標的,其中該處理器核心進一步用以,回應於檢測到其該第二特權階層係高於該第一特權階層,確認與該第二特權階層關聯的該SSP。
於範例21中,範例19-20之請求標的,其中用以確認該SSP,該處理器核心係進一步用以確認由該SSP所識別的該影子堆疊。
於範例22中,範例19-21之請求標的,其中用以確認該影子堆疊,該處理器核心係進一步用以:a)判定與該影子堆疊關聯的記憶體位置是否被標記為影子堆疊記憶體;b)識別與該影子堆疊關聯的符記;及c)驗證其由該SSP所指至之位址係匹配由該符記所包含的位址。
於範例23中,範例19-22之請求標的,其中該處理器核心係進一步用以,回應於檢測到該影子堆疊不是有效的,產生錯誤指示符。
於範例24中,範例19-23之請求標的,其中該處理器核心係進一步用以,回應於檢測到該影子堆疊是有效的:a)標記該符記以指示其該影子堆疊是忙碌的;及b)將返回位址及與該第一特權階層關聯的SSP儲存於該影子堆疊中。
於範例25中,範例19-24之請求標的,其中該處理器核心係進一步用以,回應於檢測到從該第二特權階層返回至該第一特權階層,驗證其該影子堆疊中所儲存之該返回位址係匹配一與該目前有效應用程式關聯的堆疊中所儲存之返回位址。
於範例26中,範例19-25之請求標的,其中該處理器核心係進一步用以,回應於驗證該返回位址:a)將與該第一特權階層關聯的該SSP復原為用於該目前有效應用程式之目前SSP;及b)標記該符記,其係指示該影子堆疊為空閒的。
於範例27中,範例19-26之請求標的,其中該處理器核心係進一步用以,回應於檢測到該第一特權階層為應用程式特權階層而該第二特權階層為管理員特權階層:a)將用於該目前有效應用程式之目前SSP儲存於一與該應用程式特權階層關聯的暫存器中;b)選擇與該管理員特權階層關聯的該SSP為該目前SSP;c)判定其由該SSP所識別的該影子堆疊是否將被使用於該管理員特權階層;及d)回應於該判定,判定是否驗證該影子堆疊為忙碌或者將與該影子堆疊關聯的符記標記為忙碌。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法之所有選擇性特徵亦可針對非暫態、電腦可讀取儲存媒體而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例28為一種儲存可執行指令之非暫態電腦可讀取儲存媒體,當被處理裝置執行時該些指令係致使該處理裝置:1)使用該處理裝置以接收用以將與目前有效應用程式關聯的第一特權階層改變至第二特權階層之指示符;2)根據該第二特權階層以選擇複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),其中該暫存器係與該第二特權階層關聯;及3)使用該SSP以識別供由該處理器於該第二特權階層使用之影子堆疊。
於範例29中,範例28之請求標的,其中該些可執行指令進一步致使處理裝置以,回應於檢測到其該第二特權階層係高於該第一特權階層,確認與該第二特權階層關聯的該SSP。
於範例30中,範例28-29之請求標的,其中用以確認該SSP,該些可執行指令進一步致使處理裝置以確認由該SSP所識別的該影子堆疊。
於範例31中,範例28-30之請求標的,其中用以確認該影子堆疊,該些可執行指令進一步致使處理裝置以:a)判定與該影子堆疊關聯的記憶體位置是否被標記為影子堆疊記憶體;b)識別與該影子堆疊關聯的符記;及c)驗證其由該SSP所指至之位址係匹配由該符記所包含 的位址。
於範例32中,範例28-31之請求標的,其中該些可執行指令進一步致使處理裝置以,回應於檢測到該影子堆疊不是有效的,產生錯誤指示符。
於範例33中,範例28-32之請求標的,其中該些可執行指令進一步致使處理裝置以,回應於檢測到該影子堆疊是有效的:a)標記該符記以指示其該影子堆疊是忙碌的;及b)將返回位址及與該第一特權階層關聯的SSP儲存於該影子堆疊中。
於範例34中,範例28-33之請求標的,其中該些可執行指令進一步致使處理裝置以,回應於檢測到從該第二特權階層返回至該第一特權階層,驗證其該影子堆疊中所儲存之該返回位址係匹配一與該目前有效應用程式關聯的堆疊中所儲存之返回位址。
於範例35中,範例28-34之請求標的,其中該些可執行指令進一步致使處理裝置以,回應於驗證該返回位址:a)將與該第一特權階層關聯的該SSP復原為用於該目前有效應用程式之目前SSP;及b)標記該符記,其係指示該影子堆疊為空閒的。
於範例36中,範例28-35之請求標的,其中該些可執行指令進一步致使處理裝置以,回應於檢測到該第一特權階層為應用程式特權階層而該第二特權階層為管理員特權階層:a)將用於該目前有效應用程式之目前SSP儲存於一與該應用程式特權階層關聯的暫存器中;b)選擇與 該管理員特權階層關聯的該SSP為該目前SSP;c)判定其由該SSP所識別的該影子堆疊是否將被使用於該管理員特權階層;及d)回應於該判定,判定是否驗證該影子堆疊為忙碌或者將與該影子堆疊關聯的符記標記為忙碌。
範例37為一種包括指令之非暫態、電腦可讀取儲存媒體,當由處理器所執行時該些指令係致使該處理器履行範例10-18之方法。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法、系統及非暫態、電腦可讀取儲存媒體之所有選擇性特徵亦可針對其他類型的結構而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例38為一種設備,包含:1)處理器之複數功能性單元;2)接收機構,用以由該處理器接收用以將與目前有效應用程式關聯的第一特權階層改變至第二特權階層之指示符;3)選擇機構,用以根據該第二特權階層以選擇複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),其中該暫存器係與該第二特權階層關聯;及4)識別機構,用以使用該SSP以識別供由該處理器於該第二特權階層使用之影子堆疊。
於範例39中,範例38之請求標的,進一步包含範例1-9及19-27之任一者的請求標的。
範例40為一種系統,包含:記憶體裝置及包含記憶體控制器單元之處理器,其中該處理器係組態成履行範例 10-18之任一者的方法。
於範例41中,範例40之請求標的,進一步包含範例1-9及19-27之任一者的請求標的。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法之所有選擇性特徵可針對處理器而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例42為一種處理器,包含:1)複數暫存器,用以儲存影子堆疊指針(SSP),其中各暫存器係與特權階層關聯;及2)處理器核心,操作性地耦合至該些複數暫存器,用以:a)檢測與目前有效應用程式關聯的中斷;b)根據該中斷以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),該SSP係與針對該中斷之特權階層關聯;及c)將該SSP儲存於由中斷閘描述符中之欄位所索引的記憶體資料結構中,該SSP係識別供由該處理器於該特權階層使用之影子堆疊。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之處理器的所有選擇性特徵亦可針對文中所述之方法及程序而被實施,且該些範例中之特點可被使用於一或更多實施例中的任何地方。
範例43為一種方法,包含:1)使用處理裝置以檢測與目前有效應用程式關聯的中斷;2)根據該中斷以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),該SSP係與針對該中斷之特權階層關聯;及 3)將該SSP儲存於由中斷閘描述符中之欄位所索引的記憶體資料結構中,該SSP係識別供由該處理裝置於該特權階層使用之影子堆疊。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之該些處理器及方法的所有選擇性特徵亦可針對文中所述之系統而被實施,且該些範例中之特點可被使用於一或更多實施例的任何地方。
範例44為一種系統單晶片(SoC),包含:1)複數暫存器,用以儲存影子堆疊指針(SSP),其中各暫存器係與特權階層關聯;及2)處理器核心,操作性地耦合至該些複數暫存器,用以:a)檢測與目前有效應用程式關聯的中斷;b)根據該中斷以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),該SSP係與針對該中斷之特權階層關聯;及c)將該SSP儲存於由中斷閘描述符中之欄位所索引的記憶體資料結構中,該SSP係識別供由該處理器於該特權階層使用之影子堆疊。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法之所有選擇性特徵亦可針對非暫態、電腦可讀取儲存媒體而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例45為一種儲存可執行指令之非暫態電腦可讀取儲存媒體,當被處理裝置執行時該些指令係致使該處理裝置:1)使用該處理裝置以檢測與目前有效應用程式關聯的中斷;2)根據該中斷以選擇該些複數暫存器之一暫存 器中所儲存的影子堆疊指針(SSP),該SSP係與針對該中斷之特權階層關聯;及3)將該SSP儲存於由中斷閘描述符中之欄位所索引的記憶體資料結構中,該SSP係識別供由該處理裝置於該特權階層使用之影子堆疊。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法、系統及非暫態、電腦可讀取儲存媒體之所有選擇性特徵亦可針對其他類型的結構而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例46為一種設備,包含:1)處理器之複數功能性單元;2)接收機構,用以由該處理器接收與目前有效應用程式關聯的中斷;3)選擇機構,用以根據該中斷以選擇該些複數暫存器之一暫存器中所儲存的影子堆疊指針(SSP),該SSP係與針對該中斷之特權階層關聯;及4)儲存機構,用以將該SSP儲存於由中斷閘描述符中之欄位所索引的記憶體資料結構中,該SSP係識別供由該處理裝置於該特權階層使用之影子堆疊。
於範例47中,範例46之請求標的,進一步包含範例42及44之任一者的請求標的。
範例48為一種系統,包含:記憶體裝置及包含記憶體控制器單元之處理器,其中該處理器係組態成履行範例43之方法。
於範例49中,範例48之請求標的,進一步包含範例42及44之任一者的請求標的。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法之所有選擇性特徵可針對處理器而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例50為一種處理器,包含:1)記憶體,其具有用以儲存影子堆疊指針(SSP)之資料結構;及2)處理器核心,操作性地耦合至該記憶體,用以:a)檢測一用以將與目前有效應用程式關聯的目前工作切換至新工作之工作切換操作;b)根據該工作切換操作以識別與該新工作關聯的資料結構;及c)從該資料結構選擇SSP,該SSP係識別供由該處理裝置於與該新工作關聯的特權階層使用之影子堆疊。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之處理器的所有選擇性特徵亦可針對文中所述之方法及程序而被實施,且該些範例中之特點可被使用於一或更多實施例中的任何地方。
範例51為一種方法,包含:1)由處理裝置檢測一用以將與目前有效應用程式關聯的目前工作切換至新工作之工作切換操作;2)根據該工作切換操作以識別與該新工作關聯的資料結構;3)從該資料結構選擇SSP,該SSP係識別供由該處理裝置於與該新工作關聯的特權階層使用之影子堆疊;及儲存該SSP於一與該特權階層關聯的該些複數暫存器之暫存器中。
各個實施例可具有以上所述之結構性特徵的不同組 合。例如,以上所述之該些處理器及方法的所有選擇性特徵亦可針對文中所述之系統而被實施,且該些範例中之特點可被使用於一或更多實施例的任何地方。
範例52為一種系統單晶片(SoC),包含:1)記憶體,其具有用以儲存影子堆疊指針(SSP)之資料結構;及2)處理器核心,操作性地耦合至該記憶體,用以:a)檢測一用以將與目前有效應用程式關聯的目前工作切換至新工作之工作切換操作;b)根據該工作切換操作以識別與該新工作關聯的資料結構;及c)從該資料結構選擇SSP,該SSP係識別供由該處理裝置於與該新工作關聯的特權階層使用之影子堆疊。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法之所有選擇性特徵亦可針對非暫態、電腦可讀取儲存媒體而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例53為一種儲存可執行指令之非暫態電腦可讀取儲存媒體,當被處理裝置執行時該些指令係致使該處理裝置:1)由該處理裝置檢測一用以將與目前有效應用程式關聯的目前工作切換至新工作之工作切換操作;2)根據該工作切換操作以識別與該新工作關聯的資料結構;3)從該資料結構選擇SSP,該SSP係識別供由該處理裝置於與該新工作關聯的特權階層使用之影子堆疊。
各個實施例可具有以上所述之操作性特徵的不同組合。例如,上述方法、系統及非暫態、電腦可讀取儲存媒 體之所有選擇性特徵亦可針對其他類型的結構而被實施。範例中之明確細節可被使用於一或更多實施例中的任何地方。
範例54為一種設備,包含:1)處理器之複數功能性單元;2)檢測機構,用以由該處理器檢測一用以將與目前有效應用程式關聯的目前工作切換至新工作之工作切換操作;3)識別機構,用以根據該工作切換操作以識別與該新工作關聯的資料結構;4)選擇機構,用以從該資料結構選擇SSP,該SSP係識別供由該處理裝置於與該新工作關聯的特權階層使用之影子堆疊。
於範例55中,範例54之請求標的,進一步包含範例50及52之任一者的請求標的。
範例56為一種系統,包含:記憶體裝置及包含記憶體控制器單元之處理器,其中該處理器係組態成履行範例51之方法。
於範例57中,範例56之請求標的,進一步包含範例50及52之任一者的請求標的。
雖然已針對有限數目的實施例來描述本發明,但那些熟悉此技藝人士將理解從這些實施例而來的各種修改及變異。後附申請專利範圍應涵蓋所有此等修改及變異而落入本發明之真實精神和範圍內。
設計可經歷各個階段,從創造至模擬至生產。表示設計之資料可以數種方式來表示設計。首先,如可用於模擬,硬體可使用硬體描述語言或另一功能性描述語言來表 示。此外,具有邏輯及/或電晶體閘之電路等級模型可於設計程序之某些階段被產生。再者,大部分設計(於某階段)達到表示硬體模型中之各個裝置的實體布局之資料的等級。於其中使用傳統半導體製造技術之情況下,表示硬體模型之資料可為指明針對用以產生積體電路之遮罩的不同遮罩層上之各個特徵的存在或缺乏之資料。於設計之任何表示中,資料可被儲存以機器可讀取媒體之任何形式。記憶體或者磁性或光學儲存(諸如碟片)可為用以儲存資訊之機器可讀取媒體,該資訊係經由光或電波(其被調變或者產生以傳輸此資訊)而被傳輸。當電載波(其係指示或攜載碼或設計)被傳輸時,至其電信號之複製、緩衝、或再傳輸被履行之程度,則新的副本被產生。因此,通訊提供者或網路提供者可於有形的、機器可讀取媒體上(至少暫時地)儲存一物件,諸如編碼入載波之資訊,實現本發明之實施例的技術。
如文中所使用之模組係指稱硬體、軟體、及/或韌體之任何組合。當作範例,模組包括硬體,諸如微控制器,其係與非暫態媒體相關以儲存適於由微控制器所執行的碼。因此,模組之參考(於一實施例中)係指稱硬體,其被明確地組態成辨識及/或執行該碼以供被保持於非暫態媒體上。再者,於另一實施例中,模組之使用係指稱包括該碼之非暫態媒體,其係明確地適於由微控制器所執行以履行預定的操作。而如可被推斷者,於又另一實施例中,術語模組(於此範例中)可指稱微控制器與非暫態媒體之 組合。其被顯示為分離之模組邊界經常共同地改變且潛在地重疊。例如,第一和第二模組可共用硬體、軟體、韌體、或其組合,而潛在地留存某些獨立的硬體、軟體、或韌體。於一實施例中,術語邏輯之使用包括硬體,諸如電晶體、暫存器、或其他硬體,諸如可編程裝置。
用語「組態成」之使用(於一實施例中)係指稱配置、結合、製造、提供銷售、進口及/或設計設備、硬體、邏輯、或元件以履行指定的或決定的工作。於此範例中,非操作中之設備或其元件仍「組態成」履行指定的工作,假如其被設計、耦合、及/或互連以履行該指定的工作。當作純粹說明性範例,邏輯閘可提供0或1於操作期間。但邏輯閘「組態成」提供致能信號給時鐘,其不包括其可提供1或0之每一潛在邏輯閘。取代地,邏輯閘係以某方式耦合以致其於操作期間1或0輸出係用以致能時鐘。再次注意:術語「組態成」之使用不要求操作,但取代地聚焦於設備、硬體、及/或元件之潛時狀態,其為當設備、硬體、及/或元件正操作時該設備、硬體、及/或元件所被設計以履行特定工作之潛時狀態。
再者,用語「用以」、「得以/用以」、及/或「可操作以」(於一實施例中)係指稱某設備、邏輯、硬體、及/或元件,其被設計以致能用指定方式之設備、邏輯、硬體、及/或元件的使用。注意:如上所述,用以、得以、或可操作以(於一實施例中)係指稱設備、邏輯、硬體、及/或元件之潛時狀態,其中該設備、邏輯、硬體、及/或 元件並未操作而被設計以致能用指定方式之設備的使用。
一值(如文中所使用者)包括數字、狀態、邏輯狀態、或二元邏輯狀態之任何已知表示。經常,邏輯位準、邏輯值、或邏輯上的值之使用亦被稱為1和0,其僅代表二元邏輯狀態。例如,1係指稱高邏輯位準而0係指稱低邏輯位準。於一實施例中,儲存單元(諸如電晶體或快取單元)得以保留單一邏輯值或多數邏輯值。然而,電腦系統中之值的其他表示已被使用。例如,十進位數「十」亦可被表示為910之二元值及十六進位字母A。因此,一值包括能夠被保留於電腦系統中之資訊的任何表示。
此外,狀態可由值或值之部分所表示。當作範例,第一值(諸如邏輯一)可表示預設或初始狀態,而第二值(諸如邏輯零)可表示非預設狀態。此外,術語重設及設定(於一實施例中)係指稱預設值以及更新值或狀態,個別地。例如,預設值潛在地包括高邏輯值(亦即,重設),而更新值潛在地包括低邏輯值(亦即,設定)。注意:值之任何組合可被利用以表示任何數目的狀態。
以上所提出之方法、硬體、軟體、韌體或碼之實施例可經由指令或碼而被實施,該些指令或碼被儲存於其可由處理元件所執行之機器可存取、機器可讀取、電腦可存取、或電腦可讀取媒體上。非暫態機器可存取/可讀取媒體包括任何機制,其係提供(亦即,儲存及/或傳輸)資訊以其可由機器(諸如電腦或電子系統)所讀取的形式。例如,非暫態機器可存取媒體包括隨機存取記憶體 (RAM),諸如靜態RAM(SRAM)或動態RAM(DRAM);ROM;磁性或光學儲存媒體;快閃記憶體裝置;電儲存裝置;光學儲存裝置;音響儲存裝置;用以保持從暫時(傳播)信號(例如,載波、紅外線信號、數位信號)所接收之資訊的其他形式儲存裝置;等等,其係用以被區分自非暫態媒體(其可從該處接收資訊)。
用於程式邏輯以履行本發明之實施例的指令可被儲存於系統中之記憶體內,諸如DRAM、快取、快閃記憶體、或其他儲存。再者,該些指令可經由網路或藉由其他電腦可讀取媒體而被分佈。因此機器可讀取媒體可包括用以依可由機器(例如,電腦)所讀取之形式儲存或傳輸資訊的任何機制,但不限定於軟碟、光碟、CD、唯讀記憶體(CD-ROM)、及磁光碟、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、可抹除可編程唯讀記憶體(EPROM)、電可抹除可編程唯讀記憶體(EEPROM)、磁或光學卡、快閃記憶體、或有形、機器可讀取儲存,用於透過經電、光、聲或其他形式的傳播信號(例如,載波、紅外線信號、數位信號,等等)之網際網路的資訊之傳輸。因此,電腦可讀取媒體包括適於以可由機器(例如,電腦)所讀取之形式儲存或傳輸電子指令或資訊的任何類型的有形機器可讀取媒體。
遍及本說明書內針對「一個實施例」或「一實施例」之參考係表示關於該實施例所描述之特定特徵、結構、或特性被包括於本發明之至少一實施例中。因此,遍及本說 明書於各處中之用語「於一個實施例中」或「於一實施例中」的出現不一定均指稱相同實施例。再者,特定特徵、結構、或特性可被結合以任何適當的方式於一或更多實施例中。
於前述說明書中,已參考其特定範例實施例而提供詳細描述。然而,將清楚明白的是:可對其進行各種修改及改變而不背離如後附申請專利範圍中所提出之本發明的較寬廣精神及範圍。說明書及圖式因此將被視為說明性意義而非限制性意義。再者,實施例及其他範例語言之前述使用不一定指稱相同的實施例或相同的範例,而可指稱不同的或有別的實施例、以及潛在地相同的實施例。
100‧‧‧處理裝置
110‧‧‧處理核心
120‧‧‧記憶體控制器
130‧‧‧快取
132‧‧‧L1快取
134‧‧‧L2快取
136‧‧‧最後階快取(LLC)
140‧‧‧使用者階層應用程式
150‧‧‧指令
152‧‧‧呼叫程序指令
154‧‧‧返回自程序
170‧‧‧影子堆疊暫存器
175‧‧‧影子堆疊指針(SPP)
180‧‧‧影子堆疊保護邏輯
185‧‧‧影子堆疊模式

Claims (18)

  1. 一種處理器,包含:複數暫存器,用以儲存影子堆疊指針(SSP),其中各暫存器係與特權階層關聯;及處理器核心電路,操作性地耦合至該些複數暫存器,用以:接收用以將與目前有效應用程式關聯的第一特權階層改變至第二特權階層之指示符;根據該第二特權階層以選擇該些複數暫存器之一暫存器,其中該暫存器包含影子堆疊指針(SSP);及使用該SSP識別用以於該第二特權階層控制該目前有效應用程式的資料流之影子堆疊。
  2. 如申請專利範圍第1項之處理器,其中該處理器核心電路進一步用以,回應於檢測到其該第二特權階層係高於該第一特權階層,確認與該第二特權階層關聯的該SSP。
  3. 如申請專利範圍第2項之處理器,其中用以確認該SSP,該處理器核心電路係進一步用以確認由該SSP所識別的該影子堆疊。
  4. 如申請專利範圍第3項之處理器,其中用以確認該影子堆疊,該處理器核心電路係進一步用以:判定與該影子堆疊關聯的記憶體位置是否被標記為影子堆疊記憶體;識別與該影子堆疊關聯的符記; 鎖定該符記以防止對於由該符記所包含之位址的修改;及驗證由該SSP所指至之位址係匹配由該符記所包含的該位址。
  5. 如申請專利範圍第4項之處理器,其中該處理器核心電路係進一步用以,回應於檢測到該影子堆疊不是有效的,產生錯誤指示符。
  6. 如申請專利範圍第4項之處理器,其中該處理器核心電路係進一步用以,回應於檢測到該影子堆疊是有效的:標記該符記以指示其該影子堆疊是忙碌的;及將返回位址及與該第一特權階層關聯的SSP儲存於該影子堆疊中。
  7. 如申請專利範圍第6項之處理器,其中該處理器核心電路係進一步用以,回應於檢測到從該第二特權階層返回至該第一特權階層,驗證該影子堆疊中所儲存之該返回位址係匹配一與該目前有效應用程式關聯的堆疊中所儲存之返回位址。
  8. 如申請專利範圍第7項之處理器,其中該處理器核心電路係進一步用以,回應於驗證該返回位址:將與該第一特權階層關聯的該SSP復原為用於該目前有效應用程式之目前SSP;及標記該符記,其係指示該影子堆疊為空閒的。
  9. 如申請專利範圍第1項之處理器,其中該處理器 核心電路進一步用以,回應於檢測到該第一特權階層為應用程式特權階層而該第二特權階層為管理員特權階層:將用於該目前有效應用程式之目前SSP儲存於一與該應用程式特權階層關聯的暫存器中;選擇與該管理員特權階層關聯的該SSP為該目前SSP;判定由該SSP所識別的該影子堆疊是否將被使用於該管理員特權階層;及回應於該判定,判定是否驗證該影子堆疊為忙碌或者將與該影子堆疊關聯的符記標記為忙碌。
  10. 一種用於以在環移轉期間保護堆疊的處理器擴展的方法,包含:使用處理裝置以接收用以將目前有效應用程式的第一特權階層改變至第二特權階層之指示符;根據該第二特權階層以選擇該處理裝置的複數暫存器之一暫存器,其中該暫存器包影子堆疊指針(SSP);及使用該SSP識別用以於該第二特權階層控制該目前有效應用程式的資料流之影子堆疊。
  11. 如申請專利範圍第10項之方法,進一步包含回應於檢測到其該第二特權階層係高於該第一特權階層,確認與該第二特權階層關聯的該SSP。
  12. 如申請專利範圍第10項之方法,其中用以確認該SSP進一步包含確認由該SSP所識別的該影子堆疊。
  13. 如申請專利範圍第12項之方法,其中用以確認 該影子堆疊,進一步包含:判定與該影子堆疊關聯的記憶體位置是否被標記為影子堆疊記憶體;識別與該影子堆疊關聯的符記;鎖定該符記以防止對於由該符記所包含之位址的修改;及驗證由該SSP所指至之位址係匹配由該符記所包含的該位址。
  14. 如申請專利範圍第13項之方法,進一步包含回應於檢測到該影子堆疊不是有效的,產生錯誤指示符。
  15. 如申請專利範圍第13項之方法,進一步包含回應於檢測到該影子堆疊是有效的:標記該符記以指示其該影子堆疊是忙碌的;及將返回位址及與該第一特權階層關聯的SSP儲存於該影子堆疊中。
  16. 如申請專利範圍第15項之方法,進一步包含回應於檢測到從該第二特權階層返回至該第一特權階層,驗證該影子堆疊中所儲存之該返回位址係匹配一與該目前有效應用程式關聯的堆疊中所儲存之返回位址。
  17. 如申請專利範圍第16項之方法,進一步包含回應於驗證該返回位址:將與該第一特權階層關聯的該SSP復原為用於該目前有效應用程式之目前SSP;及標記該符記,其係指示該影子堆疊為空閒的。
  18. 如申請專利範圍第10項之方法,進一步包含回應於檢測到該第一特權階層為應用程式特權階層而該第二特權階層為管理員特權階層:將用於該目前有效應用程式之目前SSP儲存於一與該應用程式特權階層關聯的暫存器中;選擇與該管理員特權階層關聯的該SSP為該目前SSP;判定由該SSP所識別的該影子堆疊是否將被使用於該管理員特權階層;及回應於該判定,判定是否驗證該影子堆疊為忙碌或者將與該影子堆疊關聯的符記標記為忙碌。
TW106101043A 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的處理器及方法 TWI715704B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/016,068 2016-02-04
US15/016,068 US10430580B2 (en) 2016-02-04 2016-02-04 Processor extensions to protect stacks during ring transitions

Publications (2)

Publication Number Publication Date
TW201738800A TW201738800A (zh) 2017-11-01
TWI715704B true TWI715704B (zh) 2021-01-11

Family

ID=59497842

Family Applications (4)

Application Number Title Priority Date Filing Date
TW106101043A TWI715704B (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的處理器及方法
TW112105803A TW202347151A (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的設備
TW110101138A TWI749999B (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的設備、方法及機器可讀媒體
TW110145473A TWI796031B (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的設備

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW112105803A TW202347151A (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的設備
TW110101138A TWI749999B (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的設備、方法及機器可讀媒體
TW110145473A TWI796031B (zh) 2016-02-04 2017-01-12 用於以在環移轉期間保護堆疊的處理器擴展的設備

Country Status (5)

Country Link
US (4) US10430580B2 (zh)
CN (2) CN113836523A (zh)
DE (1) DE112017000677T5 (zh)
TW (4) TWI715704B (zh)
WO (1) WO2017136101A1 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160381050A1 (en) 2015-06-26 2016-12-29 Intel Corporation Processors, methods, systems, and instructions to protect shadow stacks
US10394556B2 (en) 2015-12-20 2019-08-27 Intel Corporation Hardware apparatuses and methods to switch shadow stack pointers
US10430580B2 (en) 2016-02-04 2019-10-01 Intel Corporation Processor extensions to protect stacks during ring transitions
US20210026950A1 (en) * 2016-03-07 2021-01-28 Crowdstrike, Inc. Hypervisor-based redirection of system calls and interrupt-based task offloading
US10157268B2 (en) * 2016-09-27 2018-12-18 Microsoft Technology Licensing, Llc Return flow guard using control stack identified by processor register
US10885183B2 (en) * 2017-09-28 2021-01-05 International Business Machines Corporation Return oriented programming attack protection
US10185595B1 (en) * 2018-06-04 2019-01-22 Confia Systems, Inc. Program verification using hash chains
US10909046B2 (en) * 2018-06-15 2021-02-02 Micron Technology, Inc. Memory access determination
US11258861B2 (en) * 2018-06-29 2022-02-22 Intel Corporation Secure reporting of platform state information to a remote server
WO2020000335A1 (en) * 2018-06-29 2020-01-02 Intel Corporation Systems and methods of restricting access to kernel memory
US20200143043A1 (en) * 2018-11-07 2020-05-07 Raytheon Company Edge verification and elimination control flow integrity
KR20210034784A (ko) * 2019-09-23 2021-03-31 삼성전자주식회사 솔리드 스테이트 드라이브 장치 및 그 제조 방법
FR3105855B1 (fr) * 2019-12-31 2022-01-07 Kalray Processeur à distribution configurable des ressources privilégiées et exceptions aux anneaux de protection
US11500981B2 (en) * 2020-03-24 2022-11-15 Microsoft Technology Licensing, Llc Shadow stack enforcement range for dynamic code
US11861364B2 (en) * 2020-03-24 2024-01-02 Microsoft Technology Licensing, Llc Circular shadow stack in audit mode
US11379579B2 (en) * 2020-03-24 2022-07-05 Microsoft Technology Licensing, Llc Shadow stack violation enforcement at module granularity
US11243769B2 (en) * 2020-03-28 2022-02-08 Intel Corporation Shadow stack ISA extensions to support fast return and event delivery (FRED) architecture
CN113825687A (zh) * 2020-04-01 2021-12-21 御眼视觉技术有限公司 流控制完整性
CN112580023B (zh) * 2020-12-23 2023-11-21 海光信息技术股份有限公司 影子栈管理方法及装置、介质、设备
US20220283813A1 (en) * 2021-03-02 2022-09-08 Intel Corporation Flexible return and event delivery
CN114064363A (zh) * 2021-11-22 2022-02-18 上海兆芯集成电路有限公司 指令执行方法及指令执行装置
CN114064051A (zh) * 2021-11-22 2022-02-18 上海兆芯集成电路有限公司 指令执行方法及指令执行装置
US11977486B2 (en) * 2022-04-04 2024-05-07 International Business Machines Corporation Shadow pointer directory in an inclusive hierarchical cache
DE102022114837A1 (de) 2022-06-13 2023-12-14 Universität Leipzig, Körperschaft des öffentlichen Rechts Verfahren und Vorrichtungen zur Sicherung von Mikrocontrollern und eingebetteten Systemen

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5634046A (en) * 1994-09-30 1997-05-27 Microsoft Corporation General purpose use of a stack pointer register
US20030196076A1 (en) * 2001-07-02 2003-10-16 Globespan Virata Incorporated Communications system using rings architecture
US6751749B2 (en) * 2001-02-22 2004-06-15 International Business Machines Corporation Method and apparatus for computer system reliability
TW200709041A (en) * 2005-06-06 2007-03-01 Atmel Corp Computer system or processor with method of performing a shadow register operation
US20120036299A1 (en) * 2008-08-26 2012-02-09 Atmel Corporation Secure Information Processing
TWI470434B (zh) * 2011-12-30 2015-01-21 Intel Corp 在電腦系統中執行之方法,電腦系統,處理器

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3794980A (en) 1971-04-21 1974-02-26 Cogar Corp Apparatus and method for controlling sequential execution of instructions and nesting of subroutines in a data processor
EP0473714A1 (en) 1989-05-26 1992-03-11 Massachusetts Institute Of Technology Parallel multithreaded data processing system
JPH0752576B2 (ja) 1990-07-19 1995-06-05 株式会社東芝 スタックメモリ
CA2074769C (en) 1991-08-09 2001-03-20 International Business Machines Corporation Formula processor
US5640582A (en) 1992-05-21 1997-06-17 Intel Corporation Register stacking in a computer system
US5497494A (en) 1993-07-23 1996-03-05 International Business Machines Corporation Method for saving and restoring the state of a CPU executing code in protected mode
US5968169A (en) 1995-06-07 1999-10-19 Advanced Micro Devices, Inc. Superscalar microprocessor stack structure for judging validity of predicted subroutine return addresses
US6088786A (en) * 1997-06-27 2000-07-11 Sun Microsystems, Inc. Method and system for coupling a stack based processor to register based functional unit
US6128728A (en) 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US7421572B1 (en) 1999-09-01 2008-09-02 Intel Corporation Branch instruction for processor with branching dependent on a specified bit in a register
US6757771B2 (en) 2000-08-09 2004-06-29 Advanced Micro Devices, Inc. Stack switching mechanism in a computer system
JP4564756B2 (ja) * 2002-04-18 2010-10-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド セキュア実行モードで動作し得るプロセッサを含むコンピュータシステムの初期化方法
US7086088B2 (en) 2002-05-15 2006-08-01 Nokia, Inc. Preventing stack buffer overflow attacks
JP2004013556A (ja) 2002-06-07 2004-01-15 Matsushita Electric Ind Co Ltd プロセッサ装置、コンパイル装置及びその方法
US6996677B2 (en) 2002-11-25 2006-02-07 Nortel Networks Limited Method and apparatus for protecting memory stacks
US20040168078A1 (en) 2002-12-04 2004-08-26 Brodley Carla E. Apparatus, system and method for protecting function return address
US7594111B2 (en) * 2002-12-19 2009-09-22 Massachusetts Institute Of Technology Secure execution of a computer program
FR2851349A1 (fr) 2003-02-17 2004-08-20 St Microelectronics Sa Procede de gestion d'une pile de microprocesseur pour la sauvegarde de donnees contextuelles
US7278030B1 (en) * 2003-03-03 2007-10-02 Vmware, Inc. Virtualization system for computers having multiple protection mechanisms
US20050044292A1 (en) 2003-08-19 2005-02-24 Mckeen Francis X. Method and apparatus to retain system control when a buffer overflow attack occurs
US7610473B2 (en) 2003-08-28 2009-10-27 Mips Technologies, Inc. Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
DE10349200A1 (de) 2003-10-23 2005-05-25 Daimlerchrysler Ag System und Verfahren zur Überwachung und Verwaltung prozessinterner Speicher einer Prozessausführungseinheit
US8312452B2 (en) * 2005-06-30 2012-11-13 Intel Corporation Method and apparatus for a guest to access a privileged register
US7844804B2 (en) 2005-11-10 2010-11-30 Qualcomm Incorporated Expansion of a stacked register file using shadow registers
JP4844102B2 (ja) 2005-11-30 2011-12-28 富士ゼロックス株式会社 サブプログラム及びそのサブプログラムを実行する情報処理装置
US7581089B1 (en) * 2006-04-20 2009-08-25 The United States Of America As Represented By The Director Of The National Security Agency Method of protecting a computer stack
US9652241B2 (en) 2007-04-10 2017-05-16 Cambridge Consultants Ltd. Data processing apparatus with instruction encodings to enable near and far memory access modes
CN100511119C (zh) * 2007-08-03 2009-07-08 东南大学 一种实现片上影子堆栈存储器的方法及其电路
CN201060485Y (zh) * 2007-08-03 2008-05-14 东南大学 一种实现片上影子堆栈存储器的电路
US8209757B1 (en) 2008-03-06 2012-06-26 Symantec Corporation Direct call into system DLL detection system and method
US8117660B2 (en) 2008-06-19 2012-02-14 Microsoft Corporation Secure control flows by monitoring control transfers
WO2010004243A2 (en) 2008-07-10 2010-01-14 Cambridge Consultants Limited Interrupt processing
US8578483B2 (en) * 2008-07-31 2013-11-05 Carnegie Mellon University Systems and methods for preventing unauthorized modification of an operating system
US7962729B2 (en) 2009-01-05 2011-06-14 International Business Machines Corporation Dynamic runtime range checking of different types on a register using upper and lower bound value registers for the register
US20110161592A1 (en) * 2009-12-31 2011-06-30 Nachimuthu Murugasamy K Dynamic system reconfiguration
US8392644B2 (en) * 2010-07-30 2013-03-05 Mips Technologies, Inc. System and method for automatic hardware interrupt handling
US20120236010A1 (en) 2011-03-15 2012-09-20 Boris Ginzburg Page Fault Handling Mechanism
US9633407B2 (en) 2011-07-29 2017-04-25 Intel Corporation CPU/GPU synchronization mechanism
US9116711B2 (en) 2012-02-08 2015-08-25 Arm Limited Exception handling in a data processing apparatus having a secure domain and a less secure domain
CA2809516C (en) 2013-03-13 2016-11-08 Khalid Nawaf Alharbi Preventing stack buffer overflow attacks
EP2972798B1 (en) * 2013-03-15 2020-06-17 Intel Corporation Method and apparatus for guest return address stack emulation supporting speculation
US9239801B2 (en) 2013-06-05 2016-01-19 Intel Corporation Systems and methods for preventing unauthorized stack pivoting
US9015835B2 (en) 2013-06-23 2015-04-21 Intel Corporation Systems and methods for procedure return address verification
WO2015044993A1 (ja) 2013-09-24 2015-04-02 株式会社 エーティーティーコンサルティング プロセッサ、処理装置、プログラム作成方法
FR3013869B1 (fr) 2013-11-22 2016-01-01 Thales Sa Procede de detection des debordements de pile et processeur pour la mise en oeuvre d'un tel procede
US9703948B2 (en) 2014-03-28 2017-07-11 Intel Corporation Return-target restrictive return from procedure instructions, processors, methods, and systems
US9501637B2 (en) 2014-09-26 2016-11-22 Intel Corporation Hardware shadow stack support for legacy guests
US9767272B2 (en) 2014-10-20 2017-09-19 Intel Corporation Attack Protection for valid gadget control transfers
US20160179538A1 (en) 2014-12-19 2016-06-23 Intel Corporation Method and apparatus for implementing and maintaining a stack of predicate values with stack synchronization instructions in an out of order hardware software co-designed processor
US9477453B1 (en) 2015-06-24 2016-10-25 Intel Corporation Technologies for shadow stack manipulation for binary translation systems
US9817642B2 (en) 2015-06-25 2017-11-14 Intel Corporation Apparatus and method for efficient call/return emulation using a dual return stack buffer
US20160381050A1 (en) 2015-06-26 2016-12-29 Intel Corporation Processors, methods, systems, and instructions to protect shadow stacks
US9965619B2 (en) 2015-07-13 2018-05-08 Intel Corporation Return address overflow buffer
US20170090927A1 (en) 2015-09-30 2017-03-30 Paul Caprioli Control transfer instructions indicating intent to call or return
US10394556B2 (en) 2015-12-20 2019-08-27 Intel Corporation Hardware apparatuses and methods to switch shadow stack pointers
US9898351B2 (en) 2015-12-24 2018-02-20 Intel Corporation Method and apparatus for user-level thread synchronization with a monitor and MWAIT architecture
US10430580B2 (en) * 2016-02-04 2019-10-01 Intel Corporation Processor extensions to protect stacks during ring transitions
US10157277B2 (en) * 2016-10-01 2018-12-18 Intel Corporation Technologies for object-oriented memory management with extended segmentation

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5634046A (en) * 1994-09-30 1997-05-27 Microsoft Corporation General purpose use of a stack pointer register
US6751749B2 (en) * 2001-02-22 2004-06-15 International Business Machines Corporation Method and apparatus for computer system reliability
US20030196076A1 (en) * 2001-07-02 2003-10-16 Globespan Virata Incorporated Communications system using rings architecture
TW200709041A (en) * 2005-06-06 2007-03-01 Atmel Corp Computer system or processor with method of performing a shadow register operation
US20120036299A1 (en) * 2008-08-26 2012-02-09 Atmel Corporation Secure Information Processing
TWI470434B (zh) * 2011-12-30 2015-01-21 Intel Corp 在電腦系統中執行之方法,電腦系統,處理器

Also Published As

Publication number Publication date
US11762982B2 (en) 2023-09-19
US20200089871A1 (en) 2020-03-19
TWI749999B (zh) 2021-12-11
WO2017136101A1 (en) 2017-08-10
TW202238423A (zh) 2022-10-01
TW202347151A (zh) 2023-12-01
CN108463826B (zh) 2022-08-09
CN108463826A (zh) 2018-08-28
US20230401309A1 (en) 2023-12-14
TW201738800A (zh) 2017-11-01
US11176243B2 (en) 2021-11-16
TWI796031B (zh) 2023-03-11
US20170228535A1 (en) 2017-08-10
US20210382987A1 (en) 2021-12-09
CN113836523A (zh) 2021-12-24
US10430580B2 (en) 2019-10-01
DE112017000677T5 (de) 2018-10-18
TW202127288A (zh) 2021-07-16

Similar Documents

Publication Publication Date Title
TWI715704B (zh) 用於以在環移轉期間保護堆疊的處理器擴展的處理器及方法
TWI712953B (zh) 用以保護影子堆疊之處理器、方法、系統和指令
US11650818B2 (en) Mode-specific endbranch for control flow termination
TWI729033B (zh) 控制轉移強制執行中的非追蹤控制轉移的方法及處理器
US11656873B2 (en) Shadow stack ISA extensions to support fast return and event delivery (FRED) architecture
TW202416122A (zh) 用以保護影子堆疊之處理器、方法、系統和指令