TWI703673B - Method of fabricating semiconductor device and semiconductor device - Google Patents

Method of fabricating semiconductor device and semiconductor device Download PDF

Info

Publication number
TWI703673B
TWI703673B TW108120542A TW108120542A TWI703673B TW I703673 B TWI703673 B TW I703673B TW 108120542 A TW108120542 A TW 108120542A TW 108120542 A TW108120542 A TW 108120542A TW I703673 B TWI703673 B TW I703673B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
dielectric
layer
trench
deposition process
Prior art date
Application number
TW108120542A
Other languages
Chinese (zh)
Other versions
TW202013596A (en
Inventor
顏君旭
許育銓
楊正輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/270,477 external-priority patent/US11201122B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013596A publication Critical patent/TW202013596A/en
Application granted granted Critical
Publication of TWI703673B publication Critical patent/TWI703673B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A trench is formed through a plurality of layers that are disposed over a first substrate. A first deposition process is performed to at least partially fill the trench with a first dielectric layer. The first dielectric layer delivers a tensile stress. A second deposition process is performed to form a second dielectric layer over the first dielectric layer. A third deposition process is performed to form a third dielectric layer over the second dielectric layer. The third dielectric layer delivers a first compressive stress.

Description

半導體裝置的製造方法以及半導體裝置 Manufacturing method of semiconductor device and semiconductor device

本揭示內容涉及填充半導體裝置的深溝槽的方法。 The present disclosure relates to methods of filling deep trenches of semiconductor devices.

半導體積體電路(IC)歷經了快速的成長。積體電路材料和設計上的技術進展已產生了數個世代的積體電路,其中每一代都具有比上一代更小和更複雜的電路。然而,隨著半導體製造上尺寸繼續縮小,可能出現各種新挑戰。例如,半導體製造可能涉及填充具有高縱橫比(例如,縱橫比大於或等於10:1)的溝槽,因為半導體裝置尺寸(以及導致溝槽尺寸也如此)變地越來越小,填充這樣的溝槽可能難以執行。另一個例子是,半導體製造可能涉及將不同晶圓接合在一起的製程。然而,晶圓的翹曲(其可能部分是由釋放拉伸應力的膜所引起)可能會導致接合的金屬裂縫。由於接合的區域越來越小,因此半導體製造上的尺寸縮小可能更進一步加據此問題。 Semiconductor integrated circuits (IC) have experienced rapid growth. Technological advances in integrated circuit materials and design have produced several generations of integrated circuits, each of which has smaller and more complex circuits than the previous generation. However, as the size of semiconductor manufacturing continues to shrink, various new challenges may arise. For example, semiconductor manufacturing may involve filling trenches with a high aspect ratio (e.g., aspect ratio greater than or equal to 10:1), because the size of semiconductor devices (and the resulting trench size also) becomes smaller and smaller, filling such trenches Trenching can be difficult to implement. Another example is that semiconductor manufacturing may involve the process of bonding different wafers together. However, the warpage of the wafer (which may be partly caused by the tensile stress-relieving film) may cause metal cracks in the bonding. As the bonding area is getting smaller and smaller, the size reduction in semiconductor manufacturing may further add to this problem.

因此,雖然現有的半導體製造方法總體上已足 以達到預期的目的,但在各方面則不完全令人滿意。 Therefore, although the existing semiconductor manufacturing methods are generally sufficient In order to achieve the desired purpose, but not completely satisfactory in all aspects.

本揭示內容提供了一種半導體裝置的製造方法,包含:形成溝槽,其穿過設置在第一基板上的複數個層;執行第一沉積製程,以用第一介電層至少部分地填充溝槽,其中第一介電層釋放拉伸應力;執行第二沉積製程,以在第一介電層之上形成第二介電層;以及執行第三沉積製程,以在第二介電層之上形成第三介電層,其中第三介電層釋放第一壓縮應力。 The present disclosure provides a method for manufacturing a semiconductor device, including: forming a trench through a plurality of layers provided on a first substrate; performing a first deposition process to at least partially fill the trench with a first dielectric layer Groove, in which the first dielectric layer releases tensile stress; performing a second deposition process to form a second dielectric layer on the first dielectric layer; and performing a third deposition process to form a second dielectric layer A third dielectric layer is formed thereon, wherein the third dielectric layer releases the first compressive stress.

本揭示內容提供了另一種半導體裝置的製造方法,包含:形成溝槽,其穿過設置在裝置基板上的複數個層的堆疊,其中溝槽具有大於或等於約10:1的縱橫比,並且其中堆疊的複數個層中的一個層包含接合墊;使用旋塗介電質沉積製程,以第一介電材料部分地填充溝槽,第一介電材料處於液態;烘烤第一介電材料,以將第一介電材料從液態轉變為固態;在烘烤之後,用第二介電材料填充溝槽的剩餘部分;使用電漿沉積製程在第二介電材料之上形成第三介電材料,其中第三介電材料形成為具有一厚度,此厚度在第一介電材料和第二介電材料的總和厚度的約20%至約80%之內;使用化學氣相沉積製程在第三介電材料之上形成第四介電材料;蝕刻開口,其穿過第四介電材料、第三介電材料、第二介電材料、和第一介電材料,其中開口暴露接合墊的至少一部分;以及將載體基板耦合到裝置基板,其中耦合包含 通過開口插入載體基板的突出組件並且將突出組件接合到接合墊。 The present disclosure provides another method of manufacturing a semiconductor device, including: forming a trench through a stack of a plurality of layers provided on a device substrate, wherein the trench has an aspect ratio greater than or equal to about 10:1, and One of the stacked layers includes a bonding pad; a spin-on dielectric deposition process is used to partially fill the trench with a first dielectric material, and the first dielectric material is in a liquid state; and the first dielectric material is baked , To transform the first dielectric material from liquid to solid; after baking, fill the remaining part of the trench with a second dielectric material; use a plasma deposition process to form a third dielectric on the second dielectric material Material, wherein the third dielectric material is formed to have a thickness within about 20% to about 80% of the total thickness of the first dielectric material and the second dielectric material; the chemical vapor deposition process is used in the first A fourth dielectric material is formed on the three dielectric materials; an opening is etched through the fourth dielectric material, the third dielectric material, the second dielectric material, and the first dielectric material, wherein the opening exposes the bonding pad And coupling the carrier substrate to the device substrate, wherein the coupling includes The protruding component of the carrier substrate is inserted through the opening and the protruding component is bonded to the bonding pad.

本揭示內容亦提供了一種半導體裝置,包含:複數個層、溝槽、第二介電層、以及第三介電層。複數個層垂直地堆疊在一起。溝槽延伸垂直地穿過複數個層,其中溝槽至少部分地由第一介電層填充,第一介電層釋放第一拉伸應力。第二介電層設置在第一介電層上,其中第二介電層釋放第二拉伸應力或第一壓縮應力。第三介電層設置在第二介電層上,其中第三介電層釋放第二壓縮應力。 The present disclosure also provides a semiconductor device including a plurality of layers, trenches, a second dielectric layer, and a third dielectric layer. Multiple layers are stacked vertically. The trench extends vertically through the plurality of layers, wherein the trench is at least partially filled with a first dielectric layer, and the first dielectric layer releases the first tensile stress. The second dielectric layer is disposed on the first dielectric layer, wherein the second dielectric layer releases the second tensile stress or the first compressive stress. The third dielectric layer is disposed on the second dielectric layer, wherein the third dielectric layer releases the second compressive stress.

100:半導體裝置 100: Semiconductor device

110:基板 110: substrate

120:磊晶層 120: epitaxial layer

130:層 130: layer

140:導電元件 140: conductive element

150:層 150: layer

160:鈍化層 160: passivation layer

170:層 170: layer

180:鈍化層 180: passivation layer

200、201、202:溝槽 200, 201, 202: groove

220、230:尺寸 220, 230: size

250:層 250: layer

300:沉積製程 300: deposition process

310、311、312:層 310, 311, 312: layer

320:厚度 320: thickness

350:退火製程 350: Annealing process

370:沉積製程 370: Deposition process

380:層 380: layer

390:厚度 390: thickness

400:沉積製程 400: deposition process

410:層 410: layer

420:厚度 420: Thickness

450:平坦化製程 450: Flattening process

500:沉積製程 500: deposition process

510:層 510: layer

520:厚度 520: thickness

550:蝕刻製程 550: etching process

570:開口 570: open

600:載體基板 600: carrier substrate

600A:突出組件 600A: Protruding components

650:研磨製程 650: Grinding process

700:蝕刻製程 700: Etching process

720:開口 720: open

900:方法 900: method

910、920、930、940、950:步驟 910, 920, 930, 940, 950: steps

本揭示內容的各方面,可由以下的詳細描述,並與所附圖式一起閱讀,而得到最佳的理解。需要強調的是,根據業界的標準作法,各個特徵沒有按比例繪製。事實上,為了清楚地討論,各個特徵的尺寸可能任意地增加或減小。並且要強調的是所附圖式僅繪示本揭示內容的代表性的實施方式,因此不應視為對範圍的限制,因為本揭示內容可同樣適用於其他實施方式。 The various aspects of the present disclosure can be best understood by reading the following detailed description together with the accompanying drawings. It should be emphasized that, according to industry standard practices, the various features are not drawn to scale. In fact, for clarity of discussion, the size of each feature may be increased or decreased arbitrarily. And it should be emphasized that the accompanying drawings only illustrate representative implementations of the present disclosure, and therefore should not be regarded as limiting the scope, because the present disclosure can also be applied to other implementations.

第1圖至第12圖為根據本揭示內容的各實施方式,繪示半導體裝置在製造的各個階段時的示意性局部剖面視圖。 1 to 12 are schematic partial cross-sectional views of the semiconductor device at various stages of manufacturing according to various embodiments of the present disclosure.

第13圖為根據本揭示內容的實施方式,繪示製造半導體裝置的方法的流程圖。 FIG. 13 is a flowchart of a method of manufacturing a semiconductor device according to an embodiment of the present disclosure.

之後的揭示內容提供了許多不同的實施方式或實施例,以實現所提供的標的的不同特徵。以下描述組件和配置的具體實施例,以簡化本揭示內容。這些當然僅是實施例,並不意圖限定。例如,在隨後的描述中,形成第一特徵高於第二特徵或在第二特徵上方,可能包括第一和第二特徵以直接接觸形成的實施方式,且也可能包括附加的特徵可能形成於第一和第二特徵之間,因此第一和第二特徵可能不是直接接觸的實施方式。此外,本揭示內容可在各個實施例中重複標示數字和/或字母。這樣的重複,是為了簡化和清楚起見,並不是意指所討論的各個實施方式之間和/或配置之間的關係。 The subsequent disclosure provides many different implementations or examples to achieve different features of the provided subject matter. Specific embodiments of components and configurations are described below to simplify the present disclosure. These are of course only examples and are not intended to be limiting. For example, in the following description, forming the first feature higher than the second feature or above the second feature may include an embodiment in which the first and second features are formed in direct contact, and may also include additional features that may be formed on Between the first and second features, so the first and second features may not be in direct contact. In addition, the present disclosure may repeat numbers and/or letters in each embodiment. Such repetition is for the sake of simplification and clarity, and does not mean the relationship between the various embodiments and/or configurations discussed.

此外,可能在此使用空間上的相對用語,諸如「之下」、「低於」、「較低」、「之上」、「較高」和類似用語,以易於描述如圖式所繪示的一個元件或特徵與其他的元件或特徵之間的關係。除了圖式中繪示的方向之外,空間上的相對用語旨在涵蓋裝置在使用中或操作中的不同方向。設備可能有其他方向(旋轉90度或其他方向),並且此處所使用的空間上的相對用語也可相應地解釋。 In addition, relative terms in space may be used here, such as "below", "below", "lower", "above", "higher" and similar terms to make it easy to describe as shown in the diagram The relationship between one element or feature and other elements or features. In addition to the directions shown in the drawings, relative terms in space are intended to cover different directions of the device in use or operation. The device may have other directions (rotation 90 degrees or other directions), and the relative terms used here in space can also be interpreted accordingly.

此外,當以「約」、「大概」等描述數字或數字的範圍時,此用語旨在涵蓋包括所描述數字之合理範圍內的多個數字,諸如在所述數字的+/- 10%之內,或是本領域技術人員所理解的其他數值。例如,用語「約5奈米(nm)」涵蓋從4.5奈米至5.5奈米的尺寸範圍。 In addition, when a number or a range of numbers is described in terms of "about", "approximately", etc., the term is intended to cover multiple numbers within a reasonable range including the number described, such as within +/- 10% of the number , Or other values understood by those skilled in the art. For example, the term "about 5 nanometers (nm)" covers the size range from 4.5 nanometers to 5.5 nanometers.

半導體產業上的快速發展已引領了製造方法和製程上的進展。然而,儘管有這些進展,現有的半導體製造可能仍然具有各種缺點。例如,現有的半導體製造可能涉及形成具有高縱橫比(例如,大於約10)的深溝槽,並且以材料填充此深溝槽。由於高的縱橫比以及越來越小的裝置尺寸,填充這樣的深溝槽而沒有入陷在其中的間隙(gaps)或空隙(voids),這是困難的。這些間隙/空隙可能導致後續製造過程的問題,並且可能降低裝置性能。另一個例子是現有的半導體製造可能涉及將不同的晶圓接合在一起,例如接合裝置晶圓與載體晶圓。然而,在裝置晶圓中的任何翹曲(這可能由於其膜所釋放的拉伸應力所引起)可能導致在接合區域處或附近出現裂縫。在一些情況下,釋放拉伸應力的膜可能是現有製造方法中用於填充深溝槽的膜。在接合區域中的這些裂縫可能降低裝置性能甚至導致裝置故障。 The rapid development of the semiconductor industry has led to advances in manufacturing methods and processes. However, despite these advances, existing semiconductor manufacturing may still have various disadvantages. For example, existing semiconductor manufacturing may involve forming a deep trench with a high aspect ratio (eg, greater than about 10), and filling the deep trench with material. Due to the high aspect ratio and the increasingly smaller device sizes, it is difficult to fill such deep trenches without the gaps or voids trapped therein. These gaps/voids may cause problems in subsequent manufacturing processes and may reduce device performance. Another example is that existing semiconductor manufacturing may involve bonding different wafers together, such as bonding device wafers and carrier wafers. However, any warpage in the device wafer (which may be caused by the tensile stress released by its film) may cause cracks to appear at or near the bonding area. In some cases, the tensile stress-relieving film may be the film used to fill deep trenches in existing manufacturing methods. These cracks in the junction area can reduce device performance or even cause device failure.

為了克服現有半導體製造製程的問題,本揭示內容提出了一種涉及多個材料層的新穎方案,以便更有效地填充深溝槽,基本上沒有入陷在其中的間隙/空隙,這改善了裝置性能。此新穎的方案也引入了壓縮應力來補償拉伸應力。壓縮應力基本上抵消了拉伸應力,因此減少或消除晶圓翹曲。因此,基本上消除了接合裂縫問題,又改善了裝置性能。以下參照第1圖至第12圖討論本揭示內容的各方面,根據本揭示內容的實施方式,這些圖是半導體裝置100在各個製造階段時的示意性局部剖面側視圖。在一些實施方式中,半導體裝置100可能包括二維電晶體或平面電晶體。在其他 的實施方式中,半導體裝置100可能包括三維鰭式場效(FinFET)電晶體,其中一或多個鰭片結構圍繞閘極結構。 In order to overcome the problems of the existing semiconductor manufacturing process, the present disclosure proposes a novel solution involving multiple material layers in order to fill the deep trenches more effectively, with substantially no gaps/voids trapped therein, which improves device performance. This novel solution also introduces compressive stress to compensate for tensile stress. Compressive stress basically offsets tensile stress, thus reducing or eliminating wafer warpage. Therefore, the joint crack problem is basically eliminated, and the device performance is improved. The following discusses various aspects of the present disclosure with reference to FIGS. 1 to 12. According to embodiments of the present disclosure, these figures are schematic partial cross-sectional side views of the semiconductor device 100 at various manufacturing stages. In some embodiments, the semiconductor device 100 may include a two-dimensional transistor or a planar transistor. In other In some embodiments, the semiconductor device 100 may include a three-dimensional FinFET transistor, in which one or more fin structures surround the gate structure.

參照第1圖,半導體裝置100包括基板110。在一些實施方式中,基板110包含摻雜p型摻雜物(例如硼)的矽材料,例如P型基板。或者,基板110可包含另一種合適的半導體材料。例如,基板110可能包含摻雜n型摻雜物(例如磷或砷)的矽(N型基板)。基板110也可包含其他元素半導體,例如鍺和鑽石。基板110可選擇地包括化合物半導體和/或合金半導體。此外,基板110可能是應變的,以提昇性能,並且可能包括絕緣體上矽(silicon-on-insulator(SOI))結構。 Referring to FIG. 1, the semiconductor device 100 includes a substrate 110. In some embodiments, the substrate 110 includes a silicon material doped with p-type dopants (such as boron), such as a P-type substrate. Alternatively, the substrate 110 may include another suitable semiconductor material. For example, the substrate 110 may include silicon (N-type substrate) doped with n-type dopants (such as phosphorus or arsenic). The substrate 110 may also include other elemental semiconductors, such as germanium and diamond. The substrate 110 may optionally include a compound semiconductor and/or an alloy semiconductor. In addition, the substrate 110 may be strained to improve performance, and may include a silicon-on-insulator (SOI) structure.

在基板110之上形成磊晶層120。形成磊晶層120可能使用磊晶成長製程。在一些實施方式中,磊晶層120可能包括矽材料。在其他的實施方式中,磊晶層120可能包括矽鍺或其他合適的半導體材料。應理解的是,磊晶層120的部分可能用來作為半導體裝置100的主動區域。電晶體組件,諸如金屬氧化物半導體場效電晶體(MOSFET)的源極/汲極區域、或通道區域,可能至少部分地形成在磊晶層120中。 An epitaxial layer 120 is formed on the substrate 110. The formation of the epitaxial layer 120 may use an epitaxial growth process. In some embodiments, the epitaxial layer 120 may include silicon material. In other embodiments, the epitaxial layer 120 may include silicon germanium or other suitable semiconductor materials. It should be understood that a portion of the epitaxial layer 120 may be used as an active area of the semiconductor device 100. Transistor components, such as the source/drain regions or channel regions of a metal oxide semiconductor field effect transistor (MOSFET), may be at least partially formed in the epitaxial layer 120.

在磊晶層120之上形成絕緣層130。絕緣層130包含電性絕緣材料,例如介電材料。在各個實施方式中,介電材料可能包括氧化矽、氮化矽、氮氧化矽、或低介電常數(low-k)介電材料。低介電常數介電材料是具有小於二氧化矽的介電常數(其約為4)的介電材料。作為非限制性實施 例,低介電常數材料可能包括摻雜氟二氧化矽、摻雜碳二氧化矽、多孔的二氧化矽、多孔的摻雜碳二氧化矽、旋塗有機聚合物介電質、旋塗矽基聚合物介電質、或其組合。 An insulating layer 130 is formed on the epitaxial layer 120. The insulating layer 130 includes an electrically insulating material, such as a dielectric material. In various embodiments, the dielectric material may include silicon oxide, silicon nitride, silicon oxynitride, or low-k dielectric materials. A low-k dielectric material is a dielectric material having a dielectric constant (which is approximately 4) smaller than that of silicon dioxide. As a non-restrictive implementation For example, low-k materials may include fluorine-doped silicon dioxide, carbon-doped silicon dioxide, porous silicon dioxide, porous carbon-doped silicon dioxide, spin-coated organic polymer dielectrics, spin-coated silicon Base polymer dielectric, or a combination thereof.

絕緣層130可能是互連結構的部分,互連結構可能包括一或多個互連層,互連層提供半導體裝置100中介於各種摻雜特徵、電路、和/或輸入/輸出之間的電性互連(例如,佈線)。例如,互連結構可能包括導電元件,諸如通孔和/或金屬線。作為實施例,第1圖繪示導電元件140。絕緣層130為導電元件140(以及也為其他導電元件)提供電性隔離。在一些實施方式中,導電元件140包括金屬材料,諸如銅或銅合金。導電元件140可能用於接合並且可能作為接合墊。 The insulating layer 130 may be part of an interconnect structure, and the interconnect structure may include one or more interconnect layers, which provide electrical connections between various doping features, circuits, and/or inputs/outputs in the semiconductor device 100. Sexual interconnection (for example, wiring). For example, the interconnect structure may include conductive elements such as vias and/or metal lines. As an example, FIG. 1 shows the conductive element 140. The insulating layer 130 provides electrical isolation for the conductive element 140 (and also other conductive elements). In some embodiments, the conductive element 140 includes a metal material, such as copper or copper alloy. The conductive element 140 may be used for bonding and may serve as a bonding pad.

在絕緣層130之上和導電元件140之上形成層150。在一些實施方式中,層150可能包含介電材料並且可能作為蝕刻停止層(ESL)。在一些實施方式中,層150可能包括氮化矽或氮氧化矽。 A layer 150 is formed on the insulating layer 130 and on the conductive element 140. In some embodiments, the layer 150 may include a dielectric material and may act as an etch stop layer (ESL). In some embodiments, the layer 150 may include silicon nitride or silicon oxynitride.

在層150之上設置鈍化層160。鈍化層160保護半導體裝置100的組件,避免遭受諸如灰塵、濕氣等因素的影響。在一些實施方式中,鈍化層160包含介電材料,諸如氧化矽、氮化矽、氮氧化矽等。 A passivation layer 160 is provided on the layer 150. The passivation layer 160 protects the components of the semiconductor device 100 from being affected by factors such as dust and moisture. In some embodiments, the passivation layer 160 includes a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, and the like.

在鈍化層160之上形成層170。類似於層150,層170可能包含介電材料並且可能作為蝕刻停止層。然而,層170和層150可能作為不同的製程或層的蝕刻停止層。例如,層170可能作為在其上形成的層(例如,以下所討論的 層180)在圖案化的蝕刻停止層。相比之下,層150可能作為深溝槽蝕刻製程(在以下會討論)的蝕刻停止層。在一些實施方式中,層170可能包括氮化矽或氮氧化矽。 A layer 170 is formed on the passivation layer 160. Similar to layer 150, layer 170 may contain a dielectric material and may act as an etch stop layer. However, layer 170 and layer 150 may be used as etch stop layers for different processes or layers. For example, layer 170 may serve as a layer formed thereon (e.g., as discussed below Layer 180) is a patterned etch stop layer. In contrast, layer 150 may serve as an etch stop layer for a deep trench etching process (discussed below). In some embodiments, the layer 170 may include silicon nitride or silicon oxynitride.

在層170之上設置鈍化層180。與鈍化層160類似,鈍化層180保護半導體裝置100的組件,避免遭受諸如灰塵、濕氣等因素的影響。在一些實施方式中,鈍化層180包含介電材料,諸如氧化矽、氮化矽、氮氧化矽等。如以上所述,層170可能作為鈍化層180的圖案化的蝕刻停止層,但這不是本揭示內容的焦點。鈍化層180的圖案化也在半導體裝置100的不同區域處進行(例如,未在第1圖中所示的部分),因此,在本文隨後的圖式中沒有具體示出。還應理解,在某些實施方式中,可能在沒有層170和鈍化層180的情況下,實現半導體裝置100。 A passivation layer 180 is provided on the layer 170. Similar to the passivation layer 160, the passivation layer 180 protects the components of the semiconductor device 100 from being affected by factors such as dust and moisture. In some embodiments, the passivation layer 180 includes a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, and the like. As mentioned above, the layer 170 may serve as a patterned etch stop layer for the passivation layer 180, but this is not the focus of this disclosure. The patterning of the passivation layer 180 is also performed at different regions of the semiconductor device 100 (for example, a portion not shown in FIG. 1), and therefore, it is not specifically shown in the subsequent drawings herein. It should also be understood that, in some embodiments, the semiconductor device 100 may be implemented without the layer 170 and the passivation layer 180.

現在參照第2圖,在半導體裝置100中形成複數個溝槽,例如,相對淺的溝槽200,和複數個相對深的溝槽201至202。溝槽200至202可能經由一或多個蝕刻製程而形成。溝槽200與導電元件140垂直對齊,並形成在導電元件140的上方。如第2圖所示,溝槽200垂直地延伸穿過層160至180,並至少部分地延伸到層150中。溝槽201至202形成在導電元件140的相對側上。溝槽201至202中的每個溝槽垂直地延伸穿過層130至180,並且至少部分地延伸到磊晶層120中。溝槽201至202中的每個溝槽還具有橫向的尺寸220(例如,寬度)和垂直的尺寸230(例如,深度)。在一些實施方式中,垂直的尺寸230大於或等於約6微米 (microns),例如大於或等於約8微米。 Referring now to FIG. 2, a plurality of trenches are formed in the semiconductor device 100, for example, a relatively shallow trench 200 and a plurality of relatively deep trenches 201 to 202. The trenches 200 to 202 may be formed through one or more etching processes. The trench 200 is vertically aligned with the conductive element 140 and is formed above the conductive element 140. As shown in Figure 2, trench 200 extends vertically through layers 160 to 180 and extends at least partially into layer 150. The trenches 201 to 202 are formed on opposite sides of the conductive element 140. Each of the trenches 201 to 202 extends vertically through the layers 130 to 180 and extends at least partially into the epitaxial layer 120. Each of the trenches 201 to 202 also has a lateral dimension 220 (e.g., width) and a vertical dimension 230 (e.g., depth). In some embodiments, the vertical dimension 230 is greater than or equal to about 6 microns (microns), for example, greater than or equal to about 8 microns.

溝槽201或溝槽202的縱橫比可能定義為垂直的尺寸230和橫向的尺寸220的比率。以數學術語表示,溝槽201(或溝槽202)的縱橫比=(尺寸230)÷(尺寸220)。溝槽201和202的縱橫比相對較高,例如大於或等於10(或10:1)。這意味著溝槽201和202是長而窄的,因此可能難以完全填充而不會在其中入陷任何氣隙或空隙。隨著半導體的尺寸持續地縮小,越來越小的裝置尺寸又更加據了這個問題。本揭示內容經由使用新穎且非顯而易見的製程來填充溝槽201至202,而克服這些問題,以下更為詳細地討論。 The aspect ratio of the trench 201 or the trench 202 may be defined as the ratio of the vertical dimension 230 to the lateral dimension 220. Expressed in mathematical terms, the aspect ratio of trench 201 (or trench 202)=(size 230)÷(size 220). The aspect ratio of the trenches 201 and 202 is relatively high, for example, greater than or equal to 10 (or 10:1). This means that the trenches 201 and 202 are long and narrow and therefore may be difficult to fill completely without sinking any air gaps or voids therein. As the size of semiconductors continues to shrink, smaller and smaller device sizes are intensifying this problem. The present disclosure overcomes these problems by using a novel and non-obvious process to fill the trenches 201 to 202, as discussed in more detail below.

現在參照第3圖,在半導體裝置100之上形成絕緣層250。在一些實施方式中,絕緣層250經由原子層沉積(ALD)而形成,並且可能包含過渡金屬氧化物/氮化物材料,例如TaO、TaN、TiO、TiN、ZrO、ZrN等。在其他實施方式中,絕緣層250可能經由自組裝單層(self-assembly monolayer(SAM))塗覆技術而形成,並且可能包含具有抗NF3蝕刻的官能基團,例如-OH基團。絕緣層250部分地填充溝槽200至202。在形成絕緣層250之後,執行旋塗介電質沉積製程300,以在溝槽200中形成介電層310,在溝槽201中形成介電層311,和在溝槽202中形成介電層312。在一些實施方式中,介電層310至312包含氧化物材料,例如氧化矽(SixOy)。在一些實施方式中,y大約等於2x,例如介於約1.8x至約2.2x之間。在層310至312中的矽含量可能與層310至312的折射率(refractive index(RI))相關聯。在一些實施方式中,層310至312的折射率介在約1.4和約1.7之間的範圍內。 Referring now to FIG. 3, an insulating layer 250 is formed on the semiconductor device 100. In some embodiments, the insulating layer 250 is formed via atomic layer deposition (ALD), and may include transition metal oxide/nitride materials, such as TaO, TaN, TiO, TiN, ZrO, ZrN, and the like. In other embodiments, the insulating layer 250 may be formed through a self-assembly monolayer (SAM) coating technology, and may include a functional group that is resistant to NF 3 etching, such as an -OH group. The insulating layer 250 partially fills the trenches 200 to 202. After the insulating layer 250 is formed, a spin-on dielectric deposition process 300 is performed to form a dielectric layer 310 in the trench 200, a dielectric layer 311 in the trench 201, and a dielectric layer in the trench 202 312. In some embodiments, the dielectric layers 310 to 312 include oxide materials, such as silicon oxide (Si x O y ). In some embodiments, y is approximately equal to 2x, such as between about 1.8x and about 2.2x. The silicon content in the layers 310-312 may be related to the refractive index (RI) of the layers 310-312. In some embodiments, the refractive index of layers 310 to 312 is in a range between about 1.4 and about 1.7.

在沉積期間以及剛沉積之後,介電層310至312處於液態。由於介電層310至312的液體性質,即使溝槽201至202具有高的縱橫比(例如,大於或等於10:1),介電層310至312可以填充小狹縫,例如溝槽201至202,基本上沒有氣隙或空隙。此外,既然介電層310至312處於液態,因此它們可以被噴出,作為旋塗介電質沉積製程300的一部分,以改善均勻性。在一些實施方式中,介電層310至312的上表面基本上是共面的。 During and immediately after deposition, the dielectric layers 310 to 312 are in a liquid state. Due to the liquid nature of the dielectric layers 310 to 312, even if the trenches 201 to 202 have a high aspect ratio (for example, greater than or equal to 10:1), the dielectric layers 310 to 312 can fill small slits, such as the trenches 201 to 201. 202. There are basically no air gaps or voids. In addition, since the dielectric layers 310 to 312 are in a liquid state, they can be sprayed out as part of the spin-on dielectric deposition process 300 to improve uniformity. In some embodiments, the upper surfaces of the dielectric layers 310 to 312 are substantially coplanar.

如第3圖所示,介電層311或312中的各者具有厚度320(在垂直尺寸的測量)。可能經由配置旋塗介電質沉積製程300的一或多個製程參數(例如沉積時間),來調整厚度320的值。厚度320小於或基本上等於溝槽201/202的垂直的尺寸230(深度)。介電層311/312的厚度320在溝槽201/202的垂直的尺寸230(深度)的約40%至約100%之內。換句話說,在一些實施方式中,介電層310至312可能僅部分地填充溝槽200至202,或者,在一些其他的實施方式中,介電層310至312可能完全地填充溝槽200至202。由於溝槽201至202中存在介電層311至312,在執行沉積製程300之後,現在至少部分填充的溝槽具有較小的縱橫比。在一些實施方式中,溝槽201至202可能具有小於約6:1的縱橫比,例如範圍介在約6:1至約0:1之間的縱橫比。 As shown in Figure 3, each of the dielectric layers 311 or 312 has a thickness 320 (measured in vertical dimension). The value of thickness 320 may be adjusted by configuring one or more process parameters (such as deposition time) of spin-on dielectric deposition process 300. The thickness 320 is less than or substantially equal to the vertical dimension 230 (depth) of the trench 201/202. The thickness 320 of the dielectric layer 311/312 is within about 40% to about 100% of the vertical dimension 230 (depth) of the trench 201/202. In other words, in some embodiments, the dielectric layers 310 to 312 may only partially fill the trenches 200 to 202, or, in some other embodiments, the dielectric layers 310 to 312 may completely fill the trenches 200. To 202. Since there are dielectric layers 311 to 312 in the trenches 201 to 202, after the deposition process 300 is performed, the trenches that are now at least partially filled have a smaller aspect ratio. In some embodiments, the trenches 201 to 202 may have an aspect ratio less than about 6:1, for example, an aspect ratio ranging from about 6:1 to about 0:1.

介電層310至312也向半導體裝置100釋放拉 伸應力(例如,導致擴張的應力狀態)。在一些實施方式中,由介電層310至312釋放的拉伸應力大於或基本等於約4.0×108達因/厘米2。在一些實施方式中,由介電層310至312釋放的拉伸應力大於或基本上等於約200兆帕(megapascals(MPa))。儘管這樣的拉伸應力如果不考慮的話,會導致晶圓的翹曲,但是本揭示內容經由形成其他施加壓縮應力的材料層,從而補償拉伸應力,而克服了這個問題,以下會更詳細討論。 The dielectric layers 310 to 312 also release tensile stress to the semiconductor device 100 (for example, a stress state that causes expansion). In some embodiments, the tensile stress released by the dielectric layers 310 to 312 is greater than or substantially equal to about 4.0×10 8 dyne/cm 2 . In some embodiments, the tensile stress released by the dielectric layers 310 to 312 is greater than or substantially equal to about 200 megapascals (MPa). Although such tensile stress, if not considered, will cause wafer warpage, the present disclosure overcomes this problem by forming other layers of materials that apply compressive stress to compensate for the tensile stress, which will be discussed in more detail below. .

現在參照第4圖,對半導體裝置100執行退火製程350。在一些實施方式中,在範圍介在約攝氏80度和約攝氏800度之間的處理溫度執行退火製程350。退火製程350烘烤介電層310至312。如上所述,介電層310至312在旋塗介電質沉積製程300的執行期間和剛處理後處於液態,因為液態有利於填充溝槽200至202,特別是具有高縱橫比的溝槽201至202。此外,退火製程350有助於介電層310至312從液態轉變為固態。 Referring now to FIG. 4, an annealing process 350 is performed on the semiconductor device 100. In some embodiments, the annealing process 350 is performed at a processing temperature ranging between about 80 degrees Celsius and about 800 degrees Celsius. The annealing process 350 bakes the dielectric layers 310 to 312. As described above, the dielectric layers 310 to 312 are in a liquid state during the execution of the spin-on dielectric deposition process 300 and immediately after the processing, because the liquid is beneficial to filling the trenches 200 to 202, especially the trench 201 with a high aspect ratio. To 202. In addition, the annealing process 350 helps the dielectric layers 310 to 312 change from liquid to solid.

現在參照第5圖,執行沉積製程370以沉積層380。在一些實施方式中,沉積製程370包括高縱橫比(high-aspect-ration(HARP))製程,其用以在具有高縱橫比的小溝槽中沉積材料。在一些實施方式中,高縱橫比製程可能包括熱製程,其沉積氧化矽膜,以矽酸四乙酯(tetraethyl orthosilicate(TEOS))和O3作為前趨物。 Referring now to FIG. 5, a deposition process 370 is performed to deposit a layer 380. In some embodiments, the deposition process 370 includes a high-aspect-ration (HARP) process, which is used to deposit material in small trenches with a high aspect ratio. In some embodiments, the high aspect ratio process may include a thermal process, which deposits a silicon oxide film with tetraethyl orthosilicate (TEOS) and O 3 as precursors.

在介電層310至312之上和在絕緣層250之上沉積層380,並且層380具有厚度390。如果溝槽200至202 尚未被介電層310至312完全地填充(例如本文所示的實施方式),則層380將完全地填充溝槽200至202的剩餘部分。換句話說,層380的部分可以形成在高於介電層310至312且在溝槽200至202之中,並且層380的其他部分形成在高於溝槽200至202且在溝槽200至202之外。在各種實施方式中,層380可能填充溝槽201至202的約0%至約60%(就溝槽深度而言)。 A layer 380 is deposited on the dielectric layers 310 to 312 and on the insulating layer 250, and the layer 380 has a thickness 390. If the groove 200 to 202 If the dielectric layers 310 to 312 have not been completely filled (such as the embodiment shown herein), the layer 380 will completely fill the remaining portions of the trenches 200 to 202. In other words, the part of the layer 380 may be formed higher than the dielectric layers 310 to 312 and in the trenches 200 to 202, and the other part of the layer 380 may be formed higher than the trenches 200 to 202 and in the trenches 200 to 202. 202 outside. In various embodiments, the layer 380 may fill about 0% to about 60% of the trenches 201 to 202 (in terms of trench depth).

雖然沉積製程370的間隙填充性能可能不如旋塗介電質沉積製程300那麼好,但是在填充溝槽200至202方面仍然不會造成問題。這是因為部分填充的溝槽200至202(例如,部分地以介電層310至312填充)已經具有減小的縱橫比,因為這些溝槽的深度減小,而且它們的寬度基本上保持相同。因此,沉積製程370仍然能夠填充溝槽200至202的剩餘部分而不會在其中產生空隙或間隙。 Although the gap filling performance of the deposition process 370 may not be as good as the spin-on dielectric deposition process 300, there is still no problem in filling the trenches 200 to 202. This is because the partially filled trenches 200 to 202 (e.g., partially filled with dielectric layers 310 to 312) already have a reduced aspect ratio, because the depth of these trenches is reduced and their width remains substantially the same . Therefore, the deposition process 370 can still fill the remaining portions of the trenches 200 to 202 without creating voids or gaps therein.

在一些實施方式中,層380包括氧化物材料,例如氧化矽(SixOy)。在一些實施方式中,y大約等於2x,例如約1.8x至約2.2x。層380中的矽含量可能與層380的折射率(refractive index(RI))相關聯。在一些實施方式中,層380的折射率在介於約1.4和約1.7之間的範圍內。 In some embodiments, the layer 380 includes an oxide material, such as silicon oxide (Si x O y ). In some embodiments, y is approximately equal to 2x, for example from about 1.8x to about 2.2x. The silicon content in the layer 380 may be related to the refractive index (RI) of the layer 380. In some embodiments, the refractive index of layer 380 is in a range between about 1.4 and about 1.7.

層380可能釋放應力,其可能是拉伸的或是壓縮的。在一些實施方式中,由介電層380釋放的拉伸或壓縮應力介在約-100MPa和約200MPa之間的範圍內。在由層380釋放的應力為拉伸應力的實施方式中,拉伸應力遠小於由介電層310至312釋放的拉伸應力,並且這樣的拉伸應 力將經由隨後形成的層所釋放的壓縮應力而補償,如以下所述。應當理解的是,可能不是只有介電層310至312和/或層380是引起拉伸應力的組件。半導體裝置100的其他組件也可能引起拉伸應力,並且需要補償組合的拉伸應力,否則可能引起諸如晶圓翹曲和接合裂縫的問題,如以下討論所述。 The layer 380 may relieve stress, which may be tensile or compressive. In some embodiments, the tensile or compressive stress released by the dielectric layer 380 is in a range between about -100 MPa and about 200 MPa. In the embodiment where the stress released by the layer 380 is tensile stress, the tensile stress is much smaller than the tensile stress released by the dielectric layers 310 to 312, and such tensile stress The force will be compensated via the compressive stress released by the subsequently formed layer, as described below. It should be understood that it may not be only the dielectric layers 310 to 312 and/or the layer 380 that are components that cause tensile stress. Other components of the semiconductor device 100 may also cause tensile stress, and the combined tensile stress needs to be compensated, otherwise it may cause problems such as wafer warpage and joint cracks, as discussed below.

本揭示內容的其中一個益處是在填充溝槽200至202方面,具有好的間隙填充效能,能別是對於溝槽201至202,因為溝槽201至202具有高的縱橫比(例如,大於約10:1)。常規的製造製程通常使用原子層沉積(atomic layer deposition(ALD))以填充具有高縱橫比的溝槽,例如溝槽201至202。不幸的是,隨著裝置尺寸縮小和/或縱橫比增加,在填充溝槽的製程中,甚至用原子層沉積製程也可能在形成的材料中入陷氣隙或空隙。這些氣隙或空隙可能導致製造上的問題,並且可能降低裝置性能。相比之下,兩步製程(例如,製程300和370)允許填充溝槽201至202而不會在其中入陷氣隙或空隙。這消除了可能在後續製程中由氣隙或空隙引起的問題。 One of the benefits of the present disclosure is that it has good gap filling performance in filling trenches 200 to 202. It can be used for trenches 201 to 202 because trenches 201 to 202 have a high aspect ratio (for example, greater than about 10:1). Conventional manufacturing processes usually use atomic layer deposition (ALD) to fill trenches with a high aspect ratio, such as trenches 201 to 202. Unfortunately, as the device size shrinks and/or the aspect ratio increases, in the trench filling process, even the atomic layer deposition process may trap air gaps or voids in the formed material. These air gaps or voids may cause manufacturing problems and may reduce device performance. In contrast, a two-step process (for example, processes 300 and 370) allows the trenches 201 to 202 to be filled without trapping air gaps or voids therein. This eliminates problems that may be caused by air gaps or voids in subsequent manufacturing processes.

在一些實施方式中,執行兩個不同製程(例如製程300和370)以用介電層311至312和層380分別地填充具有高縱橫比的溝槽201至202的下部和上部,可能從而獲得益處。更詳細而言,雖然旋塗介電質沉積製程300可能具有優異的間隙填充性能,但所得的介電質311至312可能具有相對高的拉伸應力,這可能導致晶圓翹曲。當整個溝槽201至202被介電層311至312完全地填充時,所產生的拉伸應 力可能相對較高,要補償拉伸應力,可能對後續製程造成很大的負擔。然而,當溝槽201至202被部分地填充時(如所示實施方式中的情況),所得到的拉伸應力不是很高,這可以較容易地經由隨後的製造製程而補償。並且如上所述,經由沉積製程300僅部分地填充溝槽201至202不是問題,因為隨後的溝槽填充僅需要填充具有顯著減小的縱橫比(例如,6:1或更小)的溝槽。這可能經由沉積製程370容易地達成,而不會在其中內陷空隙,即使沉積製程370的間隙填充性能不如沉積製程300那麼好。因此,在溝槽填充方面幾乎沒有任何性能損失(如果有的話),沒有在其中內陷間隙或空隙。有利的是,由於經由沉積製程370形成的層380具有低的拉伸應力或根本沒有拉伸應力,因此總拉伸應力減小,這意味著可能更容易地補償介電層311至312的拉伸應力。 In some embodiments, two different processes (for example, process 300 and 370) are performed to fill the lower and upper portions of trenches 201 to 202 with high aspect ratios with dielectric layers 311 to 312 and layer 380, respectively, to obtain benefit. In more detail, although the spin-on dielectric deposition process 300 may have excellent gap filling performance, the resulting dielectrics 311 to 312 may have relatively high tensile stress, which may cause wafer warpage. When the entire trenches 201 to 202 are completely filled with the dielectric layers 311 to 312, the resulting tensile stress The force may be relatively high, and to compensate for the tensile stress, it may cause a great burden on the subsequent manufacturing process. However, when the trenches 201 to 202 are partially filled (as in the case of the illustrated embodiment), the resulting tensile stress is not very high, which can be easily compensated by the subsequent manufacturing process. And as mentioned above, only partially filling trenches 201 to 202 through the deposition process 300 is not a problem, because the subsequent trench filling only needs to fill trenches with a significantly reduced aspect ratio (for example, 6:1 or less) . This can be easily achieved through the deposition process 370 without trapping voids therein, even if the gap filling performance of the deposition process 370 is not as good as the deposition process 300. Therefore, there is almost no performance loss (if any) in terms of trench filling, and no gaps or voids inset. Advantageously, since the layer 380 formed by the deposition process 370 has low tensile stress or no tensile stress at all, the total tensile stress is reduced, which means that it may be easier to compensate for the tensile stress of the dielectric layers 311 to 312 Tensile stress.

在一些實施方式中,在形成層380之後,測量在其上製造的半導體裝置100的晶圓的翹曲。由於晶圓翹曲歸因於由半導體裝置的各組件(例如,由介電層310至312釋放的拉伸應力和可能由層380釋放的拉伸應力)引起的拉伸應力,晶圓翹曲測量提供了可能需要多少壓縮應力來補償拉伸應力之指示。然而,應該理解,此晶圓翹曲測量步驟是可選的,並且在一些實施方式中可能省略。 In some embodiments, after the layer 380 is formed, the warpage of the wafer of the semiconductor device 100 manufactured thereon is measured. Since the wafer warpage is due to the tensile stress caused by the various components of the semiconductor device (for example, the tensile stress released by the dielectric layers 310 to 312 and the tensile stress possibly released by the layer 380), the wafer warps The measurement provides an indication of how much compressive stress may be needed to compensate for tensile stress. However, it should be understood that this wafer warpage measurement step is optional and may be omitted in some embodiments.

現在參照第6圖,執行高密度電漿(high density plasma(HDP))沉積製程400,以在層380之上沉積介電層410。在一些實施方式中,介電層410包含氧化物材料,例如氧化矽(SixOy)。在一些實施方式中,y約等於 2x,例如介在約1.8x至約2.2x。在層410中的矽含量可能與層410的折射率(RI)相關聯。在一些實施方式中,層380的折射率介在約1.4和約1.7之間的範圍內。在一些實施方式中,執行高密度電漿沉積製程400可能使用包括矽烷(SiH4)和氧(O2)的前趨物。 Referring now to FIG. 6, a high density plasma (HDP) deposition process 400 is performed to deposit a dielectric layer 410 on the layer 380. In some embodiments, the dielectric layer 410 includes an oxide material, such as silicon oxide (Si x O y ). In some embodiments, y is approximately equal to 2x, for example between about 1.8x and about 2.2x. The silicon content in layer 410 may be related to the refractive index (RI) of layer 410. In some embodiments, the refractive index of layer 380 is in a range between about 1.4 and about 1.7. In some embodiments, performing the high-density plasma deposition process 400 may use precursors including silane (SiH 4 ) and oxygen (O 2 ).

介電層410也釋放壓縮應力。在一些實施方式中,由介電層410釋放的壓縮應力小於或基本上等於約-1.0×109達因/厘米2。在一些實施方式中,由介電層410釋放的拉伸應力小於或基本上等於約-100MPa。壓縮應力抵消由介電層310至312(並且可能由層380)釋放的拉伸應力。因此,介電層410可以減少拉伸應力引起的潛在的晶圓翹曲。介電層410具有厚度420。將厚度420調整到在層380和311/312的總組合厚度(其可能是厚度320和厚度390的總和)的某個百分比內。在一些實施方式中,將厚度420調整到厚度320和390之總和的約20%至約80%。此範圍經過優化,因為這個範圍允許介電層410釋放足夠量的壓縮應力,以抵消半導體裝置的各組件釋放的拉伸應力(例如,經由層310至312和/或380),但是不會過多到使晶圓因為過度的壓縮應力而發生翹曲。在一些實施方式中,壓縮應力可能具有記憶性,例如由於應力記憶技術(stress memorization technique(SMT))。換句話說,即使在移除介電層410之後,由介電層410釋放的壓縮應力也至少部分地留存。 The dielectric layer 410 also relieves compressive stress. In some embodiments, the compressive stress released by the dielectric layer 410 is less than or substantially equal to about -1.0×10 9 dyne/cm 2 . In some embodiments, the tensile stress released by the dielectric layer 410 is less than or substantially equal to about -100 MPa. The compressive stress counteracts the tensile stress released by the dielectric layers 310 to 312 (and possibly by layer 380). Therefore, the dielectric layer 410 can reduce potential wafer warpage caused by tensile stress. The dielectric layer 410 has a thickness 420. The thickness 420 is adjusted to be within a certain percentage of the total combined thickness of layers 380 and 311/312 (which may be the sum of thickness 320 and thickness 390). In some embodiments, the thickness 420 is adjusted to about 20% to about 80% of the sum of the thicknesses 320 and 390. This range is optimized because it allows the dielectric layer 410 to release a sufficient amount of compressive stress to offset the tensile stress released by the components of the semiconductor device (for example, via layers 310 to 312 and/or 380), but not too much This causes the wafer to warp due to excessive compressive stress. In some embodiments, the compressive stress may be memorable, for example due to a stress memorization technique (SMT). In other words, even after the dielectric layer 410 is removed, the compressive stress released by the dielectric layer 410 remains at least partially.

現在參照第7圖,可選地對介電層410執行平坦化製程450。例如,平坦化製程450可能包括化學機械研磨 (CMP)製程,其使介電層410的上表面變平。如果沒有按照上述討論的本揭露內容的製程填充溝槽200至202,在填充溝槽的材料中可能會形成空隙或氣隙。有時候,空隙可能具有類似於垂直延伸的線或接縫的形狀。當執行例如製程450的化學機械研磨製程時,所使用的化學品(例如,漿料)可能進入空隙中。空隙中的這種化學殘留物可能難以在後續製程中移除,這可能污染半導體裝置100並降低性能。然而,由於本揭示內容填充高縱橫比的溝槽而不在其中內陷空隙,因此平坦化製程450將不會產生這種不理想的化學殘留物。 Referring now to FIG. 7, a planarization process 450 is optionally performed on the dielectric layer 410. For example, the planarization process 450 may include chemical mechanical polishing (CMP) process, which flattens the upper surface of the dielectric layer 410. If the trenches 200 to 202 are not filled according to the process of the present disclosure discussed above, voids or air gaps may be formed in the material for filling the trenches. Sometimes, the void may have a shape similar to a vertically extending line or seam. When a chemical mechanical polishing process such as process 450 is performed, the used chemicals (for example, slurry) may enter the voids. Such chemical residues in the voids may be difficult to remove in a subsequent process, which may contaminate the semiconductor device 100 and reduce performance. However, since the present disclosure fills the trenches with high aspect ratio without indenting voids therein, the planarization process 450 will not produce such undesirable chemical residues.

參照第8圖,執行沉積製程500,以在介電層410之上形成層510。在一些實施方式中,沉積製程500包括電漿增強化學氣相沉積(PECVD)。在一些實施方式中,層510形成為包含氧化矽(SixOy),或在一些其他實施方式中,包含氮化矽(SixNy)。在層510包含氧化矽的實施方式中,沉積製程500可以使用SiH4/TEOS和O2作為前趨物。在層510包含氮化矽的實施方式中,沉積製程500可能使用SiH4和N2O/NH3作為前趨物。 Referring to FIG. 8, a deposition process 500 is performed to form a layer 510 on the dielectric layer 410. In some embodiments, the deposition process 500 includes plasma enhanced chemical vapor deposition (PECVD). In some embodiments, the layer 510 is formed to include silicon oxide (Si x O y ), or in some other embodiments, to include silicon nitride (Si x N y ). In embodiments where the layer 510 includes silicon oxide, the deposition process 500 may use SiH 4 /TEOS and O 2 as precursors. In embodiments where the layer 510 includes silicon nitride, the deposition process 500 may use SiH 4 and N 2 O/NH 3 as precursors.

在層510包含氧化矽的實施方式中,y約等於2x,例如介在約1.8x至約2.2x之間。在層510包含氮化矽的實施方式中,y約等於(4/3)x,例如,y介在約1.1x和約1.5x之間。在層510中的矽含量可能與層510的折射率(RI)相關聯。在一些實施方式中,當層510包含氧化矽時,層510的折射率介在約1.4至約1.7的範圍中,當層510包含氮化矽時,層510的折射率介在約1.7至約2.2的範圍中。 In embodiments where the layer 510 includes silicon oxide, y is approximately equal to 2x, for example between about 1.8x and about 2.2x. In embodiments where the layer 510 includes silicon nitride, y is approximately equal to (4/3)x, for example, y is between approximately 1.1x and approximately 1.5x. The silicon content in layer 510 may be related to the refractive index (RI) of layer 510. In some embodiments, when the layer 510 includes silicon oxide, the refractive index of the layer 510 is in the range of about 1.4 to about 1.7. When the layer 510 includes silicon nitride, the refractive index of the layer 510 is in the range of about 1.7 to about 2.2. In range.

層510也釋放壓縮的應力。在一些實施方式中,由層510釋放的壓縮應力介在約-5.0×109達因/厘米2至約-2.0×108達因/厘米2的範圍內。在一些實施方式中,由層510釋放的壓縮應力介在約-300MPa至約-50MPa的範圍內。壓縮應力也有助於抵消由半導體裝置的各種組件(例如,經由層310-312和/或380)引起的拉伸應力,這有助於減少晶圓翹曲。由層510釋放的壓縮應力的量可能經由調整層510的材料成份(例如,經由改為以上討論的x和y值),或經由調整層510的厚度520來配置。在一些實施方式中,層510的厚度範圍配置為使得由層410和層510釋放的組合壓縮應力將基本上抵消由層310至312和/或層380釋放的拉伸應力。在一些實施方式中,厚度520介在約50奈米(nm)至約200奈米的範圍內。在一些實施方式中,壓縮應力可能具有記憶性。換句話說,即使在移除介電層510之後,由介電層510釋放的壓縮應力也可能至少部分地留存。除了釋放壓縮應力,層510所充當的另一個功能是它有助於在以下討論的接合過程中與載體晶圓黏著。 Layer 510 also relieves compressive stress. In some embodiments, the compressive stress released by the layer 510 is in the range of about -5.0×10 9 dyne/cm 2 to about -2.0×10 8 dyne/cm 2 . In some embodiments, the compressive stress released by the layer 510 is in the range of about -300 MPa to about -50 MPa. Compressive stress also helps to offset tensile stress caused by various components of the semiconductor device (eg, via layers 310-312 and/or 380), which helps reduce wafer warpage. The amount of compressive stress released by the layer 510 may be configured by adjusting the material composition of the layer 510 (for example, by changing the x and y values discussed above), or by adjusting the thickness 520 of the layer 510. In some embodiments, the thickness range of layer 510 is configured such that the combined compressive stress released by layer 410 and layer 510 will substantially offset the tensile stress released by layer 310 to 312 and/or layer 380. In some embodiments, the thickness 520 ranges from about 50 nanometers (nm) to about 200 nanometers. In some embodiments, compressive stress may have memory properties. In other words, even after the dielectric layer 510 is removed, the compressive stress released by the dielectric layer 510 may at least partially remain. In addition to relieving compressive stress, another function of layer 510 is that it helps to adhere to the carrier wafer during the bonding process discussed below.

現在參照第9圖,對半導體裝置100執行蝕刻製程550以形成開口或凹陷570。開口570垂直地延伸穿過層510、410、380、310、250、和150,並且暴露導電元件140的一部分。 Now referring to FIG. 9, an etching process 550 is performed on the semiconductor device 100 to form an opening or recess 570. The opening 570 extends vertically through the layers 510, 410, 380, 310, 250, and 150 and exposes a portion of the conductive element 140.

現在參照第10圖,半導體裝置100垂直地上下翻轉。然後將載體基板600接合到半導體裝置100。載體基板600包括突出組件600A(例如,包含金屬材料),其插入 開口570內。經由突出組件600A,載體基板600接合到半導體裝置100(例如,接合到導電元件140)。 Referring now to FIG. 10, the semiconductor device 100 is vertically turned upside down. The carrier substrate 600 is then bonded to the semiconductor device 100. The carrier substrate 600 includes a protruding component 600A (for example, containing a metal material), which is inserted Inside the opening 570. Via the protruding member 600A, the carrier substrate 600 is bonded to the semiconductor device 100 (for example, to the conductive element 140).

如以上所述,晶圓翹曲已基本上減少或消除,例如,經由層410和510(二者釋放壓縮應力)的實施來補償由層310至312和/或380釋放的拉伸應力。如果晶圓翹曲沒有減小,這種翹曲可能會導致與導電元件140相對應的半導體裝置100的部分會向上彎曲,而半導體裝置100的其餘部分可能會向下彎曲。因此,載體基板600與半導體裝置100的接合可能引起接合裂縫,例如在突出組件600A和導電元件140之間的介面處或附近。與接合界面相關聯的小的接合區域(例如,由於裝置的尺寸縮小)可能加據此問題。接合裂縫會降低裝置性能甚至導致故障。本揭示內容經由施加壓縮應力來抵消拉伸應力,消除或至少降低了接合裂縫的可能性。這有助於使晶圓基本上更平坦,而避免了常常困擾傳統半導體裝置的接合裂縫問題。 As described above, wafer warpage has been substantially reduced or eliminated, for example, through the implementation of layers 410 and 510 (both of which relieve compressive stress) to compensate for the tensile stress released by layers 310 to 312 and/or 380. If the wafer warpage is not reduced, this warpage may cause the portion of the semiconductor device 100 corresponding to the conductive element 140 to bend upward, while the remaining portion of the semiconductor device 100 may bend downward. Therefore, the bonding of the carrier substrate 600 and the semiconductor device 100 may cause bonding cracks, for example, at or near the interface between the protruding component 600A and the conductive element 140. The small bonding area associated with the bonding interface (for example, due to the reduced size of the device) may contribute to this problem. Joint cracks can reduce device performance and even cause failure. The present disclosure eliminates or at least reduces the possibility of joint cracks by applying compressive stress to offset tensile stress. This helps to make the wafer substantially flatter, and avoids the joint crack problem that often plagues traditional semiconductor devices.

現在參照第11圖,從半導體裝置的「背側」(例如,未面對載體基板600的一側)對半導體裝置100執行研磨製程650。研磨製程650移除基板110並且可能移除層250的部分和/或磊晶層120的部分。在一些實施方式中,研磨製程650可能包括機械研磨製程和/或化學減薄製程。例如,機械研磨製程可能移除大量的材料,例如基板110,然後化學減薄製程可能施加蝕刻化學品以進一步減薄半導體裝置100。 Referring now to FIG. 11, the polishing process 650 is performed on the semiconductor device 100 from the "back side" (for example, the side not facing the carrier substrate 600) of the semiconductor device. The polishing process 650 removes the substrate 110 and possibly portions of the layer 250 and/or portions of the epitaxial layer 120. In some embodiments, the polishing process 650 may include a mechanical polishing process and/or a chemical thinning process. For example, the mechanical polishing process may remove a large amount of material, such as the substrate 110, and then the chemical thinning process may apply etching chemicals to further thin the semiconductor device 100.

現在參看第12圖,對半導體裝置100執行蝕刻 製程700。在一些實施方式中,蝕刻製程700使用NF3作為蝕刻劑。蝕刻製程700移除層310至312、380、410、和510。層310至312、380、410、和510的移除形成開口720。因此,蝕刻製程700可能將半導體裝置100分成多個部件,例如每個部件以開口720隔開其他部件。在一些實施方式中,每個部件可能分裝至一積體電路晶片中。 Now referring to FIG. 12, etching is performed on the semiconductor device 100 Process 700. In some embodiments, the etching process 700 uses NF3 as an etchant. The etching process 700 removes the layers 310 to 312, 380, 410, and 510. The removal of layers 310 to 312, 380, 410, and 510 forms an opening 720. Therefore, the etching process 700 may divide the semiconductor device 100 into multiple components, for example, each component is separated from other components by an opening 720. In some embodiments, each component may be packaged into an integrated circuit chip.

注意,如果來自平坦化製程450的漿料殘留物保留在溝槽200至202中(例如,由於空隙或間隙的存在),則蝕刻製程700可能無法完全蝕刻掉此漿料殘餘物。這是因為漿料殘留物(其可能含有一或多種有機化合物)與層310至312、380、410、和510(這些層包含介電材料,例如氧化矽或氮化矽)之間的材料組成上的差異。換句話說,蝕刻製程700可能具有蝕刻選擇性,允許以比蝕刻移除漿料的有機化合物快的多的蝕刻速率蝕刻移除層310至312、380、410、和510的介電材料。然而,由於本揭示內容填充溝槽而沒有空隙或間隙,因此在層310至312、380、410、和510中沒有內陷的漿料殘留物。因此,可能完整地移除層310至312、380、410、和510層,並且將不會留下污染。 Note that if the slurry residue from the planarization process 450 remains in the trenches 200 to 202 (for example, due to the existence of voids or gaps), the etching process 700 may not be able to completely etch the slurry residue. This is because of the material composition between the paste residue (which may contain one or more organic compounds) and the layers 310 to 312, 380, 410, and 510 (these layers contain dielectric materials such as silicon oxide or silicon nitride) The difference. In other words, the etching process 700 may have etching selectivity, allowing the dielectric material of the layers 310 to 312, 380, 410, and 510 to be etched and removed at a much faster etch rate than the organic compound of the etch-removed paste. However, since the present disclosure fills the trenches without voids or gaps, there is no indented slurry residue in the layers 310 to 312, 380, 410, and 510. Therefore, it is possible to completely remove the layers 310 to 312, 380, 410, and 510, and no contamination will be left.

第13圖為根據本揭示內容各方面,繪示方法900的流程圖,用於執行製造半導體裝置的方法。此方法包括步驟910,形成溝槽,其穿過設置在第一基板之上的複數個層。在一些實施方式中,形成的溝槽具有大於或等於約10:1的縱橫比。 FIG. 13 is a flowchart of a method 900 for executing a method of manufacturing a semiconductor device according to various aspects of the present disclosure. The method includes step 910, forming trenches that pass through a plurality of layers provided on the first substrate. In some embodiments, the formed trench has an aspect ratio greater than or equal to about 10:1.

此方法包括步驟920,執行第一沉積製程,以 用第一介電層至少部分地填充溝槽。第一介電層釋放拉伸應力。在一些實施方式中,第一沉積製程包含旋塗介電質沉積製程,以用第一介電層至少部分地填充溝槽。在旋塗介電質沉積製程期間,第一介電層處於液態。可能在第一沉積製程之後但在第二沉積製程之前,執行退火製程。退火製程烘烤第二介電層,以將第一介電層從液態轉變為固態。 The method includes step 920, performing a first deposition process to The trench is at least partially filled with the first dielectric layer. The first dielectric layer relieves tensile stress. In some embodiments, the first deposition process includes a spin-on dielectric deposition process to at least partially fill the trench with the first dielectric layer. During the spin-on dielectric deposition process, the first dielectric layer is in a liquid state. The annealing process may be performed after the first deposition process but before the second deposition process. The annealing process bakes the second dielectric layer to transform the first dielectric layer from a liquid state to a solid state.

此方法包括步驟930,執行第二沉積製程以在第一介電層之上形成第二介電層。在一些實施方式中,第二沉積製程包括高縱橫比沉積製程(HARP)。在一些實施方式中,第一沉積製程用第一介電層部分地填充溝槽,並且第二沉積製程用第二介電層完全地填充溝槽的剩餘部分。 The method includes step 930, performing a second deposition process to form a second dielectric layer on the first dielectric layer. In some embodiments, the second deposition process includes a high aspect ratio deposition process (HARP). In some embodiments, the first deposition process partially fills the trench with the first dielectric layer, and the second deposition process completely fills the remaining portion of the trench with the second dielectric layer.

此方法包括步驟940,執行第三沉積製程,以在第二介電層之上形成第三介電層。第三介電層釋放第一壓縮應力。在一些實施方式中,第三沉積製程包括電漿製程,例如高密度電漿(high density plasma(HDP))沉積製程。在一些實施方式中,執行第三沉積製程,使得第三介電層的厚度在第一介電層和第二介電層的組合厚度的約20%和約80%之間的範圍內。 The method includes step 940, performing a third deposition process to form a third dielectric layer on the second dielectric layer. The third dielectric layer releases the first compressive stress. In some embodiments, the third deposition process includes a plasma process, such as a high density plasma (HDP) deposition process. In some embodiments, the third deposition process is performed so that the thickness of the third dielectric layer is in a range between about 20% and about 80% of the combined thickness of the first dielectric layer and the second dielectric layer.

此方法包括步驟950,執行第四沉積製程,以在第三介電層之上形成第四介電層。第四介電層釋放第二壓縮應力。在一些實施方式中,第四沉積製程包括電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition(PECVD))製程。 The method includes step 950, performing a fourth deposition process to form a fourth dielectric layer on the third dielectric layer. The fourth dielectric layer releases the second compressive stress. In some embodiments, the fourth deposition process includes a plasma enhanced chemical vapor deposition (PECVD) process.

在一些實施方式中,形成第一介電層、第二介 電層、和第三介電層,以在各個這些層包含氧化矽材料,並且形成第四介電層,以包含氮化矽材料。 In some embodiments, the first dielectric layer, the second dielectric layer are formed The electrical layer and the third dielectric layer include silicon oxide material in each of these layers, and the fourth dielectric layer is formed to include silicon nitride material.

應理解的是,可能在步驟910至950之前、期間、或之後,執行另外的製程。例如,方法900可能更包含這些步驟:形成凹陷,其延伸穿過第一介電層、第二介電層、第三介電層、和第四介電層,其中此凹陷暴露了形成在複數個層中的一個層的導電元件;與第二基板執行接合製程,其中第二基板包括突出組件,突出組件插入導電元件並與導電元件接合;以及執行一或多個蝕刻製程,以移除第一介電層、第二介電層、第三介電層、和第四介電層。出於簡化的原因,這裡不詳細討論其他製程。 It should be understood that another process may be performed before, during, or after steps 910 to 950. For example, the method 900 may further include these steps: forming a recess that extends through the first dielectric layer, the second dielectric layer, the third dielectric layer, and the fourth dielectric layer, wherein the recess exposes the plurality of Performing a bonding process with the second substrate, wherein the second substrate includes a protruding component inserted into the conductive component and bonded with the conductive component; and performing one or more etching processes to remove the second substrate A dielectric layer, a second dielectric layer, a third dielectric layer, and a fourth dielectric layer. For simplification reasons, other manufacturing processes are not discussed in detail here.

總之,本揭示內容實現了具有良好的間隙填充性能的一或多個製程(諸如旋塗介電質沉積製程和高縱橫比製程),以在高縱橫比的溝槽中形成材料,而不會在材料中內陷空隙或間隙。本揭示內容也形成釋放壓縮應力的層,以抵消晶圓所經受的拉伸應力。 In summary, the present disclosure realizes one or more processes (such as spin-on dielectric deposition processes and high aspect ratio processes) with good gap filling performance to form materials in high aspect ratio trenches without Indented voids or gaps in the material. The present disclosure also forms a compressive stress relief layer to offset the tensile stress experienced by the wafer.

基於以上討論,可以看出本揭示內容提供優於傳統影像感測器裝置的益處。然而,應該理解,其他實施方式可能提供額外的益處,並且並非所有益處都必須在此公開,並且並非所有實施方式都需要特定的益處。一個益處涉及在間隙填充性能上的改進。由於在此的半導體裝置包括高縱橫比溝槽(例如,縱橫比大於或等於約10:1),因此傳統的半導體製造製程很難填充這些溝槽而不在其中留下內陷的間隙或空隙。時常,根據傳統半導體製造製程,「線」狀 空隙可能內陷在填充高縱橫比溝槽的材料中。這樣的空隙或間隙可能在以後的製造中導致問題。比方說,諸如化學機械平面化的研磨製程可能在稍後的製造步驟中執行。研磨製程可能使用化學漿料,其可能進入空隙或間隙。漿料殘留物可能難以經由後續製程移除,這可能給半導體體裝置留下污染。 Based on the above discussion, it can be seen that the present disclosure provides advantages over traditional image sensor devices. However, it should be understood that other embodiments may provide additional benefits, and not all benefits must be disclosed herein, and not all embodiments require specific benefits. One benefit relates to improvements in gap filling performance. Since the semiconductor devices here include high aspect ratio trenches (for example, the aspect ratio is greater than or equal to about 10:1), it is difficult for the conventional semiconductor manufacturing process to fill these trenches without leaving indented gaps or voids therein. Often, according to the traditional semiconductor manufacturing process, the "line" shape The voids may be trapped in the material filling the high aspect ratio trenches. Such voids or gaps may cause problems in later manufacturing. For example, polishing processes such as chemical mechanical planarization may be performed in a later manufacturing step. The polishing process may use chemical slurries, which may enter voids or gaps. The slurry residue may be difficult to remove through subsequent processes, which may leave contamination to the semiconductor device.

本揭示內容經由利用旋塗沉積製程在高縱橫比溝槽中形成液態的介電材料來消除此問題。這種製程具有良好的間隙填充性能。在介電材料沒有完全地填充溝槽的實施方式中,溝槽的深度仍然減小了,這意味著縱橫比減小。溝槽(已經部分地被介電材料填充)的縱橫比減小使得使用隨後的高縱橫比製程進行填充更容易。因此,經由單獨的旋塗沉積製程,或經由旋塗沉積製程和高縱橫比製程的組合,本揭示內容的高縱橫比溝槽可能被完全地填充而沒有空隙或間隙內陷在其中。這消除了諸如化學機械平坦化的漿料殘留物的污染材料內陷在空隙或間隙中的可能性,並且因此可能改善裝置性能。 The present disclosure eliminates this problem by using a spin-on deposition process to form a liquid dielectric material in a high aspect ratio trench. This process has good gap filling performance. In embodiments where the dielectric material does not completely fill the trench, the depth of the trench is still reduced, which means that the aspect ratio is reduced. The reduced aspect ratio of the trench (which has been partially filled with the dielectric material) makes it easier to fill with the subsequent high aspect ratio process. Therefore, through a spin-coating deposition process alone, or a combination of a spin-coating deposition process and a high aspect ratio process, the high aspect ratio trenches of the present disclosure may be completely filled without voids or gaps sinking therein. This eliminates the possibility of contaminating materials such as slurry residues of chemical mechanical planarization being trapped in the voids or gaps, and thus may improve device performance.

本揭示內容的另一個益處是涉及經由減少晶圓翹曲來消除接合裂縫。更詳細而言,在半導體裝置中形成的一些層(諸如填充高縱橫比溝槽的層)可能施加拉伸應力。拉伸應力可能導致晶圓彎曲或翹曲。如果不進行校正(如在傳統製造中的情況),則晶圓的翹曲可能會導致在半導體裝置和載體基板之間的介面處或附近的接合裂縫。接合裂縫可能降低裝置性能甚至導致裝置故障。為了克服此問題,本揭示 內容形成一或多個施加壓縮應力的層,即使在移除層之後,壓縮應力可能留存(由於應力記憶技術)。壓縮應力補償拉伸應力,因此晶圓翹曲或彎曲基本上減少或完全消除。結果,基本上也消除了接合裂縫,並且改善了裝置性能。 Another benefit of the present disclosure relates to eliminating joint cracks by reducing wafer warpage. In more detail, some layers formed in a semiconductor device (such as a layer filling a high aspect ratio trench) may apply tensile stress. Tensile stress may cause the wafer to bend or warp. If correction is not made (as is the case in conventional manufacturing), the warpage of the wafer may cause joint cracks at or near the interface between the semiconductor device and the carrier substrate. Joint cracks may reduce device performance or even cause device failure. In order to overcome this problem, the present disclosure The content forms one or more layers that apply compressive stress, even after the layer is removed, the compressive stress may remain (due to stress memory technology). Compressive stress compensates for tensile stress, so wafer warpage or bending is basically reduced or completely eliminated. As a result, joint cracks are also basically eliminated, and device performance is improved.

其他益處包括利用現有製造製程流程的可計算性和實施的簡易和低成本。 Other benefits include taking advantage of the calculability of existing manufacturing processes and the ease and low cost of implementation.

本揭示內容的一方面涉及製造半導體裝置的方法。此方法包括:形成溝槽,其穿過設置在第一基板之上的複數個層;執行第一沉積製程,以用第一介電層至少部分地填充溝槽,其中第一介電層釋放拉伸應力;執行第二沉積製程,以在第一介電層之上形成第二介電層;以及執行第三沉積製程,以在第二介電層之上形成第三介電層,其中第三介電層釋放第一壓縮應力。 One aspect of the present disclosure relates to a method of manufacturing a semiconductor device. The method includes: forming a trench through a plurality of layers disposed on a first substrate; performing a first deposition process to at least partially fill the trench with a first dielectric layer, wherein the first dielectric layer releases Tensile stress; performing a second deposition process to form a second dielectric layer on the first dielectric layer; and performing a third deposition process to form a third dielectric layer on the second dielectric layer, wherein The third dielectric layer releases the first compressive stress.

本揭示內容的另一方面涉及製造半導體裝置的方法。此方法包括:形成溝槽,其穿過設置在裝置基板之上的層的堆疊,其中溝槽具有大於或等於約10:1的縱橫比,並且其中堆疊的一個層包含接合墊;使用旋塗介電質沉積製程,用處於液態的第一介電質材料部分地填充溝槽;烘烤第一介電質材料以將第一介電質材料從液態轉變為固態;烘烤之後,用第二介電質材料填充溝槽的剩餘部分;使用電漿沉積製程在第二介電材料之上形成第三介電質材料,其中第三介電質材料形成為具有一厚度,此厚度在第一介電材料和第一介電材料的總厚度的約20%和約80%之內;使用化學相氣沉積製程在第三介電材料之上形成第四介電材料;蝕刻一開 口,其穿過第四介電材料、第三介電材料、第二介電材料、和第一介電材料,其中此開口暴露出接合墊的至少一部分;以及將載體基板耦合到裝置基板,其中,耦合包括載體基板的突出組件,其穿過開口插入並且將突出組件接合到接合墊。 Another aspect of the present disclosure relates to a method of manufacturing a semiconductor device. This method includes forming a trench through a stack of layers disposed on a device substrate, where the trench has an aspect ratio greater than or equal to about 10:1, and where one layer of the stack includes a bonding pad; using spin coating In the dielectric deposition process, the trench is partially filled with a first dielectric material in a liquid state; the first dielectric material is baked to transform the first dielectric material from a liquid state to a solid state; after the baking, a second dielectric material is used A second dielectric material fills the remaining part of the trench; a plasma deposition process is used to form a third dielectric material on the second dielectric material, wherein the third dielectric material is formed to have a thickness that is in the first Within about 20% and about 80% of the total thickness of a dielectric material and the first dielectric material; use a chemical phase gas deposition process to form a fourth dielectric material on the third dielectric material; An opening through the fourth dielectric material, the third dielectric material, the second dielectric material, and the first dielectric material, wherein the opening exposes at least a part of the bonding pad; and coupling the carrier substrate to the device substrate, Among them, the coupling includes a protruding component of the carrier substrate, which is inserted through the opening and joins the protruding component to the bonding pad.

本揭示內容的另一方面涉及一種半導體裝置。半導體裝置包括:複數個層,其垂直地互相堆疊;溝槽,其垂直地延伸穿過所述複數個層,其中此溝槽至少部分地以第一介電層填充填充,第一介電層填充釋放第一拉伸應力;第二介電層,設置在第一介電層之上,其中第二介電層釋放第二拉伸應力或第一壓縮應力;以及第三介電層,設置在第二介電層之上,其中第三介電層釋放第二壓縮應力。 Another aspect of the present disclosure relates to a semiconductor device. The semiconductor device includes: a plurality of layers stacked vertically on each other; a trench extending vertically through the plurality of layers, wherein the trench is at least partially filled with a first dielectric layer, the first dielectric layer Filling to release the first tensile stress; a second dielectric layer disposed on the first dielectric layer, wherein the second dielectric layer releases the second tensile stress or the first compressive stress; and the third dielectric layer is disposed On the second dielectric layer, the third dielectric layer releases the second compressive stress.

以上已概述了數個實施方式的特徵,以便本領域技術人員可較佳地理解所附的詳細描述。本領域的技術人員應理解,他們可能容易地使用本揭示內容,作為其他製程和結構之設計和修改的基礎,以實現與在此介紹的實施方式之相同的目的,或是達到相同的益處。本領域技術人員亦應理解,與這些均等的建構不脫離本揭示內容的精神和範圍,並且他們可能在不脫離本揭示內容的精神和範圍的情況下,進行各種改變、替換、和變更。例如,經由對於位線導體和字線導體實現不同的厚度,可以實現各導體有不同的電阻。然而,也可能使用其他改變金屬導體的電阻的技術。 The features of several embodiments have been summarized above, so that those skilled in the art can better understand the accompanying detailed description. Those skilled in the art should understand that they may easily use this disclosure as a basis for the design and modification of other manufacturing processes and structures to achieve the same purpose or achieve the same benefits as the embodiments described herein. Those skilled in the art should also understand that these equal constructions do not depart from the spirit and scope of the present disclosure, and they may make various changes, substitutions, and alterations without departing from the spirit and scope of the present disclosure. For example, by implementing different thicknesses for the bit line conductor and the word line conductor, it is possible to realize that each conductor has a different resistance. However, it is also possible to use other techniques for changing the resistance of the metal conductor.

900:方法 900: method

910、920、930、940、950:步驟 910, 920, 930, 940, 950: steps

Claims (9)

一種半導體裝置的製造方法,包含:形成一溝槽,其穿過設置在一第一基板上的複數個層;執行一第一沉積製程,以用一第一介電層至少部分地填充該溝槽,其中該第一介電層釋放一拉伸應力,其中,該第一沉積製程包含一旋塗介電質沉積製程,以用該第一介電層至少部分地填充該溝槽,以及在所述旋塗介電質製程期間,該第一介電層為一液態;執行一第二沉積製程,以在該第一介電層之上形成一第二介電層;以及執行一第三沉積製程,以在該第二介電層之上形成一第三介電層,其中該第三介電層釋放一第一壓縮應力。 A method for manufacturing a semiconductor device includes: forming a trench through a plurality of layers provided on a first substrate; and performing a first deposition process to at least partially fill the trench with a first dielectric layer A trench, wherein the first dielectric layer releases a tensile stress, wherein the first deposition process includes a spin-on dielectric deposition process to at least partially fill the trench with the first dielectric layer, and During the spin-on dielectric process, the first dielectric layer is in a liquid state; a second deposition process is performed to form a second dielectric layer on the first dielectric layer; and a third The deposition process is used to form a third dielectric layer on the second dielectric layer, wherein the third dielectric layer releases a first compressive stress. 如請求項1所述之半導體裝置的製造方法,其中所述形成該溝槽包含形成具有大於或等於約10:1的縱橫比的該溝槽。 The method of manufacturing a semiconductor device according to claim 1, wherein the forming the trench includes forming the trench having an aspect ratio greater than or equal to about 10:1. 如請求項1所述之半導體裝置的製造方法,更包含:在該第一沉積製程之後但該第二沉積製程之前,執行一退火製程,其中該退火製程烘烤該第一介電層以將該第一介電層從該液態轉為一固態。 The method for manufacturing a semiconductor device according to claim 1, further comprising: performing an annealing process after the first deposition process but before the second deposition process, wherein the annealing process bakes the first dielectric layer to The first dielectric layer changes from the liquid state to a solid state. 如請求項1所述之半導體裝置的製造方法,其中:該第一沉積製程以該第一介電層部分地填充該溝槽;以及該第二沉積製程以該第二介電層完全地填充該溝槽的 剩餘部分。 The method of manufacturing a semiconductor device according to claim 1, wherein: the first deposition process partially fills the trench with the first dielectric layer; and the second deposition process completely fills the second dielectric layer Of the groove The remaining part. 一種半導體裝置的製造方法,包含:形成一溝槽,其穿過設置在一裝置基板上的複數個層的一堆疊,其中該溝槽具有大於或等於約10:1的一縱橫比,並且其中該堆疊的該複數個層中的一個層包含一接合墊;使用旋塗介電質沉積製程,以一第一介電材料部分地填充該溝槽,該第一介電材料處於一液態;烘烤該第一介電材料,以將該第一介電材料從該液態轉變為一固態;在所述烘烤之後,用一第二介電材料填充該溝槽的一剩餘部分;使用一電漿沉積製程在該第二介電材料之上形成一第三介電材料,其中該第三介電材料形成為具有一厚度,該厚度在該第一介電材料和該第二介電材料的一總和厚度的約20%至約80%之內;使用一化學氣相沉積製程在該第三介電材料之上形成一第四介電材料;蝕刻一開口,其穿過該第四介電材料、該第三介電材料、該第二介電材料、和該第一介電材料,其中該開口暴露該接合墊的至少一部分;以及將一載體基板耦合到該裝置基板,其中所述耦合包含通過該開口插入該載體基板的一突出組件並且將該突出組件接合到該接合墊。 A method of manufacturing a semiconductor device includes: forming a trench through a stack of a plurality of layers provided on a device substrate, wherein the trench has an aspect ratio greater than or equal to about 10:1, and wherein One of the plurality of layers of the stack includes a bonding pad; a spin-on dielectric deposition process is used to partially fill the trench with a first dielectric material, the first dielectric material is in a liquid state; Bake the first dielectric material to transform the first dielectric material from the liquid state to a solid state; after the baking, fill a remaining part of the trench with a second dielectric material; use a dielectric The slurry deposition process forms a third dielectric material on the second dielectric material, wherein the third dielectric material is formed to have a thickness that is greater than the difference between the first dielectric material and the second dielectric material Within about 20% to about 80% of the total thickness; using a chemical vapor deposition process to form a fourth dielectric material on the third dielectric material; etching an opening through the fourth dielectric Material, the third dielectric material, the second dielectric material, and the first dielectric material, wherein the opening exposes at least a portion of the bonding pad; and coupling a carrier substrate to the device substrate, wherein the coupling It includes a protruding component inserted into the carrier substrate through the opening and bonding the protruding component to the bonding pad. 如請求項5所述之半導體裝置的製造方法, 其中:該第一介電材料施加一第一拉伸應力;該第二介電材料施加一第二拉伸應力,其小於該第一拉伸應力或一第一壓縮應力;該第三介電材料施加一第二壓縮應力;以及該第四介電材料施加一第三壓縮應力。 The method of manufacturing a semiconductor device described in claim 5, Wherein: the first dielectric material applies a first tensile stress; the second dielectric material applies a second tensile stress, which is smaller than the first tensile stress or a first compressive stress; the third dielectric material The material applies a second compressive stress; and the fourth dielectric material applies a third compressive stress. 一種半導體裝置,包含:複數個層,垂直地堆疊在一起;一溝槽,其延伸垂直地穿過該複數個層,其中該溝槽至少部分地由一第一介電層填充,該第一介電層釋放一第一拉伸應力;一第二介電層,設置在該第一介電層上,其中該第二介電層釋放一第二拉伸應力或一第一壓縮應力;一第三介電層,設置在該第二介電層上,其中該第三介電層釋放一第二壓縮應力;一第一基板;一磊晶層,其設置在該第一基板之上,其中該些複數個層設置在該磊晶層之上,且其中該溝槽至少部分地延伸至該磊晶層內;一導電元件,其設置在該複數個層中的一個層內;以及一第二基板,其接合至該導電元件。 A semiconductor device comprising: a plurality of layers vertically stacked together; a trench extending vertically through the plurality of layers, wherein the trench is at least partially filled with a first dielectric layer, the first The dielectric layer releases a first tensile stress; a second dielectric layer is disposed on the first dielectric layer, wherein the second dielectric layer releases a second tensile stress or a first compressive stress; The third dielectric layer is disposed on the second dielectric layer, wherein the third dielectric layer releases a second compressive stress; a first substrate; an epitaxial layer, which is disposed on the first substrate, Wherein the plurality of layers are disposed on the epitaxial layer, and wherein the trench at least partially extends into the epitaxial layer; a conductive element is disposed in one of the plurality of layers; and a The second substrate is bonded to the conductive element. 如請求項7所述之半導體裝置,更包含:一第四介電層,其設置在該第三介電層之上,其中該第四介電層釋放一第三壓縮應力。 The semiconductor device according to claim 7, further comprising: a fourth dielectric layer disposed on the third dielectric layer, wherein the fourth dielectric layer releases a third compressive stress. 如請求項8所述之半導體裝置,其中:該第四介電層包括SixNy,y介在約1.2x至約1.5x的範圍內;以及該第四介電層具有一折射率其在約1.7和約2.2之間的範圍內。 The semiconductor device according to claim 8, wherein: the fourth dielectric layer includes Si x N y , y is in the range of about 1.2x to about 1.5x; and the fourth dielectric layer has a refractive index which is In the range between about 1.7 and about 2.2.
TW108120542A 2018-09-27 2019-06-13 Method of fabricating semiconductor device and semiconductor device TWI703673B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862737262P 2018-09-27 2018-09-27
US62/737,262 2018-09-27
US16/270,477 US11201122B2 (en) 2018-09-27 2019-02-07 Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US16/270,477 2019-02-07

Publications (2)

Publication Number Publication Date
TW202013596A TW202013596A (en) 2020-04-01
TWI703673B true TWI703673B (en) 2020-09-01

Family

ID=69946088

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108120542A TWI703673B (en) 2018-09-27 2019-06-13 Method of fabricating semiconductor device and semiconductor device

Country Status (1)

Country Link
TW (1) TWI703673B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11869869B2 (en) * 2021-04-22 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Heterogeneous dielectric bonding scheme

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI270165B (en) * 2001-10-09 2007-01-01 Elpida Memory Inc Semiconductor device using shallow trench isolation and method of fabricating the same
TWI278960B (en) * 2004-01-29 2007-04-11 Taiwan Semiconductor Mfg Method for achieving improved STI gap fill with reduced stress
US7229896B2 (en) * 2005-08-03 2007-06-12 United Microelectronics Corp. STI process for eliminating silicon nitride liner induced defects
US20070190715A1 (en) * 2002-12-26 2007-08-16 Fujitsu Limited Semiconductor device having STI without divot and its manufacture
TW201330103A (en) * 2011-11-08 2013-07-16 Applied Materials Inc Methods of reducing substrate dislocation during gapfill processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI270165B (en) * 2001-10-09 2007-01-01 Elpida Memory Inc Semiconductor device using shallow trench isolation and method of fabricating the same
US20070190715A1 (en) * 2002-12-26 2007-08-16 Fujitsu Limited Semiconductor device having STI without divot and its manufacture
TWI278960B (en) * 2004-01-29 2007-04-11 Taiwan Semiconductor Mfg Method for achieving improved STI gap fill with reduced stress
US7229896B2 (en) * 2005-08-03 2007-06-12 United Microelectronics Corp. STI process for eliminating silicon nitride liner induced defects
TW201330103A (en) * 2011-11-08 2013-07-16 Applied Materials Inc Methods of reducing substrate dislocation during gapfill processing

Also Published As

Publication number Publication date
TW202013596A (en) 2020-04-01

Similar Documents

Publication Publication Date Title
TWI623047B (en) Transistor and method of forming the same
US9640441B2 (en) Voids in STI regions for forming bulk FinFETs
TWI527242B (en) Semiconductor device and method for fabricating the same
US8319311B2 (en) Hybrid STI gap-filling approach
US8519481B2 (en) Voids in STI regions for forming bulk FinFETs
TWI512988B (en) Fin field effect transistor, finfet
JP5199230B2 (en) Integrated circuit structure and manufacturing method thereof
TWI431778B (en) Finfet and method of manufacturing the same
TWI424528B (en) Integrated circuit structure
TWI625858B (en) Contact structure of semiconductor device
TWI677052B (en) Semiconductor device and manufacturing method
TWI669753B (en) Method of manufaturing semiconductor device
TWI579905B (en) Semiconductor structure and manufacturing method thereof
TWI686880B (en) Semiconductor device and methods of fabrication thereof
TW201637171A (en) Semiconductor device and manufacturing method thereof
CN110957258B (en) Semiconductor device and method for manufacturing the same
TW201735170A (en) Semiconductor device and manufacturing method thereof
TW201709466A (en) Integrated circuit structure with crack stop and method of forming same
TWI703673B (en) Method of fabricating semiconductor device and semiconductor device
CN106856189B (en) Shallow trench isolation structure and forming method thereof
US8084364B2 (en) Method of fabricating semiconductor device
TW202022943A (en) Semiconductor structure and method for manufacturing the same
KR100866142B1 (en) Method of manufacturing isolation layer for semiconductor device
TWI559414B (en) Through substrate via process
JP6005364B2 (en) Semiconductor device manufacturing method and semiconductor device