TWI700819B - Non-volatile memory and manufacturing method thereof - Google Patents

Non-volatile memory and manufacturing method thereof Download PDF

Info

Publication number
TWI700819B
TWI700819B TW107139890A TW107139890A TWI700819B TW I700819 B TWI700819 B TW I700819B TW 107139890 A TW107139890 A TW 107139890A TW 107139890 A TW107139890 A TW 107139890A TW I700819 B TWI700819 B TW I700819B
Authority
TW
Taiwan
Prior art keywords
gate
substrate
layer
volatile memory
floating gate
Prior art date
Application number
TW107139890A
Other languages
Chinese (zh)
Other versions
TW202018917A (en
Inventor
范德慈
黃義欣
鄭育明
Original Assignee
物聯記憶體科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 物聯記憶體科技股份有限公司 filed Critical 物聯記憶體科技股份有限公司
Priority to TW107139890A priority Critical patent/TWI700819B/en
Priority to CN201910808137.2A priority patent/CN111180447B/en
Publication of TW202018917A publication Critical patent/TW202018917A/en
Application granted granted Critical
Publication of TWI700819B publication Critical patent/TWI700819B/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND

Landscapes

  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A non-volatile memory having memory cells is provided. A stacked gate structure includes source region, drain region, select gate, virtual select gate, floating gate, erase gate and control gate. The select gate is disposed on the substrate between the source region and the drain region. The floating gate is disposed on the substrate between the source region virtual select gate and the select gate, the height of the floating gate that adjacent to the select gate is higher than the height of the select gate and virtual select gate, and the floating gate has two opposite corners at the top portion. The erase gate is disposed on the source region and covers the source side corner portion. The control gate is disposed on the erase gate and the floating gate.

Description

非揮發性記憶體及其製造方法Non-volatile memory and manufacturing method thereof

本發明是有關於一種半導體元件及其製造方法,且特別是有關於一種非揮發性記憶體及其製造方法。 The present invention relates to a semiconductor element and its manufacturing method, and more particularly to a non-volatile memory and its manufacturing method.

非揮發性記憶體由於具有可多次進行資料的存入、讀取、抹除等動作,且存入的資料在斷電後也不會消失的優點,已廣泛採用在個人電腦和電子設備。 Non-volatile memory has the advantages that data can be stored, read, and erased multiple times, and the stored data will not disappear after power failure, so it has been widely used in personal computers and electronic devices.

典型的一種非揮發性記憶體設計成具有堆疊式閘極(Stack-Gate)結構,其中包括依序設置於基底上的穿隧氧化層、浮置閘極(Floating gate)、閘間介電層以及控制閘極(Control Gate)。對此非揮發性記憶體元件進行程式化或抹除操作時,係分別於源極區、汲極區與控制閘極上施加適當電壓,以使電子注入多晶矽浮置閘極中,或將電子從多晶矽浮置閘極中拉出。 A typical non-volatile memory is designed to have a stacked gate (Stack-Gate) structure, which includes a tunnel oxide layer, a floating gate, and an inter-gate dielectric layer sequentially arranged on the substrate And control gate (Control Gate). When programming or erasing this non-volatile memory device, appropriate voltages are applied to the source region, drain region, and control gate respectively, so that electrons are injected into the polysilicon floating gate, or electrons are removed from the polysilicon floating gate. The polysilicon floating gate is pulled out.

在非揮發性記憶體的操作上,通常浮置閘極與控制閘極之間的閘極耦合率(Gate-Coupling Ratio,GCR)越大,其操作所需之工作電壓將越低,而非揮發性記憶體的操作速度與效率就會大 大的提升。其中增加閘極耦合率的方法,包括了增加浮置閘極與控制閘極間之重疊面積(Overlap Area)、降低浮置閘極與控制閘極間之介電層的厚度、以及增加浮置閘極與控制閘極之間的閘間介電層的介電常數(Dielectric Constant;k)等。 In the operation of non-volatile memory, usually the greater the gate-coupling ratio (GCR) between the floating gate and the control gate, the lower the operating voltage required for its operation. The operating speed and efficiency of volatile memory will be greater Big improvement. The methods to increase the gate coupling ratio include increasing the overlap area between the floating gate and the control gate, reducing the thickness of the dielectric layer between the floating gate and the control gate, and increasing the floating The dielectric constant (k) of the inter-gate dielectric layer between the gate and the control gate.

在非揮發性記憶體的操作上,通常閘極電阻越小,非揮發性記憶體的操作速度就會大大的提升。其中降低閘極電阻的方法,包括了使用金屬矽化物。 In the operation of non-volatile memory, generally the smaller the gate resistance, the operating speed of non-volatile memory will be greatly improved. One method to reduce the gate resistance includes the use of metal silicide.

然而,隨著積體電路正以更高的集積度朝向小型化的元件發展,所以必須縮小非揮發性記憶體之記憶胞尺寸以增進其集積度。其中,縮小記憶胞之尺寸可藉由減小記憶胞的閘極長度與位元線的間隔等方法來達成。但是,閘極長度變小會縮短了穿隧氧化層下方的通道長度(Channel Length),容易造成汲極與源極間發生不正常的電性貫通(Punch Through),如此將嚴重影響此記憶胞的電性表現。而且,在程式化及或抹除記憶胞時,電子重複穿越過穿隧氧化層,將耗損穿隧氧化層,導致記憶體元件可靠度降低。 However, as integrated circuits are developing toward smaller devices with higher integration, the size of the memory cell of the non-volatile memory must be reduced to increase the integration. Among them, reducing the size of the memory cell can be achieved by reducing the gate length of the memory cell and the bit line interval. However, the reduced gate length will shorten the channel length (Channel Length) under the tunnel oxide layer, which is likely to cause abnormal electrical penetration between the drain and the source (Punch Through), which will seriously affect the memory cell Electrical performance. Moreover, when programming and/or erasing the memory cell, electrons repeatedly traverse the tunnel oxide layer, which will deplete the tunnel oxide layer, resulting in reduced reliability of the memory device.

本發明提供一種非揮發性記憶體及其製造方法,可以低操作電壓操作,進而增加半導體元件的可靠度。 The invention provides a non-volatile memory and a manufacturing method thereof, which can be operated at a low operating voltage, thereby increasing the reliability of the semiconductor element.

本發明提供一種非揮發性記憶體及其製造方法,可以降低閘極電阻,進而增加半導體元件的操作速度。 The invention provides a non-volatile memory and a manufacturing method thereof, which can reduce the gate resistance, thereby increasing the operating speed of a semiconductor element.

本發明提供一種非揮發性記憶體及其製造方法,可以提高元件的積集度。 The invention provides a non-volatile memory and a manufacturing method thereof, which can improve the integration degree of components.

本發明提出一種非揮發性記憶體,其具有第一記憶胞,第一記憶胞設置於基底上。第一記憶胞包括源極區與汲極區、選擇閘極、虛擬選擇閘極、浮置閘極、抹除閘極、控制閘極、穿隧介電層、抹除閘介電層、選擇閘介電層、絕緣層及閘間介電層。源極區與汲極區分別設置基底中。選擇閘極設置於源極區與汲極區之間的基底上。虛擬選擇閘極設置於源極區的基底上。浮置閘極設置於選擇閘極與源極區之間的基底上,浮置閘極的高度高於選擇閘極與虛擬選擇閘極的高度且浮置閘極的頂部具有二對稱之轉角部。抹除閘極設置於虛擬選擇閘極上,且抹除閘極包覆浮置閘極之其中一個轉角部。控制閘極設置於抹除閘極與浮置閘極上。穿隧介電層設置於浮置閘極與基底之間。抹除閘介電層設置於抹除閘極與浮置閘極之間。選擇閘介電層設置於選擇閘極與基底之間。絕緣層設置於選擇閘極與浮置閘極之間。閘間介電層設置於控制閘極與浮置閘極之間以及控制閘極與抹除閘極之間。 The present invention provides a non-volatile memory, which has a first memory cell, and the first memory cell is disposed on a substrate. The first memory cell includes source region and drain region, select gate, virtual select gate, floating gate, erase gate, control gate, tunnel dielectric layer, erase gate dielectric layer, select Gate dielectric layer, insulating layer and inter-gate dielectric layer. The source region and the drain region are respectively arranged in the substrate. The selection gate is arranged on the substrate between the source region and the drain region. The dummy selection gate is arranged on the substrate of the source region. The floating gate is arranged on the substrate between the selection gate and the source region, the height of the floating gate is higher than the height of the selection gate and the dummy selection gate, and the top of the floating gate has two symmetrical corners . The erasing gate is arranged on the virtual selection gate, and the erasing gate covers one of the corners of the floating gate. The control gate is arranged on the erase gate and the floating gate. The tunneling dielectric layer is arranged between the floating gate and the substrate. The erase gate dielectric layer is arranged between the erase gate and the floating gate. The select gate dielectric layer is disposed between the select gate and the substrate. The insulating layer is arranged between the selection gate and the floating gate. The inter-gate dielectric layer is arranged between the control gate and the floating gate and between the control gate and the erasing gate.

在本發明的一實施例中,抹除閘極取代源極區上方的虛擬選擇閘極之全部,且抹除閘極包覆轉角部。 In an embodiment of the present invention, the erase gate replaces all of the virtual selection gates above the source region, and the erase gate covers the corners.

在本發明的一實施例中,上述非揮發性記憶體更包括第二記憶胞。第二記憶胞設置於基底上。第二記憶胞的結構與第一記憶胞的結構相同,且第二記憶胞與第一記憶胞成鏡像配置,共 用源極區或汲極區。 In an embodiment of the present invention, the aforementioned non-volatile memory further includes a second memory cell. The second memory cell is arranged on the substrate. The structure of the second memory cell is the same as the structure of the first memory cell, and the second memory cell and the first memory cell are arranged in a mirror image. Use source region or drain region.

在本發明的一實施例中,第一記憶胞與第二記憶胞共用抹除閘極,且抹除閘極填滿第一記憶胞與第二記憶胞之間的開口。 In an embodiment of the present invention, the first memory cell and the second memory cell share an erase gate, and the erase gate fills the opening between the first memory cell and the second memory cell.

在本發明的一實施例中,第一記憶胞與第二記憶胞共用控制閘極,且控制閘極覆蓋抹除閘極。 In an embodiment of the present invention, the first memory cell and the second memory cell share a control gate, and the control gate covers the erase gate.

在本發明的一實施例中,控制閘極的材質包括多晶矽及金屬矽化物。 In an embodiment of the present invention, the material of the control gate includes polysilicon and metal silicide.

在本發明的一實施例中,選擇閘極的材質包括多晶矽及金屬矽化物。 In an embodiment of the present invention, the material of the selection gate includes polysilicon and metal silicide.

在本發明的一實施例中,抹除閘極上更包括頂蓋層。 In an embodiment of the present invention, the erasing gate further includes a cap layer.

本發明的一實施例中,浮置閘極具有凹口。 In an embodiment of the present invention, the floating gate has a notch.

在本發明的一實施例中,轉角部角度小於或等於90度。 In an embodiment of the present invention, the angle of the corner portion is less than or equal to 90 degrees.

本發明的一實施例中,選擇閘介電層的厚度小於或等於所述穿隧介電層的厚度。 In an embodiment of the present invention, the thickness of the selective gate dielectric layer is less than or equal to the thickness of the tunneling dielectric layer.

本發明提出一種非揮發性記憶體的製造方法。首先,提供基底,此基底中已形成有源極區。於基底上形成第一堆疊結構與第二堆疊結構,第一堆疊結構與第二堆疊結構由基底起依序包括選擇閘介電層、選擇閘極及犧牲層,其中第二堆疊結構位於源極區上。於第一堆疊結構與第二堆疊結構之間的基底上形成穿隧介電層。於第一堆疊結構與第二堆疊結構之間的基底上形成自對 準之浮置閘極,其中浮置閘極的頂部具有相鄰第一堆疊結構與第二堆疊結構之二對稱轉角部。移除犧牲層,暴露出浮置閘極的轉角部。於包含轉角部的浮置閘極上形成抹除閘介電層。於第二堆疊結構上形成抹除閘極;或者於去除第二堆疊結構之選擇閘極(即虛擬選擇閘極)之後,於基底上形成抹除閘極。抹除閘極包覆靠近源極區側的浮置閘極的轉角部。於浮置閘極及抹除閘極上形成閘間介電層。於浮置閘極上形成控制閘極。 The present invention provides a method for manufacturing a non-volatile memory. First, a substrate is provided, in which an active region has been formed. A first stacked structure and a second stacked structure are formed on the substrate. The first stacked structure and the second stacked structure include a select gate dielectric layer, a select gate, and a sacrificial layer in order from the substrate. The second stacked structure is located at the source District. A tunneling dielectric layer is formed on the substrate between the first stack structure and the second stack structure. Self-pairing is formed on the substrate between the first stack structure and the second stack structure Quasi-floating gate, wherein the top of the floating gate has two symmetrical corners adjacent to the first stacked structure and the second stacked structure. The sacrificial layer is removed to expose the corners of the floating gate. An erase gate dielectric layer is formed on the floating gate including the corner portion. An erase gate is formed on the second stack structure; or after removing the select gate of the second stack structure (ie, the dummy select gate), an erase gate is formed on the substrate. The erasing gate covers the corner part of the floating gate close to the source region side. An inter-gate dielectric layer is formed on the floating gate and the erased gate. A control gate is formed on the floating gate.

在本發明的一實施例中,於第一堆疊結構與第二堆疊結構之間的基底上形成浮置閘極的步驟包括:於第一堆疊結構與第二堆疊結構之間形成導體間隙壁,然後圖案化導體間隙壁,以形成浮置閘極。 In an embodiment of the present invention, the step of forming a floating gate on the substrate between the first stack structure and the second stack structure includes: forming a conductor spacer between the first stack structure and the second stack structure, Then the conductor spacer is patterned to form a floating gate.

在本發明的一實施例中,非揮發性記憶體的製造方法更包括:於第一堆疊結構的與浮置閘極相鄰的相反側的基底中形成汲極區。 In an embodiment of the present invention, the manufacturing method of the non-volatile memory further includes: forming a drain region in the substrate on the opposite side of the first stack structure adjacent to the floating gate.

在本發明的一實施例中,非揮發性記憶體的製造方法更包括:於選擇閘極、控制閘極與汲極區形成金屬矽化物層。 In an embodiment of the present invention, the manufacturing method of the non-volatile memory further includes: forming a metal silicide layer on the selective gate, control gate and drain regions.

在本發明的一實施例中,移除第二堆疊結構後,更包括於浮置閘極的側壁形成抹除閘介電層與間隙壁。 In an embodiment of the present invention, after removing the second stack structure, it further includes forming an erase gate dielectric layer and a spacer on the sidewall of the floating gate.

在本發明的一實施例中,於浮置閘極上形成控制閘極的步驟包括:於基底上形成導體材料層,然後圖案化導體材料層,以形成覆蓋浮置閘極與抹除閘極的控制閘極。 In an embodiment of the present invention, the step of forming a control gate on the floating gate includes: forming a conductive material layer on a substrate, and then patterning the conductive material layer to form a layer covering the floating gate and the erase gate Control the gate.

在本發明的一實施例中,於浮置閘極上形成控制閘極的步驟包括:於所述基底上形成導體材料層,並進行平坦化製程,以移除部分所述導體材料層,然後圖案化導體材料層,以於抹除閘極的一側、且於浮置閘極的上方形成控制閘極。 In an embodiment of the present invention, the step of forming a control gate on a floating gate includes: forming a conductive material layer on the substrate, and performing a planarization process to remove part of the conductive material layer, and then patterning The conductive material layer is formed to form a control gate on the side where the gate is erased and above the floating gate.

在本發明的一實施例中,於抹除閘極更形成有頂蓋層,平坦化製程是移除部分導體材料層直到暴露出頂蓋層。 In an embodiment of the present invention, a cap layer is further formed on the erase gate, and the planarization process is to remove part of the conductive material layer until the cap layer is exposed.

本發明的非揮發性記憶體及其製造方法中,浮置閘極具有凹口,增加了控制閘極與浮置閘極之間所夾的面積,而提高了記憶體元件的的耦合率。 In the non-volatile memory and the manufacturing method thereof of the present invention, the floating gate has a notch, which increases the area between the control gate and the floating gate, and improves the coupling rate of the memory device.

本發明的非揮發性記憶體及其製造方法中,由於浮置閘極設置有轉角部,抹除閘極包覆此轉角部。轉角部的角度小於或等於90度,藉由轉角部使電場集中,可降低抹除電壓,有效率的將電子從浮置閘極拉出,提高抹除資料的速度。 In the non-volatile memory and the manufacturing method thereof of the present invention, since the floating gate is provided with a corner portion, the erasing gate covers the corner portion. The angle of the corner part is less than or equal to 90 degrees. By concentrating the electric field at the corner part, the erasing voltage can be reduced, and the electrons can be efficiently pulled out of the floating gate to increase the data erasing speed.

本發明的非揮發性記憶體及其製造方法中,由於所形成的選擇閘極下的選擇閘介電層的厚度可以依使用需求製造較薄,在操作記憶胞時,可以使用較小的電壓打開/關閉輔助閘極下方的通道區,亦即可以降低操作電壓。 In the non-volatile memory and the manufacturing method thereof of the present invention, since the thickness of the selective gate dielectric layer under the formed selective gate can be made thinner according to usage requirements, a smaller voltage can be used when operating the memory cell Opening/closing the channel area under the auxiliary gate, which can reduce the operating voltage.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。 In order to make the above-mentioned features and advantages of the present invention more comprehensible, the following specific embodiments are described in detail in conjunction with the accompanying drawings.

100、200:基底 100, 200: base

101:隔離結構 101: Isolation structure

103:主動區 103: active area

102、202:源極區 102, 202: source region

104、232:汲極區 104, 232: Drain region

106:選擇閘極 106: select gate

106a:虛擬選擇閘極 106a: Virtual selection gate

108、216:浮置閘極 108, 216: floating gate

110、110a、224a:抹除閘極 110, 110a, 224a: erase gate

112、112a、112b、230a、230b:控制閘極 112, 112a, 112b, 230a, 230b: control gate

114、212:穿隧介電層 114, 212: Tunneling dielectric layer

116、116a:抹除閘介電層 116, 116a: Erase the gate dielectric layer

118:選擇閘介電層 118: Select gate dielectric layer

120:絕緣層 120: insulating layer

122、228:閘間介電層 122, 228: Dielectric layer between gates

124、236:金屬矽化物層 124, 236: Metal silicide layer

126、218:轉角部 126, 218: corner

128、234、238:間隙壁 128, 234, 238: gap wall

130、226:頂蓋層 130, 226: top cover layer

140、142、144、146、MC:記憶胞 140, 142, 144, 146, MC: memory cell

204、220、222:介電層 204, 220, 222: Dielectric layer

206、214、224、230:導體層 206, 214, 224, 230: conductor layer

207:犧牲層 207: Sacrifice Layer

208a、208b:堆疊結構 208a, 208b: stacked structure

210:絕緣層 210: insulating layer

圖1A為依照本發明之實施例所繪示的一種非揮發性記憶體的上視圖。 FIG. 1A is a top view of a non-volatile memory according to an embodiment of the invention.

圖1B為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。 FIG. 1B is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention.

圖1C為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。 FIG. 1C is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention.

圖1D為依照本發明之實施例所繪示的一種非揮發性記憶體的上視圖。 FIG. 1D is a top view of a non-volatile memory according to an embodiment of the invention.

圖1E為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。 FIG. 1E is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention.

圖1F為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。 FIG. 1F is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention.

圖2A到圖2H為依照本發明之一實施例所繪示的一種非揮發性記憶體的製作流程的剖面示意圖。 2A to 2H are schematic cross-sectional views illustrating a manufacturing process of a non-volatile memory according to an embodiment of the invention.

圖3為依照本發明之一實施例所繪示的一種非揮發性記憶體的製作流程的剖面示意圖。 3 is a schematic cross-sectional view of a manufacturing process of a non-volatile memory according to an embodiment of the present invention.

圖4A到圖4C為依照本發明之一實施例,其是將第二堆疊結構(即虛擬選擇閘極)去除後所繪示的一種非揮發性記憶體的製作流程的剖面示意圖。 4A to 4C are cross-sectional schematic diagrams of a manufacturing process of a non-volatile memory after removing the second stack structure (ie, the virtual selection gate) according to an embodiment of the present invention.

圖1A為依照本發明之實施例所繪示的一種非揮發性 記憶體的上視圖。圖1B為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。圖1B所繪示為沿著圖1A中A-A'線的剖面圖。圖1C為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。圖1D為依照本發明之實施例所繪示的一種非揮發性記憶體的上視圖。圖1E為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。圖1E所繪示為沿著圖1D中B-B'線的剖面圖。圖1F為依照本發明之實施例所繪示的一種非揮發性記憶體的剖面示意圖。在圖1B~圖1F中,相同的構件給予相同的符號並省略其說明。 Fig. 1A is a non-volatile diagram according to an embodiment of the present invention Top view of the memory. FIG. 1B is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention. Fig. 1B is a cross-sectional view taken along the line AA' in Fig. 1A. FIG. 1C is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention. FIG. 1D is a top view of a non-volatile memory according to an embodiment of the invention. FIG. 1E is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention. Fig. 1E is a cross-sectional view taken along the line BB' in Fig. 1D. FIG. 1F is a schematic cross-sectional view of a non-volatile memory according to an embodiment of the invention. In FIGS. 1B to 1F, the same components are given the same symbols and their descriptions are omitted.

請參照圖1A及圖1B,非揮發性記憶體包括多個記憶胞MC。這些記憶胞MC排列成行/列陣列。非揮發性記憶體設置於基底100上。在基底100中例如設置有規則排列的多個隔離結構101,以定義出具有格狀的主動區103。隔離結構101例如是淺溝渠隔離結構。 1A and 1B, the non-volatile memory includes a plurality of memory cells MC. These memory cells MC are arranged in a row/column array. The non-volatile memory is disposed on the substrate 100. For example, a plurality of isolation structures 101 arranged regularly are arranged in the substrate 100 to define an active area 103 having a lattice shape. The isolation structure 101 is, for example, a shallow trench isolation structure.

各記憶胞MC包括源極區102與汲極區104、選擇閘極106、浮置閘極108、抹除閘極110、控制閘極112、穿隧介電層114、抹除閘介電層116、選擇閘介電層118、絕緣層120、閘間介電層122。 Each memory cell MC includes a source region 102 and a drain region 104, a selection gate 106, a floating gate 108, an erase gate 110, a control gate 112, a tunneling dielectric layer 114, and an erase gate dielectric layer 116. Select the gate dielectric layer 118, the insulating layer 120, and the inter-gate dielectric layer 122.

源極區102與汲極區104,分別設置基底100中。源極區102、汲極區104例如是含有N型或P型摻質的摻雜區,端視元件的設計而定。 The source region 102 and the drain region 104 are respectively disposed in the substrate 100. The source region 102 and the drain region 104 are, for example, doped regions containing N-type or P-type dopants, depending on the design of the device.

選擇閘極106例如設置於源極區102與汲極區104之間的基底100上。選擇閘極106例如是在Y方向延伸。選擇閘極106的材質包括摻雜多晶矽等導體材料。在一實施例中,選擇閘極106的材質包括多晶矽及金屬矽化物。 The selection gate 106 is disposed on the substrate 100 between the source region 102 and the drain region 104, for example. The selection gate 106 extends in the Y direction, for example. The material of the selected gate 106 includes conductive materials such as doped polysilicon. In one embodiment, the material of the selection gate 106 includes polysilicon and metal silicide.

浮置閘極108例如設置於選擇閘極106與源極區102之間的基底100上。浮置閘極108的與選擇閘極106相鄰一側的高度高於選擇閘極106的高度,且浮置閘極108的頂部至少具有轉角部126。浮置閘極108具有凹陷,亦即浮置閘極的高度從中央逐漸變高,而將頂部的轉角部126暴露出來。浮置閘極108的材質例如是摻雜多晶矽等導體材料。浮置閘極108可由一層或多層導體層構成。 The floating gate 108 is, for example, disposed on the substrate 100 between the select gate 106 and the source region 102. The height of the floating gate 108 adjacent to the selection gate 106 is higher than the height of the selection gate 106, and the top of the floating gate 108 has at least a corner portion 126. The floating gate 108 has a recess, that is, the height of the floating gate gradually increases from the center, and the corner portion 126 at the top is exposed. The material of the floating gate 108 is, for example, a conductive material such as doped polysilicon. The floating gate 108 may be composed of one or more conductor layers.

抹除閘極110例如設置於源極區102上,且抹除閘極110包覆轉角部126。抹除閘極110例如是在Y方向延伸。抹除閘極110的材質例如是摻雜多晶矽等導體材料。控制閘極112例如設置於抹除閘極110與浮置閘極108上。控制閘極112的材質例如是摻雜多晶矽等導體材料。在抹除閘極110上更包括頂蓋層130。頂蓋層130的材質例如是氧化矽或氮化矽。 The erasing gate 110 is, for example, disposed on the source region 102, and the erasing gate 110 covers the corner portion 126. The erasing gate 110 extends in the Y direction, for example. The material of the erase gate 110 is, for example, a conductive material such as doped polysilicon. The control gate 112 is, for example, disposed on the erase gate 110 and the floating gate 108. The material of the control gate 112 is, for example, a conductive material such as doped polysilicon. The erasing gate 110 further includes a cap layer 130. The material of the cap layer 130 is, for example, silicon oxide or silicon nitride.

穿隧介電層114例如設置於浮置閘極108與基底100之間。穿隧介電層114的材質例如是氧化矽。穿隧介電層114的厚度介於60埃至200埃之間。抹除閘介電層116例如設置於抹除閘極110與浮置閘極108之間。抹除閘介電層116的材質例如 是氧化矽。抹除閘介電層116的厚度例如介於60埃至180埃之間。 The tunneling dielectric layer 114 is, for example, disposed between the floating gate 108 and the substrate 100. The material of the tunneling dielectric layer 114 is silicon oxide, for example. The thickness of the tunneling dielectric layer 114 is between 60 angstroms and 200 angstroms. The erasing gate dielectric layer 116 is disposed between the erasing gate 110 and the floating gate 108, for example. The material of the wiper dielectric layer 116 is for example It is silicon oxide. The thickness of the erase gate dielectric layer 116 is, for example, between 60 angstroms and 180 angstroms.

選擇閘介電層118例如設置於選擇閘極106與基底100之間。選擇閘介電層118的材質例如是氧化矽,所述選擇閘介電層的厚度小於或等於所述穿隧介電層的厚度。絕緣層120例如設置於選擇閘極106與浮置閘極108之間。閘間介電層122例如設置於控制閘極112與浮置閘極108之間以及控制閘極112與抹除閘極110之間。閘間介電層122的材質例如是氧化矽/氮化矽/氧化矽或氮化矽/氧化矽或其他高介電常數的材質(k>4)。 The select gate dielectric layer 118 is, for example, disposed between the select gate 106 and the substrate 100. The material of the selective gate dielectric layer 118 is, for example, silicon oxide, and the thickness of the selective gate dielectric layer is less than or equal to the thickness of the tunneling dielectric layer. The insulating layer 120 is, for example, disposed between the selection gate 106 and the floating gate 108. The inter-gate dielectric layer 122 is, for example, disposed between the control gate 112 and the floating gate 108 and between the control gate 112 and the erase gate 110. The material of the inter-gate dielectric layer 122 is, for example, silicon oxide/silicon nitride/silicon oxide or silicon nitride/silicon oxide or other high dielectric constant materials (k>4).

在本實施例中,非揮發性記憶體更包括虛擬選擇閘極106a。虛擬選擇閘極106a例如設置於基底100與抹除閘極110之間。虛擬選擇閘極106a與浮置閘極108之間例如也設置有絕緣層120。 In this embodiment, the non-volatile memory further includes a virtual select gate 106a. The dummy selection gate 106a is disposed between the substrate 100 and the erase gate 110, for example. An insulating layer 120 is also provided between the dummy selection gate 106a and the floating gate 108, for example.

在X方向(行方向)上,多個記憶胞MC藉由源極區102或汲極區104串接在一起。舉例來說,記憶胞140的結構與記憶胞142的結構相同,且記憶胞140與記憶胞142成鏡像配置,共用源極區102或汲極區104;記憶胞144的結構與記憶胞146的結構相同,且記憶胞144與記憶胞146成鏡像配置,共用源極區102或汲極區104。同時,記憶胞140、記憶胞142、記憶胞144與記憶胞146共用抹除閘極110及控制閘極112,且控制閘極112覆蓋抹除閘極110。 In the X direction (row direction), a plurality of memory cells MC are connected in series via the source region 102 or the drain region 104. For example, the structure of the memory cell 140 is the same as that of the memory cell 142, and the memory cell 140 and the memory cell 142 are arranged in a mirror image and share the source region 102 or the drain region 104; the structure of the memory cell 144 is the same as that of the memory cell 146 The structure is the same, and the memory cell 144 and the memory cell 146 are in a mirror image configuration, sharing the source region 102 or the drain region 104. At the same time, the memory cell 140, the memory cell 142, the memory cell 144, and the memory cell 146 share the erasing gate 110 and the control gate 112, and the control gate 112 covers the erasing gate 110.

在Y方向(列方向)上,多個記憶胞MC由源極區102、選擇閘極106、抹除閘極110以及控制閘極112串接在一起。亦即,在列方向上,多個記憶胞MC共用同一個源極區102、選擇閘極106、抹除閘極110以及控制閘極112。舉例來說,記憶胞140的結構與記憶胞144的結構相同,記憶胞142的結構與記憶胞146的結構相同,控制閘極112填滿記憶胞140與記憶胞144以及記憶胞142的結構與記憶胞146之間。同一列的記憶胞140與記憶胞144共用同同一個源極區102、選擇閘極106、抹除閘極110以及控制閘極112。 In the Y direction (column direction), a plurality of memory cells MC are connected in series by the source region 102, the selection gate 106, the erase gate 110, and the control gate 112. That is, in the column direction, a plurality of memory cells MC share the same source region 102, select gate 106, erase gate 110, and control gate 112. For example, the structure of the memory cell 140 is the same as the structure of the memory cell 144, the structure of the memory cell 142 is the same as the structure of the memory cell 146, and the control gate 112 fills the memory cell 140 and the memory cell 144 and the structure of the memory cell 142 and Between memory cells 146. The memory cell 140 and the memory cell 144 in the same row share the same source region 102, selection gate 106, erase gate 110, and control gate 112.

在本實施例中,控制閘極112、選擇閘極106以及汲極區104上更形成有金屬矽化物層124。 In this embodiment, a metal silicide layer 124 is further formed on the control gate 112, the select gate 106, and the drain region 104.

在另一實施例中,如圖1C所示,移除了圖1A及圖1B所示的虛擬選擇閘極106a。抹除閘極110a填滿記憶胞140與記憶胞142之間的開口。在抹除閘極110a與浮置閘極108之間設置有由抹除閘介電層116a與間隙壁128形成的絕緣層。 In another embodiment, as shown in FIG. 1C, the dummy selection gate 106a shown in FIGS. 1A and 1B is removed. The erase gate 110a fills the opening between the memory cell 140 and the memory cell 142. An insulating layer formed by the erase gate dielectric layer 116a and the spacer 128 is provided between the erase gate 110a and the floating gate 108.

在另一實施例中,如圖1D與圖1E所示,記憶胞140與記憶胞142共用抹除閘極110。但記憶胞140與記憶胞142分別具有控制閘極112a與控制閘極112b,亦即,在X方向上,相鄰的記憶胞MC未共用控制閘極。在抹除閘極110上更包括頂蓋層130。頂蓋層130的材質例如是氧化矽或氮化矽。 In another embodiment, as shown in FIG. 1D and FIG. 1E, the memory cell 140 and the memory cell 142 share the erasing gate 110. However, the memory cell 140 and the memory cell 142 respectively have a control gate 112a and a control gate 112b, that is, in the X direction, adjacent memory cells MC do not share a control gate. The erasing gate 110 further includes a cap layer 130. The material of the cap layer 130 is, for example, silicon oxide or silicon nitride.

在另一實施例中,如圖1F所示,記憶胞140與記憶胞 142共用抹除閘極110。但記憶胞140與記憶胞142分別具有控制閘極112a與控制閘極112b,亦即,在X方向上,相鄰的記憶胞MC未共用控制閘極。在抹除閘極110上更包括頂蓋層130。頂蓋層130的材質例如是氧化矽或氮化矽。而且,移除了圖1A及圖1B所示的虛擬選擇閘極106a。抹除閘極110a填滿記憶胞140與記憶胞142之間的開口。在抹除閘極110a與浮置閘極108之間設置有由抹除閘介電層116a與間隙壁128形成的絕緣層。 In another embodiment, as shown in FIG. 1F, the memory cell 140 and the memory cell 142 shares erase gate 110. However, the memory cell 140 and the memory cell 142 respectively have a control gate 112a and a control gate 112b, that is, in the X direction, adjacent memory cells MC do not share a control gate. The erasing gate 110 further includes a cap layer 130. The material of the cap layer 130 is, for example, silicon oxide or silicon nitride. Moreover, the dummy selection gate 106a shown in FIGS. 1A and 1B is removed. The erase gate 110a fills the opening between the memory cell 140 and the memory cell 142. An insulating layer formed by the erase gate dielectric layer 116a and the spacer 128 is provided between the erase gate 110a and the floating gate 108.

在上述的非揮發性記憶體中,選擇閘介電層118的厚度較薄,在操作記憶胞時,可以使用較小的電壓打開/關閉選擇閘極106下方的通道區,亦即可以降低操作電壓。浮置閘極108具有凹口,增加了控制閘極112與浮置閘極108之間所夾的面積,而提高了記憶體元件的的耦合率。由於浮置閘極108具有轉角部126。抹除閘極110(110a)包覆轉角部126,且此轉角部126的角度小於或等於90度,藉由轉角部126使電場集中,可降低抹除電壓有效率的將電子從浮置閘極108拉出,提高抹除資料的速度。 In the above-mentioned non-volatile memory, the thickness of the select gate dielectric layer 118 is relatively thin. When the memory cell is operated, a smaller voltage can be used to open/close the channel area under the select gate 106, that is, the operation can be reduced. Voltage. The floating gate 108 has a notch, which increases the area sandwiched between the control gate 112 and the floating gate 108 and improves the coupling rate of the memory device. Because the floating gate 108 has a corner portion 126. The erasing gate 110 (110a) covers the corner portion 126, and the angle of the corner portion 126 is less than or equal to 90 degrees. The corner portion 126 concentrates the electric field, which can reduce the erasing voltage and effectively remove electrons from the floating gate. The pole 108 is pulled out to increase the speed of erasing data.

圖2A到圖2H為依照本發明之一實施例所繪示的一種非揮發性記憶體的製作流程的剖面示意圖。 2A to 2H are schematic cross-sectional views illustrating a manufacturing process of a non-volatile memory according to an embodiment of the invention.

請參照圖2A,首先提供基底200。基底200中已形成有源極區202。源極區202的形成方法例如進行離子植入製程。植入的摻質可以是N型或P型摻質,其端視元件的設計而定。 Referring to FIG. 2A, a substrate 200 is provided first. The active region 202 has been formed in the substrate 200. The method for forming the source region 202 is, for example, an ion implantation process. The implanted dopants can be N-type or P-type dopants, depending on the design of the device.

接著,於基底200上依序形成介電層204、導體層206及犧牲層207。介電層204的材質例如是氧化矽,其形成方法例如是熱氧化法。導體層206的材質例如是摻雜多晶矽或多晶矽化金屬等。當導體層206的材質為摻雜多晶矽時,其形成方法例如是利用化學氣相沈積法形成一層未摻雜多晶矽層後,進行離子植入步驟以形成;或者也可採用臨場(in-situ)植入摻質的方法,利用化學氣相沈積法形成。犧牲層207的材質包括與介電層204的材質具有不同蝕刻選擇性者,例如是氮化矽,其形成方法例如是化學氣相沈積法。 Then, a dielectric layer 204, a conductive layer 206, and a sacrificial layer 207 are sequentially formed on the substrate 200. The material of the dielectric layer 204 is, for example, silicon oxide, and the forming method thereof is, for example, a thermal oxidation method. The material of the conductive layer 206 is, for example, doped polysilicon or polysilicon metal. When the material of the conductor layer 206 is doped polysilicon, the formation method is, for example, a chemical vapor deposition method is used to form an undoped polysilicon layer, followed by an ion implantation step to form it; or in-situ can also be used. The method of implanting dopants is formed by chemical vapor deposition. The material of the sacrificial layer 207 includes a material having a different etching selectivity from the material of the dielectric layer 204, such as silicon nitride, and its formation method is, for example, a chemical vapor deposition method.

接著,圖案化犧牲層207、導體層206以及介電層204,以形成至少堆疊結構208a及堆疊結構208b。堆疊結構208b位於源極區202上。堆疊結構208a及堆疊結構208b的形成方法例如是先於基底200上形成一層圖案化光阻層(未繪示),圖案化光阻層的形成方法例如是先於整個基底200上形成一層光阻材料層,然後進行曝光、顯影而形成之。然後,以圖案化光阻層為罩幕,移除犧牲層207、導體層206以及介電層204,以形成至少堆疊結構208a及堆疊結構208b。接著,移除圖案化光阻層。移除圖案化光阻層的方法例如是濕式去光阻法或乾式去光阻法。其中,介電層204作為選擇閘介電層。導體層206作為選擇閘極。 Next, the sacrificial layer 207, the conductive layer 206, and the dielectric layer 204 are patterned to form at least the stacked structure 208a and the stacked structure 208b. The stack structure 208b is located on the source region 202. The method for forming the stacked structure 208a and the stacked structure 208b is, for example, to form a patterned photoresist layer (not shown) on the substrate 200 first, and the method for forming the patterned photoresist layer is, for example, to form a layer of photoresist on the entire substrate 200. The material layer is then exposed and developed to form it. Then, using the patterned photoresist layer as a mask, the sacrificial layer 207, the conductive layer 206, and the dielectric layer 204 are removed to form at least the stacked structure 208a and the stacked structure 208b. Then, the patterned photoresist layer is removed. The method of removing the patterned photoresist layer is, for example, a wet photoresist method or a dry photoresist method. Among them, the dielectric layer 204 serves as a selective gate dielectric layer. The conductor layer 206 serves as a selective gate.

請參照圖2B,於此堆疊結構208a及堆疊結構208b的側壁形成絕緣層210。絕緣層210的材質例如是氧化矽/氮化矽 /氧化矽或氮化矽/氧化矽或氧化矽。絕緣層210的形成方法例如是先於基底200上依序形成覆蓋各堆疊結構208a及堆疊結構208b的介電層,然後移除部分介電層而於堆疊結構208a及堆疊結構208b的側壁形成絕緣層210。介電層的形成方法例如是化學氣相沈積法。移除部分介電層的方法例如是非等向性蝕刻法。 2B, an insulating layer 210 is formed on the sidewalls of the stacked structure 208a and the stacked structure 208b. The material of the insulating layer 210 is, for example, silicon oxide/silicon nitride /Silicon oxide or silicon nitride/Silicon oxide or silicon oxide. The insulating layer 210 is formed by, for example, first forming a dielectric layer covering each of the stacked structures 208a and 208b in sequence on the substrate 200, and then removing part of the dielectric layer to form insulation on the sidewalls of the stacked structures 208a and 208b.层210. The method for forming the dielectric layer is, for example, a chemical vapor deposition method. The method of removing part of the dielectric layer is, for example, an anisotropic etching method.

接著,於堆疊結構208a及堆疊結構208b之間的基底200上形成穿隧介電層212。穿隧介電層212的材質例如是氧化矽,其形成方法例如是熱氧化法。 Next, a tunneling dielectric layer 212 is formed on the substrate 200 between the stacked structure 208a and the stacked structure 208b. The material of the tunneling dielectric layer 212 is, for example, silicon oxide, and the formation method thereof is, for example, a thermal oxidation method.

然後,於基底200上形成一層導體層214。導體層214的材質例如是摻雜多晶矽等。當導體層的材質為摻雜多晶矽時,其形成方法例如是利用化學氣相沈積法形成一層未摻雜多晶矽層後,進行離子植入步驟以形成;或者也可採用臨場(in-situ)植入摻質的方法,利用化學氣相沈積法形成。然後,移除部份導體層。移除部份導體層的方法例如是非等向性蝕刻法或回蝕法。 Then, a conductive layer 214 is formed on the substrate 200. The material of the conductive layer 214 is, for example, doped polysilicon. When the material of the conductor layer is doped polysilicon, its formation method is, for example, using chemical vapor deposition to form an undoped polysilicon layer and then performing an ion implantation step to form it; or in-situ implantation can also be used. The method of doping is formed by chemical vapor deposition. Then, remove part of the conductor layer. The method of removing part of the conductor layer is, for example, an anisotropic etching method or an etch-back method.

請參照圖2C,移除部份導體層214,於堆疊結構208a及堆疊結構208b之間形成導體間隙壁。移除部份導體層的方法例如是非等向性蝕刻法或回蝕法。導體間隙壁的與堆疊結構208a(堆疊結構208b)相鄰部分的高度高於堆疊結構208a(堆疊結構208b)中導體層206的高度。 2C, a part of the conductive layer 214 is removed, and a conductive spacer is formed between the stacked structure 208a and the stacked structure 208b. The method of removing part of the conductor layer is, for example, an anisotropic etching method or an etch-back method. The height of the portion of the conductor spacer adjacent to the stack structure 208a (stack structure 208b) is higher than the height of the conductor layer 206 in the stack structure 208a (stack structure 208b).

接著,圖案化導體間隙壁,而形成浮置閘極216。圖案化導體間隙壁的方法如下。於基底200上形成一層圖案化光阻層 (未繪示)。圖案化光阻層的形成方法例如是先於整個基底200上形成一層光阻材料層,然後進行曝光、顯影而形成之。以圖案化光阻層為罩幕,移除部分導體間隙壁使其成塊狀,而留下堆疊結構208a及堆疊結構208b之間的導體間隙壁。堆疊結構208a及堆疊結構208b之間的成塊狀的導體間隙壁即作為浮置閘極216。浮置閘極216具有凹口且鄰近堆疊結構208a及堆疊結構208b的頂部具有轉角部218。 Next, the conductor spacer is patterned to form a floating gate 216. The method of patterning the conductor spacer is as follows. A patterned photoresist layer is formed on the substrate 200 (Not shown). The method for forming the patterned photoresist layer is, for example, forming a layer of photoresist material on the entire substrate 200, and then performing exposure and development to form it. Taking the patterned photoresist layer as a mask, a part of the conductor spacer is removed to make it into a block shape, leaving the conductor spacer between the stacked structure 208a and the stacked structure 208b. The bulk conductor spacer between the stack structure 208a and the stack structure 208b serves as the floating gate 216. The floating gate 216 has a notch and has a corner portion 218 adjacent to the top of the stack structure 208a and the stack structure 208b.

然後,移除部分絕緣層210而以至少暴露出浮置閘極216的轉角部218。移除部分的絕緣層210的方法例如是濕式蝕刻法或乾式蝕刻法。 Then, a part of the insulating layer 210 is removed to expose at least the corner portion 218 of the floating gate 216. The method of removing part of the insulating layer 210 is, for example, a wet etching method or a dry etching method.

請參照圖2D,於浮置閘極216上形成介電層220。介電層220的材質例如是氧化矽。介電層220的形成方法例如是熱氧化法。然後,移除犧牲層207,以使浮置閘極216的轉角部218突出於導體層206的頂面。移除犧牲層207的方法例如是濕式蝕刻法或乾式蝕刻法。 2D, a dielectric layer 220 is formed on the floating gate 216. The material of the dielectric layer 220 is silicon oxide, for example. The method of forming the dielectric layer 220 is, for example, a thermal oxidation method. Then, the sacrificial layer 207 is removed, so that the corner portion 218 of the floating gate 216 protrudes from the top surface of the conductive layer 206. The method of removing the sacrificial layer 207 is, for example, a wet etching method or a dry etching method.

請參照圖2E,移除介電層220後,於基底200上形成介電層222。移除介電層220的方法例如是濕式蝕刻法或乾式蝕刻法。介電層222的材質例如是氧化矽。然後,於基底200上依序形成導體層224及頂蓋層226。導體層224的材質例如是摻雜多晶矽或多晶矽化金屬等。當導體層224的材質為摻雜多晶矽時,其形成方法例如是利用化學氣相沈積法形成一層未摻雜多晶 矽層後,進行離子植入步驟以形成;或者也可採用臨場(in-situ)植入摻質的方法,利用化學氣相沈積法形成。頂蓋層226的材質包括與介電層222的材質具有不同蝕刻選擇性者,例如是氮化矽,其形成方法例如是化學氣相沈積法。 2E, after the dielectric layer 220 is removed, a dielectric layer 222 is formed on the substrate 200. The method of removing the dielectric layer 220 is, for example, a wet etching method or a dry etching method. The material of the dielectric layer 222 is silicon oxide, for example. Then, a conductive layer 224 and a cap layer 226 are sequentially formed on the substrate 200. The material of the conductive layer 224 is, for example, doped polysilicon or polysilicon metal. When the material of the conductor layer 224 is doped polysilicon, its formation method is, for example, using chemical vapor deposition to form a layer of undoped polysilicon. After the silicon layer, an ion implantation step is performed to form it; or an in-situ method of implanting dopants can also be used to form it by chemical vapor deposition. The material of the cap layer 226 includes a material having a different etching selectivity from the material of the dielectric layer 222, such as silicon nitride, and the formation method thereof is, for example, a chemical vapor deposition method.

請參照圖2F,圖案化頂蓋層226與導體層224,以形成頂蓋層226與抹除閘極224a。抹除閘極224a位於源極區202上。圖案化頂蓋層226與導體層224的方法例如是先於基底200上形成一層圖案化光阻層(未繪示),圖案化光阻層的形成方法例如是先於整個基底200上形成一層光阻材料層,然後進行曝光、顯影而形成之。然後,以圖案化光阻層為罩幕,移除頂蓋層226與導體層224,以形成至少頂蓋層226與抹除閘極224a。接著,移除圖案化光阻層。移除圖案化光阻層的方法例如是濕式去光阻法或乾式去光阻法。在此步驟,未被抹除閘極224a覆蓋的介電層222亦可一併被移除。抹除閘極224a與浮置閘極216之間的介電層222作為抹除閘介電層。 Referring to FIG. 2F, the cap layer 226 and the conductive layer 224 are patterned to form the cap layer 226 and the erase gate 224a. The erase gate 224a is located on the source region 202. The method of patterning the cap layer 226 and the conductor layer 224 is, for example, to form a patterned photoresist layer (not shown) on the substrate 200 first, and the method for forming the patterned photoresist layer is, for example, to form a layer on the entire substrate 200. The photoresist material layer is then exposed and developed to form it. Then, using the patterned photoresist layer as a mask, the cap layer 226 and the conductor layer 224 are removed to form at least the cap layer 226 and the erase gate 224a. Then, the patterned photoresist layer is removed. The method of removing the patterned photoresist layer is, for example, a wet photoresist method or a dry photoresist method. In this step, the dielectric layer 222 that is not covered by the erase gate 224a can also be removed. The dielectric layer 222 between the erase gate 224a and the floating gate 216 serves as the erase gate dielectric layer.

然後,於基底200上形成閘間介電層228,此閘間介電層228至少覆蓋浮置閘極216以及抹除閘極224a。閘間介電層228的材質包括氧化矽/氮化矽/氧化矽。閘間介電層228的形成方法例如是利用化學氣相沉積法依序形成氧化矽層、氮化矽層與另一層氧化矽層。閘間介電層228的材質也可以是氮化矽/氧化矽或其他高介電常數的材質(k>4)。 Then, an inter-gate dielectric layer 228 is formed on the substrate 200. The inter-gate dielectric layer 228 at least covers the floating gate 216 and erases the gate 224a. The material of the inter-gate dielectric layer 228 includes silicon oxide/silicon nitride/silicon oxide. The method for forming the inter-gate dielectric layer 228 is, for example, using a chemical vapor deposition method to sequentially form a silicon oxide layer, a silicon nitride layer, and another silicon oxide layer. The material of the inter-gate dielectric layer 228 can also be silicon nitride/silicon oxide or other high dielectric constant materials (k>4).

於基底200上形成導體層230。導體層230的材質例如是摻雜多晶矽或多晶矽化金屬等。當導體層230的材質為摻雜多晶矽時,其形成方法例如是利用化學氣相沈積法形成一層未摻雜多晶矽層後,進行離子植入步驟以形成;或者也可採用臨場(in-situ)植入摻質的方法,利用化學氣相沈積法形成。 A conductive layer 230 is formed on the substrate 200. The material of the conductive layer 230 is, for example, doped polysilicon or polysilicon metal. When the material of the conductor layer 230 is doped polysilicon, the formation method is, for example, a chemical vapor deposition method is used to form an undoped polysilicon layer and then an ion implantation step is performed to form it; or in-situ can also be used. The method of implanting dopants is formed by chemical vapor deposition.

請參照圖2G,對導體層230進行平坦化製程,例如以進行化學機械研磨製移除部分導體層230直到暴露出閘間介電層228或頂蓋層226。然後圖案化導體層230而形成控制閘極230a。亦即,於抹除閘極224a的一側、且於浮置閘極216的上方形成控制閘極230a。 2G, a planarization process is performed on the conductive layer 230, for example, chemical mechanical polishing is performed to remove a part of the conductive layer 230 until the inter-gate dielectric layer 228 or the cap layer 226 is exposed. Then the conductive layer 230 is patterned to form the control gate 230a. That is, the control gate 230a is formed on the side of the erase gate 224a and above the floating gate 216.

接著,於選擇閘極(導體層206)的與浮置閘極216相對一側的基底200中形成汲極區232。汲極區232的形成方法例如是進行離子植入製程。植入的摻質可以是N型或P型摻質,其端視元件的設計而定。源極區202以及汲極區232的摻雜摻質以及摻雜濃度可相同亦可不同。 Next, a drain region 232 is formed in the substrate 200 on the side of the selection gate (conductor layer 206) opposite to the floating gate 216. The formation method of the drain region 232 is, for example, an ion implantation process. The implanted dopants can be N-type or P-type dopants, depending on the design of the device. The dopants and dopant concentrations of the source region 202 and the drain region 232 may be the same or different.

然後,於控制閘極230a以及選擇閘極(導體層206)的側壁形成間隙壁234。間隙壁234的材質例如是氮化矽。間隙壁234的形成方法例如是於基底200上形成一層絕緣層,利用非等向性蝕刻法或回蝕法移除部份絕緣層。在形成間隙壁234時,一併移除了未被間隙壁234覆蓋的閘間介電層228,而暴露出部分選擇閘極(導體層206)以汲極區232。接著,進行自行對準金屬矽 化物(Salicide)製程,而於控制閘極230a、選擇閘極(導體層206)以汲極區232上形成金屬矽化物層236。 Then, a spacer 234 is formed on the sidewalls of the control gate 230a and the selection gate (conductor layer 206). The material of the spacer 234 is silicon nitride, for example. The formation method of the spacer 234 is, for example, forming an insulating layer on the substrate 200, and removing part of the insulating layer by an anisotropic etching method or an etch back method. When the spacer 234 is formed, the inter-gate dielectric layer 228 that is not covered by the spacer 234 is also removed, and a part of the selective gate (conductor layer 206) and the drain region 232 are exposed. Next, proceed to self-align the metal silicon In a Salicide process, a metal silicide layer 236 is formed on the control gate 230a, the selection gate (conductor layer 206), and the drain region 232.

在另一實施例中,圖3接續於圖2F之後,直接圖案化導體層230形成覆蓋抹除閘極224a的控制閘極230b。然後,再形成汲極區232、間隙壁234以及金屬矽化物層236,以製作出圖1B所示的非揮發性記憶體。 In another embodiment, FIG. 3 is continued after FIG. 2F, and the conductive layer 230 is directly patterned to form a control gate 230b covering the erase gate 224a. Then, the drain region 232, the spacer 234, and the metal silicide layer 236 are formed to produce the non-volatile memory as shown in FIG. 1B.

在另一實施例中,為了製作出圖1C、圖1F所示的非揮發性記憶體,接續於圖2D之後,進行圖4A至圖4C的製程。 In another embodiment, in order to fabricate the non-volatile memory shown in FIG. 1C and FIG. 1F, following FIG. 2D, the manufacturing process of FIGS. 4A to 4C is performed.

請參照圖4A,移除堆疊結構208b的導體層206,並移除介電層220及堆疊結構208b的介電層204。在相鄰的浮置閘極之間形成了凹口。移除堆疊結構208b的導體層206的方法如下。於基底200上形成一層圖案化光阻層(未繪示),此圖案化光阻層至少暴露堆疊結構208b。圖案化光阻層的形成方法例如是先於整個基底200上形成一層光阻材料層,然後進行曝光、顯影而形成之。以圖案化光阻層為罩幕,移除堆疊結構208b的導體層206。之後,移除圖案化光阻層。移除介電層220及堆疊結構208b的介電層204的方法例如是濕式蝕刻法。 4A, the conductor layer 206 of the stack structure 208b is removed, and the dielectric layer 220 and the dielectric layer 204 of the stack structure 208b are removed. A notch is formed between adjacent floating gates. The method of removing the conductor layer 206 of the stack structure 208b is as follows. A patterned photoresist layer (not shown) is formed on the substrate 200, and the patterned photoresist layer at least exposes the stacked structure 208b. The method for forming the patterned photoresist layer is, for example, forming a layer of photoresist material on the entire substrate 200, and then performing exposure and development to form it. Using the patterned photoresist layer as a mask, the conductor layer 206 of the stacked structure 208b is removed. After that, the patterned photoresist layer is removed. The method of removing the dielectric layer 220 and the dielectric layer 204 of the stacked structure 208b is, for example, a wet etching method.

請參照圖4B,於基底200上形成介電層222。介電層222的材質例如是氧化矽。然後,於浮置閘極216的側壁形成間隙壁238。間隙壁238的材質例如是氧化矽。間隙壁238的形成方法例如是於基底200上形成一層絕緣層,利用非等向性蝕刻法 或回蝕法移除部份絕緣層。 Referring to FIG. 4B, a dielectric layer 222 is formed on the substrate 200. The material of the dielectric layer 222 is silicon oxide, for example. Then, a spacer 238 is formed on the side wall of the floating gate 216. The material of the spacer 238 is silicon oxide, for example. The method of forming the spacer 238 is, for example, forming an insulating layer on the substrate 200, using an anisotropic etching method Or etch back method to remove part of the insulating layer.

請參照圖4C,於基底200上依序形成導體層224及頂蓋層226。導體層224填滿了凹口。導體層224的材質例如是摻雜多晶矽或多晶矽化金屬等。當導體層224的材質為摻雜多晶矽時,其形成方法例如是利用化學氣相沈積法形成一層未摻雜多晶矽層後,進行離子植入步驟以形成;或者也可採用臨場(in-situ)植入摻質的方法,利用化學氣相沈積法形成。頂蓋層226的材質包括與介電層222的材質具有不同蝕刻選擇性者,例如是氮化矽,其形成方法例如是化學氣相沈積法。 Referring to FIG. 4C, a conductive layer 224 and a cap layer 226 are sequentially formed on the substrate 200. The conductor layer 224 fills the notch. The material of the conductive layer 224 is, for example, doped polysilicon or polysilicon metal. When the material of the conductor layer 224 is doped polysilicon, the formation method thereof is, for example, a chemical vapor deposition method is used to form an undoped polysilicon layer, followed by an ion implantation step to form it; or in-situ can also be used. The method of implanting dopants is formed by chemical vapor deposition. The material of the cap layer 226 includes a material having a different etching selectivity from the material of the dielectric layer 222, such as silicon nitride, and the formation method thereof is, for example, a chemical vapor deposition method.

後續的製程可依照上述對於圖2F至圖2G的描述,形成填滿了凹口的抹除閘極之後,依序形成閘間介電層228、控制閘極230a、汲極區232、間隙壁234及金屬矽化物層236,以製作出圖1F所示的非揮發性記憶體。 The subsequent manufacturing process can follow the above description of FIG. 2F to FIG. 2G, after forming the erasing gate filled with the notch, the inter-gate dielectric layer 228, the control gate 230a, the drain region 232, and the spacer are sequentially formed. 234 and the metal silicide layer 236 to produce the non-volatile memory shown in FIG. 1F.

在另一實施例中,後續的製程可依照上述對於圖2F、圖3的描述,依序形成閘間介電層228、控制閘極230b、汲極區232、間隙壁234及金屬矽化物層236,以製作出圖1C所示的非揮發性記憶體。 In another embodiment, the subsequent process may follow the above description of FIG. 2F and FIG. 3 to sequentially form the inter-gate dielectric layer 228, the control gate 230b, the drain region 232, the spacer 234, and the metal silicide layer. 236 to manufacture the non-volatile memory shown in FIG. 1C.

在上述的非揮發性記憶體的製造方法中,所形成的控制閘極包覆浮置閘極側面與上面,能夠增加控制閘極與浮置閘極之間所夾的面積,而提高了記憶體元件的耦合率。雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領 域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。 In the above-mentioned non-volatile memory manufacturing method, the formed control gate covers the side and upper surface of the floating gate, which can increase the area between the control gate and the floating gate, thereby improving the memory The coupling rate of the body component. Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention, and any related technical field Those with ordinary knowledge in the domain can make some changes and modifications without departing from the spirit and scope of the present invention. Therefore, the protection scope of the present invention shall be subject to those defined by the attached patent scope.

100:基底 100: base

102:源極區 102: source region

104:汲極區 104: Drain region

106:選擇閘極 106: select gate

108:浮置閘極 108: floating gate

110:抹除閘極 110: Erase the gate

112:控制閘極 112: control gate

114:穿隧介電層 114: Tunneling Dielectric Layer

116:抹除閘介電層 116: Erase the gate dielectric layer

118:選擇閘介電層 118: Select gate dielectric layer

120:絕緣層 120: insulating layer

122:閘間介電層 122: Dielectric layer between gates

124:金屬矽化物層 124: metal silicide layer

126:轉角部 126: Corner

128:間隙壁 128: Clearance Wall

130:頂蓋層 130: top cover

140、142:記憶胞 140, 142: memory cell

Claims (20)

一種非揮發性記憶體,包括: 第一記憶胞,設置於基底上,所述第一記憶胞,包括:     源極區與汲極區,分別設置所述基底中;     選擇閘極,設置於所述源極區與所述汲極區之間的所述基底上;     虛擬選擇閘極,設置於所述源極區的所述基底上;     浮置閘極,設置於所述選擇閘極與所述虛擬選擇閘極之間的所述基底上,所述浮置閘極的高度高於所述選擇閘極與所述虛擬選擇閘極的高度,且所述浮置閘極的頂部具有二對稱之轉角部;     抹除閘極,設置於所述虛擬選擇閘極上,且所述抹除閘極包覆所述浮置閘極之其中一個所述轉角部;     控制閘極,設置於所述抹除閘極與所述浮置閘極上;     穿隧介電層,設置於所述浮置閘極與所述基底之間;     抹除閘介電層,設置於所述抹除閘極與所述浮置閘極之間;     選擇閘介電層,設置於所述選擇閘極與所述基底之間;     絕緣層,設置於所述選擇閘極與所述浮置閘極之間;以及     閘間介電層,設置於所述控制閘極與所述浮置閘極之間以及所述控制閘極與所述抹除閘極之間。A non-volatile memory includes: a first memory cell, which is arranged on a substrate, and the first memory cell includes: a source region and a drain region, which are respectively arranged in the substrate; and a gate is selected and arranged on the substrate. On the substrate between the source region and the drain region; A virtual selection gate is arranged on the substrate of the source region; A floating gate is arranged on the selection gate and the substrate On the substrate between the virtual selection gates, the height of the floating gate is higher than the heights of the selection gate and the virtual selection gate, and the top of the floating gate has two symmetry The corner portion of the wiper; the wiper gate is arranged on the virtual selection gate, and the wiper gate covers one of the corners of the floating gate; the control gate is arranged on the wiper In addition to the gate and the floating gate; the tunneling dielectric layer is disposed between the floating gate and the substrate; the erasing gate dielectric layer is disposed on the erasing gate and the substrate Between the floating gates; The selective gate dielectric layer is arranged between the selective gate and the substrate; The insulating layer is arranged between the selective gate and the floating gate; and Between the gates The dielectric layer is arranged between the control gate and the floating gate and between the control gate and the erasing gate. 如申請專利範圍第1項所述的非揮發性記憶體,其中所述抹除閘極取代所述源極區上方的所述虛擬選擇閘極之全部,且所述抹除閘極包覆所述轉角部。The non-volatile memory as described in claim 1, wherein the erase gate replaces all of the virtual select gate above the source region, and the erase gate covers all述角部。 Said corner. 如申請專利範圍第1項所述的非揮發性記憶體,更包括: 第二記憶胞,設置於所述基底上,所述第二記憶胞的結構與所述第一記憶胞的結構相同,且所述第二記憶胞與所述第一記憶胞成鏡像配置,共用所述源極區或所述汲極區。The non-volatile memory described in item 1 of the scope of patent application further includes: a second memory cell disposed on the substrate, the structure of the second memory cell is the same as that of the first memory cell, And the second memory cell and the first memory cell are in a mirror configuration, sharing the source region or the drain region. 如申請專利範圍第2項所述的非揮發性記憶體,其中所述第一記憶胞與所述第二記憶胞共用所述抹除閘極,且所述抹除閘極填滿所述第一記憶胞與所述第二記憶胞之間的開口。The non-volatile memory according to claim 2, wherein the first memory cell and the second memory cell share the erase gate, and the erase gate fills the first memory cell An opening between a memory cell and the second memory cell. 如申請專利範圍第3項所述的非揮發性記憶體,其中所述第一記憶胞與所述第二記憶胞共用所述控制閘極,且所述控制閘極覆蓋所述抹除閘極。The non-volatile memory according to claim 3, wherein the first memory cell and the second memory cell share the control gate, and the control gate covers the erase gate . 如申請專利範圍第1項所述的非揮發性記憶體,其中所述控制閘極的材質包括多晶矽及金屬矽化物。In the non-volatile memory described in the first item of the patent application, the material of the control gate includes polysilicon and metal silicide. 如申請專利範圍第1項所述的非揮發性記憶體,其中所述選擇閘極的材質包括多晶矽及金屬矽化物。In the non-volatile memory described in the first item of the scope of patent application, the material of the select gate includes polysilicon and metal silicide. 如申請專利範圍第1項所述的非揮發性記憶體,其中所述抹除閘極上更包括頂蓋層。The non-volatile memory according to the first item of the scope of patent application, wherein the erase gate further includes a cap layer. 如申請專利範圍第1項所述的非揮發性記憶體,其中所述浮置閘極具有凹口。The non-volatile memory according to the first item of the scope of patent application, wherein the floating gate has a notch. 如申請專利範圍第1項所述的非揮發性記憶體,其中所述轉角部角度小於或等於90度。The non-volatile memory according to the first item of the scope of patent application, wherein the angle of the corner portion is less than or equal to 90 degrees. 如申請專利範圍第1項所述的非揮發性記憶體,其中所述選擇閘介電層的厚度小於或等於所述穿隧介電層的厚度。The non-volatile memory as described in claim 1, wherein the thickness of the selective gate dielectric layer is less than or equal to the thickness of the tunneling dielectric layer. 一種非揮發性記憶體的製造方法,包括: 提供基底,該基底中已形成有源極區; 於所述基底上形成第一堆疊結構與第二堆疊結構,所述第一堆疊結構與所述第二堆疊結構由所述基底起依序包括選擇閘介電層、選擇閘極及犧牲層,其中所述第二堆疊結構位於所述源極區上; 於所述第一堆疊結構與所述第二堆疊結構之間的所述基底上形成穿隧介電層; 於所述第一堆疊結構與所述第二堆疊結構之間的所述基底上形成浮置閘極,其中所述浮置閘極的頂部具有轉角部; 移除所述犧牲層,至少暴露出所述浮置閘極的轉角部; 至少於所述浮置閘極的所述轉角部上形成抹除閘介電層; 於所述基底上形成抹除閘極,其中所述抹除閘極包覆靠近所述源極區側的所述浮置閘極的所述轉角部; 於所述浮置閘極及所述抹除閘極上形成閘間介電層;以及 於所述浮置閘極上形成控制閘極。A method of manufacturing a non-volatile memory includes: providing a substrate in which a source region has been formed; forming a first stack structure and a second stack structure on the substrate, the first stack structure and the The second stacked structure includes a select gate dielectric layer, a select gate, and a sacrificial layer in order from the substrate, wherein the second stacked structure is located on the source region; between the first stacked structure and the A tunneling dielectric layer is formed on the substrate between the second stack structure; a floating gate is formed on the substrate between the first stack structure and the second stack structure, wherein the floating gate The top of the gate has a corner part; removing the sacrificial layer to expose at least the corner part of the floating gate; at least forming an erase gate dielectric layer on the corner part of the floating gate; Forming an erasing gate on the substrate, wherein the erasing gate covers the corner portion of the floating gate close to the source region side; on the floating gate and the Forming an inter-gate dielectric layer on the wiper; and forming a control gate on the floating gate. 如申請專利範圍第12項所述的非揮發性記憶體的製造方法,其中於所述第一堆疊結構與所述第二堆疊結構之間的所述基底上形成浮置閘極的步驟包括: 於所述第一堆疊結構與所述第二堆疊結構之間形成導體間隙壁;以及 圖案化所述導體間隙壁,以形成所述浮置閘極。The method for manufacturing a non-volatile memory as described in claim 12, wherein the step of forming a floating gate on the substrate between the first stack structure and the second stack structure includes: Forming a conductor spacer between the first stack structure and the second stack structure; and patterning the conductor spacer to form the floating gate. 如申請專利範圍第12項所述的非揮發性記憶體的製造方法,更包括: 於所述第一堆疊結構的與所述浮置閘極相鄰的相反側的所述基底中形成汲極區。The manufacturing method of the non-volatile memory as described in claim 12, further includes: forming a drain in the substrate on the opposite side of the first stack structure adjacent to the floating gate Area. 如申請專利範圍第12項所述的非揮發性記憶體的製造方法,更包括: 於所述選擇閘極、所述控制閘極與所述汲極區形成金屬矽化物層。The manufacturing method of the non-volatile memory as described in item 12 of the scope of patent application further includes: forming a metal silicide layer on the select gate, the control gate and the drain region. 如申請專利範圍第12項所述的非揮發性記憶體的製造方法,其中移除所述犧牲層之步驟後,更包括移除所述第二堆疊結構。According to the manufacturing method of non-volatile memory described in claim 12, after the step of removing the sacrificial layer, it further includes removing the second stacked structure. 如申請專利範圍第16項所述的非揮發性記憶體的製造方法,其中移除所述第二堆疊結構後,更包括於所述浮置閘極的側壁形成所述抹除閘介電層與間隙壁。The method for manufacturing a non-volatile memory according to claim 16, wherein after removing the second stack structure, it further includes forming the erase gate dielectric layer on the sidewall of the floating gate With the clearance wall. 如申請專利範圍第12項所述的非揮發性記憶體的製造方法,其中於所述浮置閘極上形成所述控制閘極的步驟包括: 於所述基底上形成導體材料層;以及 圖案化所述導體材料層,以形成覆蓋所述浮置閘極與所述抹除閘極的所述控制閘極。The method for manufacturing a non-volatile memory according to claim 12, wherein the step of forming the control gate on the floating gate includes: forming a conductive material layer on the substrate; and patterning The conductive material layer forms the control gate covering the floating gate and the erase gate. 如申請專利範圍第12項所述的非揮發性記憶體的製造方法,其中於所述浮置閘極上形成所述控制閘極的步驟包括: 於所述基底上形成導體材料層; 進行平坦化製程,以移除部分所述導體材料層;以及 圖案化所述導體材料層,以於所述抹除閘極的一側、且於所述浮置閘極的上方形成所述控制閘極。The method for manufacturing a non-volatile memory as described in claim 12, wherein the step of forming the control gate on the floating gate includes: forming a conductive material layer on the substrate; and performing planarization A process of removing part of the conductive material layer; and patterning the conductive material layer to form the control gate on one side of the erase gate and above the floating gate. 如申請專利範圍第19項所述的非揮發性記憶體的製造方法,其中於所述抹除閘極更形成有頂蓋層,所述平坦化製程是移除部分所述導體材料層直到暴露出所述頂蓋層。According to the manufacturing method of non-volatile memory described in claim 19, wherein a cap layer is further formed on the erase gate, and the planarization process is to remove part of the conductive material layer until exposed Out of the top cover layer.
TW107139890A 2018-11-09 2018-11-09 Non-volatile memory and manufacturing method thereof TWI700819B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW107139890A TWI700819B (en) 2018-11-09 2018-11-09 Non-volatile memory and manufacturing method thereof
CN201910808137.2A CN111180447B (en) 2018-11-09 2019-08-29 Nonvolatile memory and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW107139890A TWI700819B (en) 2018-11-09 2018-11-09 Non-volatile memory and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW202018917A TW202018917A (en) 2020-05-16
TWI700819B true TWI700819B (en) 2020-08-01

Family

ID=70653676

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107139890A TWI700819B (en) 2018-11-09 2018-11-09 Non-volatile memory and manufacturing method thereof

Country Status (2)

Country Link
CN (1) CN111180447B (en)
TW (1) TWI700819B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112185815A (en) 2019-07-04 2021-01-05 硅存储技术公司 Method of forming split gate flash memory cells with spacer defined floating gates and discretely formed polysilicon gates
CN114335186A (en) * 2020-09-30 2022-04-12 硅存储技术股份有限公司 Split-gate non-volatile memory cell with erase gate disposed over word line gate and method of making the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201513310A (en) * 2013-08-28 2015-04-01 Globalfoundries Us Inc Semiconductor structure including a split gate nonvolatile memory cell and a high voltage transistor, and method for the formation thereof
TW201543554A (en) * 2014-03-13 2015-11-16 Taiwan Semiconductor Mfg Co Ltd Memory cell and method for manufacturing the same and embedded flash memory cell
TWM513458U (en) * 2015-06-12 2015-12-01 Iotmemory Technology Inc Non-volatile memory
TW201640621A (en) * 2015-05-04 2016-11-16 北京芯盈速騰電子科技有限責任公司 Non-volatile memory cell and manufacture method of the same
TW201810625A (en) * 2016-08-10 2018-03-16 美商格羅方德半導體公司 Flash memory device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1282249C (en) * 2003-04-01 2006-10-25 力晶半导体股份有限公司 Fast storage unit, manufacturing method of fast storage unit and its operation method
US20040256657A1 (en) * 2003-06-20 2004-12-23 Chih-Wei Hung [flash memory cell structure and method of manufacturing and operating the memory cell]
TWI239077B (en) * 2003-10-23 2005-09-01 Powerchip Semiconductor Corp NAND flash memory cell row and method of forming the same
CN101022112A (en) * 2006-02-16 2007-08-22 力晶半导体股份有限公司 Non-volatile memory and producing method thereof
CN106328653B (en) * 2015-07-07 2023-04-18 物联记忆体科技股份有限公司 Nonvolatile memory and method of manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201513310A (en) * 2013-08-28 2015-04-01 Globalfoundries Us Inc Semiconductor structure including a split gate nonvolatile memory cell and a high voltage transistor, and method for the formation thereof
TW201543554A (en) * 2014-03-13 2015-11-16 Taiwan Semiconductor Mfg Co Ltd Memory cell and method for manufacturing the same and embedded flash memory cell
TW201640621A (en) * 2015-05-04 2016-11-16 北京芯盈速騰電子科技有限責任公司 Non-volatile memory cell and manufacture method of the same
TWM513458U (en) * 2015-06-12 2015-12-01 Iotmemory Technology Inc Non-volatile memory
TW201810625A (en) * 2016-08-10 2018-03-16 美商格羅方德半導體公司 Flash memory device

Also Published As

Publication number Publication date
CN111180447A (en) 2020-05-19
CN111180447B (en) 2023-04-18
TW202018917A (en) 2020-05-16

Similar Documents

Publication Publication Date Title
TWI694592B (en) Non-volatile memory and manufacturing method thereof
US9324725B2 (en) Semiconductor device and a manufacturing method thereof
US6686243B2 (en) Fabrication method for flash memory
US9859291B2 (en) Non-volatile memory and manufacturing method thereof
US9761596B2 (en) Non-volatile memory and manufacturing method thereof
CN106328653B (en) Nonvolatile memory and method of manufacturing the same
CN107464815A (en) Semiconductor devices and its manufacture method
TW201909385A (en) Method for manufacturing integrated circuit
US6306708B1 (en) Fabrication method for an electrically erasable programmable read only memory
JP2000150676A (en) Non-volatile semiconductor memory and its manufacture
TWI700819B (en) Non-volatile memory and manufacturing method thereof
JP2004104125A (en) Manufacturing method of nonvolatile memory device
TWI605572B (en) Non-volatile memory and manufacturing method thereof
US6998669B2 (en) Memory cells with nonuniform floating gate structures
US20060006453A1 (en) Nonvolatile semiconductor memory device and method of fabricating the same
US11257830B2 (en) Memory structure
KR100654359B1 (en) Method for fabricating nonvolatible memory device
JP4629982B2 (en) Nonvolatile memory element and manufacturing method thereof
US10504913B2 (en) Method for manufacturing embedded non-volatile memory
TWI845109B (en) Non-volatile memory device
TWI815380B (en) Method of manufacturing non-volatile memory device
TWI823398B (en) Non-volatile memory device
US20240162316A1 (en) Non-volatile memory device and method for manufacturing the same
US20240162315A1 (en) Non-volatile memory device
TW202420953A (en) Non-volatile memory device and method for manufacturing the same