TWI690059B - Semiconductor strucutre and method of fabricating the same - Google Patents

Semiconductor strucutre and method of fabricating the same Download PDF

Info

Publication number
TWI690059B
TWI690059B TW107137828A TW107137828A TWI690059B TW I690059 B TWI690059 B TW I690059B TW 107137828 A TW107137828 A TW 107137828A TW 107137828 A TW107137828 A TW 107137828A TW I690059 B TWI690059 B TW I690059B
Authority
TW
Taiwan
Prior art keywords
dummy
layer
pattern
dummy ring
dielectric
Prior art date
Application number
TW107137828A
Other languages
Chinese (zh)
Other versions
TW201919205A (en
Inventor
林孟漢
邱德馨
吳偉成
鄧立峯
張健宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/022,702 external-priority patent/US11211388B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201919205A publication Critical patent/TW201919205A/en
Application granted granted Critical
Publication of TWI690059B publication Critical patent/TWI690059B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/60Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/765Making of isolation regions between components by field effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A semiconductor structure including a semiconductor substrate and at least one patterned dielectric layer is provided. The semiconductor substrate includes a semiconductor portion, at least one first device, at least one second device and at least one first dummy ring. The at least one first device is disposed on a first region surrounded by the semiconductor portion. The at least one second device and the at least one first dummy ring are disposed on a second region, and the second region surrounds the first region. The at least one patterned dielectric layer covers the semiconductor substrate.

Description

半導體結構及其製造方法Semiconductor structure and its manufacturing method

本發明實施例是有關於一種半導體結構及其製造方法。 The embodiments of the present invention relate to a semiconductor structure and a manufacturing method thereof.

非揮發性記憶體(Non-Volatile Memory,NVM)單元陣列的製造已經整合於用於智慧卡(smart card)與汽車應用的先進的互補金屬氧化物半導體(CMOS)製程中。嵌入式NVM單元陣列的閘極高度通常高於周邊電路(periphery circuit)(例如邏輯元件)的閘極高度。在連續的化學機械研磨(chemical mechanical polishing,CMP)製程期間,嵌入式NVM單元陣列與邏輯元件之間的閘極高度差會導致凹陷問題(dishing issue)。 Non-Volatile Memory (NVM) cell array manufacturing has been integrated into advanced complementary metal oxide semiconductor (CMOS) manufacturing processes for smart card and automotive applications. The gate height of the embedded NVM cell array is usually higher than the gate height of peripheral circuits (such as logic elements). During the continuous chemical mechanical polishing (CMP) process, the gate height difference between the embedded NVM cell array and the logic device can cause a fishing issue.

根據本揭露的一些實施例,提供一種半導體結構,其包括半導體基底與至少一個圖案化的介電層。半導體基底包括半導體部分、至少一個第一元件、至少一個第二元件與至少一個第一虛設環。至少一個第一元件設置於被半導體部分環繞的第一區。至少一個第二元件與至少一個第一虛設環設置於第二區上,且第二區環繞第一區。至少一個圖案化的介電層覆蓋半導體基底。 According to some embodiments of the present disclosure, a semiconductor structure is provided, which includes a semiconductor substrate and at least one patterned dielectric layer. The semiconductor substrate includes a semiconductor portion, at least one first element, at least one second element, and at least one first dummy ring. At least one first element is disposed in the first region surrounded by the semiconductor portion. At least one second element and at least one first dummy ring are disposed on the second area, and the second area surrounds the first area. At least one patterned dielectric layer covers the semiconductor substrate.

根據本揭露的一些實施例,提供一種半導體結構,其包括半導體基底與至少一個圖案化的介電層。半導體基底包括主動區與環繞主動區的周邊區、設置於主動區上的至少一個第一元件、設置於周邊區上的至少一個第二元件以及設置於周邊區上的至少一個第一虛設環。至少一個第一元件與至少一個第二元件被主動區的半導體部分間隔開。至少一個圖案化的介電層設置於半導體基底上。至少一個第一元件、至少一個第二元件及至少一個第一虛設環嵌入於圖案化的介電層中。 According to some embodiments of the present disclosure, a semiconductor structure is provided, which includes a semiconductor substrate and at least one patterned dielectric layer. The semiconductor substrate includes an active region and a peripheral region surrounding the active region, at least one first component disposed on the active region, at least one second component disposed on the peripheral region, and at least one first dummy ring disposed on the peripheral region. At least one first element and at least one second element are spaced apart by the semiconductor portion of the active region. At least one patterned dielectric layer is disposed on the semiconductor substrate. At least one first element, at least one second element, and at least one first dummy ring are embedded in the patterned dielectric layer.

根據本揭露的一些實施例,提供一種半導體結構的製造方法,其包括以下步驟。提供具有半導體部分的半導體基底。在被半導體部分環繞的第一區上形成至少一個第一元件。在第二區上形成至少一個第二元件與至少一個第一虛設環,其中第二區環繞第一區,且至少一個第一虛設環環繞至少一個第一元件。在半導體基底上形成至少一個介電層,以覆蓋至少一個第一元件、至少一個第二元件以及至少一個第一虛設環。研磨至少一個介電層,直到至少一個第一元件、至少一個第二元件以及至少一個第一虛設環暴露出來。 According to some embodiments of the present disclosure, a method for manufacturing a semiconductor structure is provided, which includes the following steps. A semiconductor substrate having a semiconductor portion is provided. At least one first element is formed on the first region surrounded by the semiconductor portion. At least one second element and at least one first dummy ring are formed on the second area, wherein the second area surrounds the first area, and at least one first dummy ring surrounds at least one first element. At least one dielectric layer is formed on the semiconductor substrate to cover at least one first element, at least one second element, and at least one first dummy ring. Grind at least one dielectric layer until at least one first element, at least one second element, and at least one first dummy ring are exposed.

100:半導體基底 100: semiconductor substrate

100A:第一區 100A: District 1

100B:第二區 100B: District 2

100B1:虛設區 100B1: dummy area

100B2:周邊電路區 100B2: Peripheral circuit area

102、102b:墊層 102, 102b: cushion

102a:濕氧化物層 102a: Wet oxide layer

104、106a、132a、132c、132d:圖案化的硬罩幕層 104, 106a, 132a, 132c, 132d: patterned hard mask curtain layer

106、132:硬罩幕層 106, 132: hard cover curtain layer

110a:第一溝渠隔離 110a: First trench isolation

110b:第二溝渠隔離 110b: Second trench isolation

112:半導體部分 112: Semiconductor part

120:硬罩幕頂蓋層 120: hard cover curtain top cover layer

120a:圖案化的硬罩幕頂蓋層 120a: Patterned hard cover curtain top layer

122:氧化物頂蓋層 122: oxide cap layer

122a:圖案化的氧化物頂蓋層 122a: Patterned oxide cap layer

124、124a、130、142:導電層 124, 124a, 130, 142: conductive layer

124b、142a:導電圖案 124b, 142a: conductive pattern

124c:浮置閘極電極 124c: floating gate electrode

126、128、136c、150:介電層 126, 128, 136c, 150: dielectric layer

126a、128b、150a1、150a2、150b1、150b2:介電圖案 126a, 128b, 150a1, 150a2, 150b1, 150b2: dielectric pattern

128a、128c:圖案化的介電層 128a, 128c: patterned dielectric layer

130a、130c:圖案化的導電層 130a, 130c: patterned conductive layer

130b:控制閘極電極 130b: control gate electrode

132b:硬罩幕圖案 132b: Hard cover curtain pattern

134a、134b、136a、136b、144、144a、172、176、178:間隙壁 134a, 134b, 136a, 136b, 144, 144a, 172, 176, 178: spacer

136d:氧化層 136d: oxide layer

138、146、168、PR:圖案化的光阻層 138, 146, 168, PR: patterned photoresist layer

140、170、174:摻雜區 140, 170, 174: doped regions

142b:選擇閘極電極 142b: Select gate electrode

148:圖案化的虛設層 148: Patterned dummy layer

148a、148a1、148b:虛設層 148a, 148a1, 148b: dummy layer

150a:第一部分 150a: part one

150b:第二部分 150b: Part Two

152:閘極電極 152: Gate electrode

154:介電頂蓋 154: Dielectric top cover

156、158、160、162、164、166:虛設圖案 156, 158, 160, 162, 164, 166: dummy patterns

180:停止層 180: stop layer

180a:圖案化的停止層 180a: patterned stop layer

182:層間介電層 182: Interlayer dielectric layer

182a:經研磨的層間介電層 182a: Grinded interlayer dielectric layer

200、200a:積體電路構件 200, 200a: Integrated circuit components

B:邊界區 B: border area

B1:邊界 B1: Border

B2:外邊界 B2: Outer boundary

D:距離 D: distance

DP:虛設點圖案 DP: Dummy dot pattern

DR1:第一虛設環 DR1: the first dummy ring

DR2:第二虛設環 DR2: second dummy ring

H1:第一高度 H1: first height

H2:第二高度 H2: second height

IS1、IS2、IS3:傾斜表面 IS1, IS2, IS3: inclined surface

M:記憶體單元陣列 M: memory cell array

MG:金屬閘極電極 MG: metal gate electrode

P:周邊電路 P: Peripheral circuit

R1、R2:凹槽 R1, R2: groove

TH1:第一厚度 TH1: first thickness

TH2:第二厚度 TH2: second thickness

X:部分 X: part

S1、S2:表面 S1, S2: surface

結合附圖閱讀以下詳細說明會最好地理解本揭露的各個方面。值得注意的是,根據業界的標準慣例,各種特徵並未按比例繪製。事實上,為了討論的清楚起見,各種特徵的尺寸可以任意增加或減小。 Reading the following detailed description in conjunction with the accompanying drawings will best understand the various aspects of the present disclosure. It is worth noting that, according to industry standard practices, various features are not drawn to scale. In fact, for clarity of discussion, the size of various features can be increased or decreased arbitrarily.

圖1至圖32是示意性地示出根據本揭露一些實施例的半導體 結構的製造方法的剖視圖。 1 to 32 are diagrams schematically showing semiconductors according to some embodiments of the present disclosure Cross-sectional view of a method of manufacturing a structure.

圖33是示意性地示出根據本揭露一些實施例的包括多個排列成陣列的積體電路構件的晶圓的上視圖。 33 is a top view schematically showing a wafer including a plurality of integrated circuit members arranged in an array according to some embodiments of the present disclosure.

圖34是示意性地示出根據本揭露一些實施例的圖33中所示的部分X的放大上視圖。 FIG. 34 is an enlarged top view schematically showing part X shown in FIG. 33 according to some embodiments of the present disclosure.

圖35是示意性地示出根據本揭露一些替代實施例的圖33中所示的部分X的放大上視圖。 FIG. 35 is an enlarged top view schematically showing part X shown in FIG. 33 according to some alternative embodiments of the present disclosure.

圖36A與圖36B是示意性地示出根據本揭露一些實施例的半導體結構的製造方法的剖視圖。 36A and 36B are cross-sectional views schematically showing a method of manufacturing a semiconductor structure according to some embodiments of the present disclosure.

圖37A至圖37C是示意性地示出根據本揭露一些替代實施例的半導體結構的製造方法的剖視圖。 37A to 37C are cross-sectional views schematically showing a method of manufacturing a semiconductor structure according to some alternative embodiments of the present disclosure.

以下揭露內容提供用於實現所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及配置的具體實例以簡化本揭露內容。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第一特徵形成於第二特徵“之上”或第二特徵“上”可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵,進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本揭露內容可能在各種實例中重複使用參考編號及/或字母。這種重複是出於簡潔及清楚的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. The following describes specific examples of components and configurations to simplify the disclosure. Of course, these are only examples and are not intended to be limiting. For example, forming the first feature "on" or "on" the second feature in the following description may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include An embodiment in which additional features may be formed between the first feature and the second feature, so that the first feature and the second feature may not directly contact each other. In addition, the disclosure content may reuse reference numbers and/or letters in various examples. This repetition is for the purpose of brevity and clarity, rather than representing the relationship between the various embodiments and/or configurations discussed.

另外,為易於說明,本文中可能使用例如“在...之下(beneath)”、“在...下面(below)”、“下部的(lower)”、“在...上(on)”、“在...上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或其他取向),且本文中所用的空間相對性用語可同樣相應地進行解釋。 In addition, for ease of explanation, for example, "beneath", "below", "lower", "on" may be used in this article )", "above", "upper" and other spatially relative terms to illustrate the relationship between one element or feature and another (other) element or feature shown in the figure. The term spatial relativity is intended to include different orientations of the device in use or operation in addition to the orientations depicted in the figures. The device may have other orientations (rotation 90 degrees or other orientations), and the terms of spatial relativity used herein may be interpreted accordingly accordingly.

圖1至圖32是示意性地示出了根據本揭露一些實施例的半導體結構的製造方法的剖視圖。 1 to 32 are cross-sectional views schematically showing a method of manufacturing a semiconductor structure according to some embodiments of the present disclosure.

參照圖1,提供半導體基底100。舉例來說,半導體基底100可為矽基底或由其他半導體材料製成的基底。在一些實施例中,如圖33所示,半導體基底100可為半導體晶圓(例如,矽晶圓或其類似物)。在半導體基底100上形成墊層(pad layer)102,並在墊層102上形成圖案化的硬罩幕層104。舉例來說,墊層102可以是氧化矽(SiOx,x>0)層,且圖案化的硬罩幕層104可以是氮化矽(SiNy,y>0)層。墊層102的材料與圖案化的硬罩幕層104的材料僅用於說明,且本揭露不限於此。如圖1所示,圖案化的硬罩幕層104可例如藉由微影與蝕刻製程形成,且部分墊層102被圖案化的硬罩幕層104暴露出來。在圖案化的硬罩幕層104的蝕刻製程中,墊層102可用作蝕刻停止層。 Referring to FIG. 1, a semiconductor substrate 100 is provided. For example, the semiconductor substrate 100 may be a silicon substrate or a substrate made of other semiconductor materials. In some embodiments, as shown in FIG. 33, the semiconductor substrate 100 may be a semiconductor wafer (for example, a silicon wafer or the like). A pad layer 102 is formed on the semiconductor substrate 100, and a patterned hard mask layer 104 is formed on the pad layer 102. For example, the pad layer 102 may be a silicon oxide (SiO x , x>0) layer, and the patterned hard mask layer 104 may be a silicon nitride (SiN y , y>0) layer. The material of the cushion layer 102 and the material of the patterned hard mask layer 104 are for illustration only, and the disclosure is not limited thereto. As shown in FIG. 1, the patterned hard mask layer 104 can be formed by a lithography and etching process, for example, and a portion of the pad layer 102 is exposed by the patterned hard mask layer 104. In the etching process of the patterned hard mask layer 104, the pad layer 102 can be used as an etch stop layer.

參照圖1與圖2,進行濕式氧化製程,使得被墊層102覆蓋的部分半導體基底100被氧化,並在半導體基底100上形成濕氧化物(wet oxide layer)層102a。在進行濕式氧化製程之後, 形成了半導體基底100的凹槽R1,且在凹槽R1上形成了濕氧化物層102a。如圖1與圖2所示,濕氧化物層102a未被圖案化的硬罩幕層104覆蓋,且濕氧化物層102a比被圖案化的硬罩幕層104覆蓋的墊層102厚。舉例來說,濕氧化物層102a的厚度範圍為約100埃至約2000埃,且半導體基底100的凹槽R1的深度約為濕氧化物層102a的厚度的一半(例如,約50埃至約1000埃)。在一些實施例中,濕氧化物層102a的厚度可為約600埃,且半導體基底100的凹槽R1的深度可約為濕氧化物層102a的厚度的一半(例如,約300埃)。濕氧化物層102a的厚度與凹槽R1的深度僅用於說明,並且本揭露不限於此。 Referring to FIGS. 1 and 2, a wet oxidation process is performed so that a portion of the semiconductor substrate 100 covered by the pad layer 102 is oxidized, and a wet oxide layer 102 a is formed on the semiconductor substrate 100. After the wet oxidation process, A groove R1 of the semiconductor substrate 100 is formed, and a wet oxide layer 102a is formed on the groove R1. As shown in FIGS. 1 and 2, the wet oxide layer 102 a is not covered by the patterned hard mask layer 104, and the wet oxide layer 102 a is thicker than the cushion layer 102 covered by the patterned hard mask layer 104. For example, the thickness of the wet oxide layer 102a ranges from about 100 angstroms to about 2000 angstroms, and the depth of the groove R1 of the semiconductor substrate 100 is about half the thickness of the wet oxide layer 102a (eg, about 50 angstroms to about 1000 Angstroms). In some embodiments, the thickness of the wet oxide layer 102a may be about 600 angstroms, and the depth of the groove R1 of the semiconductor substrate 100 may be about half the thickness of the wet oxide layer 102a (eg, about 300 angstroms). The thickness of the wet oxide layer 102a and the depth of the groove R1 are for illustration only, and the disclosure is not limited thereto.

參照圖2與圖3,藉由蝕刻製程部分地移除濕氧化物層102a,以在凹槽R1中形成另一墊層102b。凹槽R1中的墊層102b連接到被圖案化的硬罩幕層104覆蓋的墊層102。在一些實施例中,墊層102b與墊層102的厚度可大體上相同(例如,約10埃至約500埃)。在用於形成墊層102b的蝕刻製程期間,圖案化的硬罩幕層104可能被輕微蝕刻,且圖案化的硬罩幕層104的厚度損失可例如為約80埃。上述厚度損失及墊層102b與墊層102的厚度僅用於說明,且本揭露不限於此。 2 and 3, the wet oxide layer 102a is partially removed by an etching process to form another pad layer 102b in the groove R1. The pad layer 102b in the groove R1 is connected to the pad layer 102 covered by the patterned hard mask layer 104. In some embodiments, the thickness of the cushion layer 102b and the cushion layer 102 may be substantially the same (eg, about 10 angstroms to about 500 angstroms). During the etching process for forming the pad layer 102b, the patterned hard mask layer 104 may be slightly etched, and the thickness loss of the patterned hard mask layer 104 may be, for example, about 80 angstroms. The above thickness loss and the thickness of the pad layer 102b and the pad layer 102 are for illustration only, and the disclosure is not limited thereto.

如圖2與圖3所示,半導體基底100可提供兩個表面S1與S2,其中表面S1與表面S2位於不同的水平高度,且表面S1與表面S2之間的水平高度差範圍例如為約50埃至約1000埃。上述表面S1與表面S2之間的水平高度差僅用於說明,且本揭露不限於此。 As shown in FIGS. 2 and 3, the semiconductor substrate 100 may provide two surfaces S1 and S2, wherein the surfaces S1 and S2 are located at different horizontal heights, and the horizontal difference between the surfaces S1 and S2 is, for example, about 50 Angstrom to about 1000 Angstroms. The above-mentioned level difference between the surface S1 and the surface S2 is for illustration only, and the disclosure is not limited thereto.

參照圖4,移除圖案化的硬罩幕層104,並在半導體基底100的表面S1上的墊層102上與半導體基底100的表面S2上的墊層102b上形成硬罩幕層106。舉例來說,硬罩幕層106可以是氮化矽層。硬罩幕層106的材料僅用於說明,且本揭露不限於此。 Referring to FIG. 4, the patterned hard mask layer 104 is removed, and a hard mask layer 106 is formed on the pad layer 102 on the surface S1 of the semiconductor substrate 100 and the pad layer 102 b on the surface S2 of the semiconductor substrate 100. For example, the hard mask layer 106 may be a silicon nitride layer. The material of the hard mask layer 106 is for illustration only, and the disclosure is not limited thereto.

參照圖5,可對半導體基底100進行溝渠隔離製造製程,使得在半導體基底100中形成至少一個第一溝渠隔離110a(例如,至少一個內溝渠隔離)與至少一個第二溝渠隔離110b(例如,至少一個外溝渠隔離)。在形成至少一個第一溝渠隔離110a與至少一個第二溝渠隔離110b之後,定義半導體基底100的半導體部分112、第一區100A與第二區100B。在一些實施例中,第一區100A可為被至少一個第一溝渠隔離110a環繞的主動區,第二區100B可為周邊區。舉例來說,如圖34與圖35所示,第一區100A與第二區100B連接,第二區100B是環形周邊區,且第一區100A被環形第二區100B環繞。 Referring to FIG. 5, a trench isolation manufacturing process may be performed on the semiconductor substrate 100 so that at least one first trench isolation 110 a (eg, at least one inner trench isolation) and at least one second trench isolation 110 b (eg, at least one) are formed in the semiconductor substrate 100 An outer trench is isolated). After forming at least one first trench isolation 110a and at least one second trench isolation 110b, the semiconductor portion 112 of the semiconductor substrate 100, the first region 100A, and the second region 100B are defined. In some embodiments, the first area 100A may be an active area surrounded by at least one first trench isolation 110a, and the second area 100B may be a peripheral area. For example, as shown in FIGS. 34 and 35, the first area 100A is connected to the second area 100B, the second area 100B is a ring-shaped peripheral area, and the first area 100A is surrounded by the ring-shaped second area 100B.

在一些實施例中,上述溝渠隔離製造製程可包括:圖案化硬罩幕層106,以形成圖案化的硬罩幕層106a;例如藉由蝕刻製程在半導體基底100中形成多個溝渠;沉積介電材料以填充溝渠並覆蓋圖案化的硬罩幕層106a;以及對溝渠外的介電材料進行研磨(例如,CMP製程),直到圖案化的硬罩幕層106a暴露出來,如圖5所示。在一些實施例中,第一溝渠隔離110a與第二溝渠隔離110b例如是淺溝渠隔離(shallow trench isolation,STI)結構。然而,在本申請中,至少一個第一溝渠隔離110a與至少一個第二溝渠隔離110b的製造製程不受限制。 In some embodiments, the above trench isolation manufacturing process may include: patterning the hard mask layer 106 to form a patterned hard mask layer 106a; for example, forming a plurality of trenches in the semiconductor substrate 100 by an etching process; The electrical material fills the trench and covers the patterned hard mask layer 106a; and the dielectric material outside the trench is polished (eg, CMP process) until the patterned hard mask layer 106a is exposed, as shown in FIG. 5 . In some embodiments, the first trench isolation 110a and the second trench isolation 110b are, for example, shallow trench isolation (STI) structures. However, in this application, the manufacturing process of at least one first trench isolation 110a and at least one second trench isolation 110b is not limited.

如圖5所示,半導體基底100的半導體部分112可以是環形結構,其與第一溝渠隔離110a及第二溝渠隔離110b接觸。第一溝渠隔離110a與第二溝渠隔離110b可位於半導體部分112的相對側。半導體部分112與第一溝渠隔離110a可位於第一區100A的邊界區B中。換句話說,邊界區B是半導體部分112與第一溝渠隔離110a所在的區域。舉例來說,半導體部分112靠近第一區100A與第二區100B之間的界面,而第二溝渠隔離110b靠近第一區100A與第二區100B之間的界面(圖5中所示的虛線)。此外,第一溝渠隔離110a的頂面、第二溝渠隔離110b的頂面以及圖案化的硬罩幕層106a的頂面大體上處於相同的水平。 As shown in FIG. 5, the semiconductor portion 112 of the semiconductor substrate 100 may be a ring structure, which is in contact with the first trench isolation 110 a and the second trench isolation 110 b. The first trench isolation 110a and the second trench isolation 110b may be located on opposite sides of the semiconductor portion 112. The semiconductor portion 112 and the first trench isolation 110a may be located in the boundary region B of the first region 100A. In other words, the boundary region B is the region where the semiconductor portion 112 and the first trench isolation 110a are located. For example, the semiconductor portion 112 is close to the interface between the first area 100A and the second area 100B, and the second trench isolation 110b is close to the interface between the first area 100A and the second area 100B (dashed line shown in FIG. 5 ). In addition, the top surface of the first trench isolation 110a, the top surface of the second trench isolation 110b, and the top surface of the patterned hard mask layer 106a are substantially at the same level.

參照圖6,在第一溝渠隔離110a的頂面上、第二溝渠隔離110b的頂面上以及圖案化的硬罩幕層106a的頂面上形成頂蓋層。在一些實施例中,頂蓋層可包括硬罩幕頂蓋層120與形成在硬罩幕頂蓋層120上的氧化物頂蓋層122。硬罩幕頂蓋層120形成於第一溝渠隔離110a的頂面上、第二溝渠隔離110b的頂面上以及圖案化的硬罩幕層106a的頂面上。在一些實施例中,氧化物頂蓋層122的材料可與圖案化的硬罩幕層106a的材料不同,且氧化物頂蓋層122的材料可與墊層102的材料相同。舉例來說,硬罩幕頂蓋層120的材料可包括氮化矽,而氧化物頂蓋層122的材料可包括氧化矽。上述硬罩幕頂蓋層120與氧化物頂蓋層122的材料僅用於說明,且本揭露不限於此。 6, a top cover layer is formed on the top surface of the first trench isolation 110a, the top surface of the second trench isolation 110b, and the top surface of the patterned hard mask layer 106a. In some embodiments, the cap layer may include a hard mask top layer 120 and an oxide cap layer 122 formed on the hard mask top layer 120. The hard mask top cover layer 120 is formed on the top surface of the first trench isolation 110a, the top surface of the second trench isolation 110b, and the top surface of the patterned hard mask layer 106a. In some embodiments, the material of the oxide cap layer 122 may be different from the material of the patterned hard mask layer 106a, and the material of the oxide cap layer 122 may be the same as the material of the pad layer 102. For example, the material of the hard mask cap layer 120 may include silicon nitride, and the material of the oxide cap layer 122 may include silicon oxide. The materials of the hard mask top cover layer 120 and the oxide top cover layer 122 are for illustration only, and the disclosure is not limited thereto.

參照圖7,例如藉由微影與蝕刻製程將硬罩幕頂蓋層120與氧化物頂蓋層122圖案化,以形成包括圖案化的硬罩幕頂蓋層120a與圖案化的氧化物頂蓋層122a的圖案化的頂蓋層。圖案化的 硬罩幕頂蓋層120a與圖案化的氧化物頂蓋層122a覆蓋第二溝渠隔離110b、位於第一溝渠隔離110a與第二溝渠隔離110b之間的圖案化的硬罩幕層106a以及靠近半導體部分112的部分第一溝渠隔離110a。 Referring to FIG. 7, for example, the hard mask curtain cap layer 120 and the oxide cap layer 122 are patterned by a lithography and etching process to form a patterned hard mask curtain cap layer 120a and a patterned oxide cap The patterned cap layer of the cap layer 122a. Patterned The hard mask curtain cap layer 120a and the patterned oxide cap layer 122a cover the second trench isolation 110b, the patterned hard mask layer 106a between the first trench isolation 110a and the second trench isolation 110b, and the proximity semiconductor Part 112 of the first trench isolation 110a.

藉由利用圖案化的硬罩幕頂蓋層120a與圖案化的氧化物頂蓋層122a作為罩幕,例如藉由蝕刻製程移除位於墊層102b上的部分圖案化的硬罩幕層106a,直到墊層102b暴露出來。在一些實施例中,在用於部分移除圖案化的硬罩幕層106a的蝕刻製程期間,由於圖案化的氧化物頂蓋層122a(例如,氧化矽)的材料不同於圖案化的硬罩幕層106a的材料及圖案化的硬罩幕頂蓋層120a(例如,氮化矽)的材料,因此可選擇性地蝕刻圖案化的硬罩幕層106a。此外,在用於部分移除圖案化的硬罩幕層106a的蝕刻製程期間,由於圖案化的氧化物頂蓋層122a(例如,氧化矽)的材料與墊層102b的材料相同,因此墊層102b可用作蝕刻停止層。上述墊層102b的材料、圖案化的硬罩幕層106a的材料、圖案化的硬罩幕頂蓋層120a的材料以及圖案化的氧化物頂蓋層122a的材料僅用於說明,且本揭露不限於此。 By using the patterned hard mask top cap layer 120a and the patterned oxide cap layer 122a as the mask, for example, by etching process to remove part of the patterned hard mask layer 106a on the pad layer 102b, Until the pad 102b is exposed. In some embodiments, during the etching process for partially removing the patterned hard mask curtain layer 106a, the material of the patterned oxide cap layer 122a (eg, silicon oxide) is different from the patterned hard mask The material of the curtain layer 106a and the material of the patterned hard mask curtain cap layer 120a (for example, silicon nitride), so the patterned hard mask curtain layer 106a can be selectively etched. In addition, during the etching process for partially removing the patterned hard mask layer 106a, since the material of the patterned oxide cap layer 122a (eg, silicon oxide) is the same as the material of the pad layer 102b, the pad layer 102b can be used as an etch stop layer. The materials of the pad layer 102b, the material of the patterned hard mask layer 106a, the material of the patterned hard mask layer 120a, and the material of the patterned oxide cap layer 122a are for illustration only, and this disclosure Not limited to this.

參照圖7與圖8,移除墊層102b,並在半導體基底100的表面S2上形成介電層126。在一些實施例中,介電層126可為氧化矽層。接著,在圖案化的氧化物頂蓋層122a、第一溝渠隔離110a及介電層126上形成導電層124。在一些實施例中,導電層124可為摻雜多晶矽層。舉例來說,導電層124可藉由沉積多晶矽層、將摻質植入多晶矽層以及對摻雜多晶矽層進行退火來形成。 上述導電層124的材料及介電層126的材料僅用於說明,且本揭露不限於此。 7 and 8, the pad layer 102 b is removed, and the dielectric layer 126 is formed on the surface S2 of the semiconductor substrate 100. In some embodiments, the dielectric layer 126 may be a silicon oxide layer. Next, a conductive layer 124 is formed on the patterned oxide cap layer 122a, the first trench isolation 110a, and the dielectric layer 126. In some embodiments, the conductive layer 124 may be a doped polysilicon layer. For example, the conductive layer 124 may be formed by depositing a polysilicon layer, implanting dopants into the polysilicon layer, and annealing the doped polysilicon layer. The material of the conductive layer 124 and the material of the dielectric layer 126 are for illustration only, and the disclosure is not limited thereto.

參照圖8與圖9,對導電層124進行研磨製程(例如,CMP製程),以形成具有平坦化頂面的導電層124a。導電層124a覆蓋介電層126與第一溝渠隔離110a。在導電層124的研磨製程期間,圖案化的氧化物頂蓋層122a被研磨,直到圖案化的硬罩幕頂蓋層120a暴露出來。圖案化的硬罩幕頂蓋層120a在導電層124的研磨製程期間可用作研磨停止層。如圖9所示,圖案化的硬罩幕頂蓋層120a的頂面與導電層124a的頂面大體上處於同一水平。 Referring to FIGS. 8 and 9, a polishing process (for example, a CMP process) is performed on the conductive layer 124 to form a conductive layer 124a having a planarized top surface. The conductive layer 124a covers the dielectric layer 126 and the first trench isolation 110a. During the polishing process of the conductive layer 124, the patterned oxide cap layer 122a is polished until the patterned hard mask cap layer 120a is exposed. The patterned hard mask curtain cap layer 120a can be used as a grinding stop layer during the grinding process of the conductive layer 124. As shown in FIG. 9, the top surface of the patterned hard mask top cover layer 120a and the top surface of the conductive layer 124a are substantially at the same level.

參照圖9與圖10,例如藉由蝕刻製程進一步圖案化導電層124a,使得在介電層126上形成至少一個導電圖案124b。在導電層124a的蝕刻製程中,未被圖案化的硬罩幕頂蓋層120a覆蓋的部分第一溝渠隔離110a可被部分地移除。如圖10所示,舉例來說,於第一溝渠隔離110a中形成凹槽R2,且凹槽R2靠近導電圖案124b。 Referring to FIGS. 9 and 10, for example, the conductive layer 124 a is further patterned by an etching process, so that at least one conductive pattern 124 b is formed on the dielectric layer 126. In the etching process of the conductive layer 124a, a portion of the first trench isolation 110a that is not covered by the patterned hard mask curtain cap layer 120a may be partially removed. As shown in FIG. 10, for example, a groove R2 is formed in the first trench isolation 110a, and the groove R2 is close to the conductive pattern 124b.

參照圖10與圖11,依次形成介電層128、導電層130以及硬罩幕層132,以覆蓋導電圖案124b、第一溝渠隔離110a以及圖案化的硬罩幕頂蓋層120a。介電層128覆蓋導電圖案124b、第一溝渠隔離110a及圖案化的硬罩幕頂蓋層120a。導電層130覆蓋介電層128。硬罩幕層132覆蓋導電層130。在一些實施例中,介電層128可為氧化矽層。導電層130可為摻雜多晶矽層。舉例來說,導電層130可藉由沉積多晶矽層、將摻質植入多晶矽層以及對摻雜多晶矽層進行退火來形成。硬罩幕層132可為氧化矽/氮化矽/氧化矽堆疊層。然而,硬罩幕層132的配置不受限制。上述 介電層128的材料、導電層130的材料及硬罩幕層132的材料僅用於說明,且本揭露不限於此。 10 and 11, a dielectric layer 128, a conductive layer 130, and a hard mask layer 132 are formed in order to cover the conductive pattern 124b, the first trench isolation 110a, and the patterned hard mask curtain cap layer 120a. The dielectric layer 128 covers the conductive pattern 124b, the first trench isolation 110a, and the patterned hard mask curtain cap layer 120a. The conductive layer 130 covers the dielectric layer 128. The hard mask layer 132 covers the conductive layer 130. In some embodiments, the dielectric layer 128 may be a silicon oxide layer. The conductive layer 130 may be a doped polysilicon layer. For example, the conductive layer 130 may be formed by depositing a polysilicon layer, implanting dopants into the polysilicon layer, and annealing the doped polysilicon layer. The hard mask curtain layer 132 may be a stacked layer of silicon oxide/silicon nitride/silicon oxide. However, the configuration of the hard mask curtain layer 132 is not limited. Above The material of the dielectric layer 128, the material of the conductive layer 130, and the material of the hard mask layer 132 are for illustration only, and the disclosure is not limited thereto.

參照圖11與圖12,例如藉由微影與蝕刻製程將介電層128、導電層130及硬罩幕層132圖案化,使得形成圖案化的介電層128a、介電圖案128b、圖案化的導電層130a、控制閘極電極130b、圖案化的硬罩幕層132a以及硬罩幕圖案132b。圖案化的介電層128a、圖案化的導電層130a以及圖案化的硬罩幕層132a被形成為覆蓋第一溝渠隔離110a與圖案化的硬罩幕頂蓋層120a。介電圖案128b、控制閘極電極130b以及硬罩幕圖案132b被形成為部分地覆蓋導電圖案124b。在介電層128、導電層130及硬罩幕層132的圖案化製程期間,導電圖案124b可被輕微地過蝕刻(over-etch)。 Referring to FIGS. 11 and 12, for example, the dielectric layer 128, the conductive layer 130 and the hard mask layer 132 are patterned by a lithography and etching process, so that a patterned dielectric layer 128a, a dielectric pattern 128b, and a pattern are formed Conductive layer 130a, control gate electrode 130b, patterned hard mask layer 132a and hard mask pattern 132b. The patterned dielectric layer 128a, the patterned conductive layer 130a, and the patterned hard mask layer 132a are formed to cover the first trench isolation 110a and the patterned hard mask curtain cap layer 120a. The dielectric pattern 128b, the control gate electrode 130b, and the hard mask pattern 132b are formed to partially cover the conductive pattern 124b. During the patterning process of the dielectric layer 128, the conductive layer 130, and the hard mask layer 132, the conductive pattern 124b may be slightly over-etched.

參照圖12與圖13,形成間隙壁134a與間隙壁134b。間隙壁134a形成於圖案化的介電層128a的側壁、圖案化的導電層130a的側壁及圖案化的硬罩幕層132a的側壁上。間隙壁134b形成於介電圖案128b的側壁、控制閘極電極130b的側壁以及硬罩幕圖案132b的側壁上。 12 and 13, a partition wall 134a and a partition wall 134b are formed. The spacer 134a is formed on the sidewall of the patterned dielectric layer 128a, the sidewall of the patterned conductive layer 130a, and the sidewall of the patterned hard mask layer 132a. The spacer 134b is formed on the side wall of the dielectric pattern 128b, the side wall of the control gate electrode 130b, and the side wall of the hard mask pattern 132b.

在形成間隙壁134a與間隙壁134b之後,進行圖案化製程(例如,蝕刻製程),以移除未被間隙壁134a及間隙壁134b覆蓋的部分導電圖案124b及部分介電層126,使得在半導體基底100的表面S2上形成多個浮置閘極電極124c與多個介電圖案126a。浮置閘極電極124c及介電圖案126a與介電圖案128b、控制閘極電極130b及硬罩幕圖案132b自對準。由於導電圖案124b被輕微過蝕刻,因此每個間隙壁134b的底部部分可在導電圖案 124b上側向地延伸,且間隙壁134b的底部部分可與浮置閘極電極124c接觸。 After forming the spacer 134a and the spacer 134b, a patterning process (for example, an etching process) is performed to remove a portion of the conductive pattern 124b and a portion of the dielectric layer 126 that are not covered by the spacer 134a and the spacer 134b, so that the semiconductor A plurality of floating gate electrodes 124c and a plurality of dielectric patterns 126a are formed on the surface S2 of the substrate 100. The floating gate electrode 124c and the dielectric pattern 126a are self-aligned with the dielectric pattern 128b, the control gate electrode 130b and the hard mask pattern 132b. Since the conductive pattern 124b is slightly over-etched, the bottom portion of each spacer 134b may be in the conductive pattern The upper portion of 124b extends laterally, and the bottom portion of the spacer 134b may be in contact with the floating gate electrode 124c.

參照圖13與圖14,在形成浮置閘極電極124c與介電圖案126a之後,形成多個間隙壁136a與間隙壁136b。間隙壁136a形成於間隙壁134a上,而間隙壁136b形成於間隙壁134b上。此外,間隙壁136b覆蓋浮置閘極電極124c的側壁及介電圖案126a的側壁。接著,形成圖案化的光阻層138,並進行離子植入製程,使得在半導體基底100中形成多個摻雜區140(例如,共源極區)。在一些實施例中,可進一步進行退火製程,以退火半導體基底100中的摻雜區140,使得植入的離子或摻質可以擴散。 Referring to FIGS. 13 and 14, after forming the floating gate electrode 124 c and the dielectric pattern 126 a, a plurality of spacers 136 a and 136 b are formed. The partition wall 136a is formed on the partition wall 134a, and the partition wall 136b is formed on the partition wall 134b. In addition, the spacer 136b covers the side wall of the floating gate electrode 124c and the side wall of the dielectric pattern 126a. Next, a patterned photoresist layer 138 is formed, and an ion implantation process is performed, so that a plurality of doped regions 140 (eg, common source regions) are formed in the semiconductor substrate 100. In some embodiments, an annealing process may be further performed to anneal the doped regions 140 in the semiconductor substrate 100 so that the implanted ions or dopants can diffuse.

參照圖14與圖15,在半導體基底100中形成摻雜區140之後,移除被圖案化的光阻層138的開口暴露的間隙壁136b,直到間隙壁134b、浮置閘極電極124c的側壁以及介電圖案126a的側壁被圖案化的光阻層138的開口暴露出來。接著,在圖案化的光阻層138的開口中形成多個介電層136c,以覆蓋間隙壁134b、浮置閘極電極124c的側壁及介電圖案126a的側壁,並形成多個氧化層136d(例如,共源極氧化層(common source oxidation layer,CSOX)),以覆蓋形成在半導體基底100中的摻雜區140。 14 and 15, after the doped region 140 is formed in the semiconductor substrate 100, the spacer 136b exposed by the opening of the patterned photoresist layer 138 is removed until the sidewall of the spacer 134b and the floating gate electrode 124c And the sidewall of the dielectric pattern 126a is exposed by the opening of the patterned photoresist layer 138. Next, a plurality of dielectric layers 136c are formed in the opening of the patterned photoresist layer 138 to cover the sidewalls of the spacer 134b, the floating gate electrode 124c, and the sidewalls of the dielectric pattern 126a, and a plurality of oxide layers 136d are formed (For example, a common source oxidation layer (CSOX)) to cover the doped region 140 formed in the semiconductor substrate 100.

在一些實施例中,為了防止由圖案化的光阻層138導致的污染,在形成介電層136c與氧化層136d之前移除圖案化的光阻層138。在一些實施例中,圖案化的光阻層138可藉由例如灰化(ashing)製程或其他合適的製造來移除。 In some embodiments, to prevent contamination caused by the patterned photoresist layer 138, the patterned photoresist layer 138 is removed before the dielectric layer 136c and the oxide layer 136d are formed. In some embodiments, the patterned photoresist layer 138 may be removed by, for example, an ashing process or other suitable manufacturing.

參照圖16與圖17,在半導體基底100上依次形成閘極介電層(未示出)與導電層142。在一些實施例中,導電層142 可為摻雜多晶矽層。舉例來說,導電層142可藉由沉積多晶矽層,將摻質植入多晶矽層,並對摻雜多晶矽層進行退火來形成。上述導電層142的材料僅用於說明,且本揭露不限於此。接著,對導電層142依次進行研磨製程(例如,CMP製程)與回蝕刻製程,使得形成多個具有平坦化頂面的導電圖案142a。在一些實施例中,可研磨導電層142直到暴露出圖案化的硬罩幕層132a,並可回蝕刻經研磨的導電層142,以形成導電圖案142a。 Referring to FIGS. 16 and 17, a gate dielectric layer (not shown) and a conductive layer 142 are sequentially formed on the semiconductor substrate 100. In some embodiments, the conductive layer 142 It can be a doped polysilicon layer. For example, the conductive layer 142 may be formed by depositing a polysilicon layer, implanting dopants into the polysilicon layer, and annealing the doped polysilicon layer. The material of the above conductive layer 142 is for illustration only, and the disclosure is not limited thereto. Next, the conductive layer 142 is sequentially subjected to a polishing process (for example, a CMP process) and an etch-back process, so that a plurality of conductive patterns 142a with planarized top surfaces are formed. In some embodiments, the conductive layer 142 may be polished until the patterned hard mask layer 132a is exposed, and the polished conductive layer 142 may be etched back to form the conductive pattern 142a.

參照圖17與圖18,在形成導電圖案142a之後,在導電圖案142a上形成多個間隙壁144,以覆蓋間隙壁136a、間隙壁136b與介電層136c。接著,例如藉由回蝕刻製程圖案化導電圖案142a與閘極介電層,以形成多個選擇閘極電極142b(例如,在摻雜區140及/或字元線上方的抹除閘極電極(erase gate electrode))以及位於選擇閘極電極142b下的多個選擇閘極氧化物層(select gate oxide layer,SGOX)。換句話說,未被多個間隙壁144覆蓋的導電圖案142a及閘極介電層被部分蝕刻,以形成多個選擇閘極電極142b。 Referring to FIGS. 17 and 18, after the conductive pattern 142a is formed, a plurality of spacers 144 are formed on the conductive pattern 142a to cover the spacer 136a, the spacer 136b, and the dielectric layer 136c. Next, for example, the conductive pattern 142a and the gate dielectric layer are patterned by an etch-back process to form a plurality of selective gate electrodes 142b (eg, erase gate electrodes above the doped regions 140 and/or word lines (erase gate electrode) and a plurality of select gate oxide layers (SGOX) under the select gate electrode 142b. In other words, the conductive pattern 142a and the gate dielectric layer not covered by the plurality of spacers 144 are partially etched to form a plurality of selective gate electrodes 142b.

參照圖18與圖19,進行對間隙壁144與圖案化的硬罩幕層132a的研磨製程(例如,CMP製程),使得形成具有降低的高度的多個間隙壁144a與圖案化的硬罩幕層132c。在間隙壁144與圖案化的硬罩幕層132a的研磨製程期間,部分間隙壁134a、部分間隙壁134b、部分間隙壁136a、部分間隙壁136b以及部分介電層136c被研磨。在一些實施例中,在進行間隙壁144與圖案化的硬罩幕層132a的研磨製程之前,可塗佈用於研磨製程的底部層(未示出),以覆蓋研磨間隙壁144與圖案化的硬罩幕層132a之 前的半導體基底100上的結構。並且,可在間隙壁144與圖案化的硬罩幕層132a的研磨之後,移除底部層(未示出)。在進行間隙壁144與圖案化的硬罩幕層132a的研磨製程之後,可形成虛設層148a以覆蓋半導體基底100的第一區100A與第二區100B。在一些實施例中,虛設層148a可包括襯氧化物層及堆疊於襯氧化物層上的虛設多晶矽層。虛設層148a可藉由依次沉積襯氧化物層與多晶矽層,並回蝕刻多晶矽層,以在襯氧化物層上形成虛設多晶矽層而形成。虛設層148a的材料及配置僅用於說明,且本揭露不限於此。 Referring to FIGS. 18 and 19, a grinding process (for example, a CMP process) is performed on the spacer 144 and the patterned hard mask layer 132a, so that a plurality of spacers 144a and a patterned hard mask having a reduced height are formed Layer 132c. During the grinding process of the spacer 144 and the patterned hard mask layer 132a, part of the spacer 134a, part of the spacer 134b, part of the spacer 136a, part of the spacer 136b, and part of the dielectric layer 136c are grinded. In some embodiments, before the grinding process of the spacer 144 and the patterned hard mask layer 132a, a bottom layer (not shown) for the grinding process may be coated to cover the grinding spacer 144 and the patterning Of the hard cover curtain layer 132a The structure on the front semiconductor substrate 100. Also, the bottom layer (not shown) may be removed after the grinding of the spacer 144 and the patterned hard mask layer 132a. After the grinding process of the spacer 144 and the patterned hard mask layer 132a, a dummy layer 148a may be formed to cover the first region 100A and the second region 100B of the semiconductor substrate 100. In some embodiments, the dummy layer 148a may include a liner oxide layer and a dummy polysilicon layer stacked on the liner oxide layer. The dummy layer 148a can be formed by sequentially depositing a liner oxide layer and a polysilicon layer, and etching back the polysilicon layer to form a dummy polysilicon layer on the liner oxide layer. The material and configuration of the dummy layer 148a are for illustration only, and the disclosure is not limited thereto.

參照圖20與圖21,形成圖案化的光阻層146以覆蓋部分虛設層148a。接著,例如藉由微影與蝕刻製程將虛設層148a、圖案化的介電層128a、圖案化的導電層130a以及圖案化的硬罩幕層132c圖案化,使得在半導體基底100的第一區100A上形成虛設層148a1、圖案化的介電層128c、圖案化的導電層130c以及圖案化的硬罩幕層132d。在一些實施例中,圖案化的導電層130c與圖案化的硬罩幕層132d可為環形結構。在虛設層148a1、圖案化的介電層128c、圖案化的導電層130c以及圖案化的硬罩幕層132d形成之後,可藉由例如灰化製程或其他合適的製程來移除圖案化的光阻層146。在移除圖案化的光阻層146之後,可在半導體基底100的第一區100A及第二區100B上形成虛設層148b。在一些實施例中,虛設層148b可包括虛設多晶矽層。虛設層148b的材料與配置僅用於說明,且本揭露不限於此。 20 and 21, a patterned photoresist layer 146 is formed to cover part of the dummy layer 148a. Next, for example, the dummy layer 148a, the patterned dielectric layer 128a, the patterned conductive layer 130a, and the patterned hard mask layer 132c are patterned by a lithography and etching process so that the first region of the semiconductor substrate 100 A dummy layer 148a1, a patterned dielectric layer 128c, a patterned conductive layer 130c, and a patterned hard mask layer 132d are formed on 100A. In some embodiments, the patterned conductive layer 130c and the patterned hard mask layer 132d may have a ring structure. After the dummy layer 148a1, the patterned dielectric layer 128c, the patterned conductive layer 130c, and the patterned hard mask layer 132d are formed, the patterned light can be removed by, for example, an ashing process or other suitable processes Ohmic layer 146. After removing the patterned photoresist layer 146, a dummy layer 148b may be formed on the first region 100A and the second region 100B of the semiconductor substrate 100. In some embodiments, the dummy layer 148b may include a dummy polysilicon layer. The material and configuration of the dummy layer 148b are for illustration only, and the disclosure is not limited thereto.

參照圖21與圖22,在形成虛設層148b之後,部分地移除虛設層148a1與虛設層148b,直到圖案化的硬罩幕層106a、第 一溝渠隔離110a及第二溝渠隔離110b暴露出來,使得形成了圖案化的虛設層148。如圖22所示,移除未被圖案化的虛設層148覆蓋的圖案化的硬罩幕層106a及墊層102,直到暴露出半導體基底100的半導體部分112。 21 and 22, after forming the dummy layer 148b, the dummy layer 148a1 and the dummy layer 148b are partially removed until the patterned hard mask curtain layer 106a, the first A trench isolation 110a and a second trench isolation 110b are exposed, so that a patterned dummy layer 148 is formed. As shown in FIG. 22, the patterned hard mask layer 106a and the pad layer 102 that are not covered by the patterned dummy layer 148 are removed until the semiconductor portion 112 of the semiconductor substrate 100 is exposed.

參照圖22與圖23,在移除圖案化的硬罩幕層106a及墊層102之後,部分第一溝渠隔離110a及第二溝渠隔離110b被圖案化的虛設層148暴露出。所述部分第一溝渠隔離110a及第二溝渠隔離110b被部分地移除並平坦化,使得第一溝渠隔離110a的頂面、第二溝渠隔離110b的頂面及半導體部分112的頂面大體上位於同一水平。在一些實施例中,第一溝渠隔離110a及第二溝渠隔離110b的部分移除可藉由例如蝕刻製程來進行。 Referring to FIGS. 22 and 23, after removing the patterned hard mask layer 106 a and the pad layer 102, part of the first trench isolation 110 a and the second trench isolation 110 b are exposed by the patterned dummy layer 148. The portions of the first trench isolation 110a and the second trench isolation 110b are partially removed and planarized so that the top surface of the first trench isolation 110a, the top surface of the second trench isolation 110b, and the top surface of the semiconductor portion 112 are substantially Located on the same level. In some embodiments, partial removal of the first trench isolation 110a and the second trench isolation 110b may be performed by, for example, an etching process.

參照圖23與圖24,形成介電層150,以覆蓋圖案化的虛設層148、第一溝渠隔離110a、嵌入第二區100B的虛設區100B1中的第二溝渠隔離110b、半導體部分112以及第二區100B的周邊電路區100B2。虛設區100B1位於周邊電路區100B2與第一區100A之間。介電層150可包括第一部分150a與第二部分150b。第一部分150a不僅覆蓋圖案化的虛設層148、第一溝渠隔離110a及半導體部分112,而且還部分覆蓋第二溝渠隔離110b。第二部分150b不僅部分覆蓋第二溝渠隔離110b,而且還覆蓋虛設區100B1。如圖24所示,第二溝渠隔離110b的一部分(例如,左邊部分)被第一部分150a覆蓋,且第二溝渠隔離110b的另一部分(例如,右邊部分)被第二部分150b覆蓋。第一部分150a比第二部分150b厚,且厚度差的範圍例如是約10埃至約500埃。第 一部分150a與第二部分150b之間的厚度差僅用於說明,且本揭露不限於此。 23 and 24, a dielectric layer 150 is formed to cover the patterned dummy layer 148, the first trench isolation 110a, the second trench isolation 110b embedded in the dummy region 100B1 of the second region 100B, the semiconductor portion 112, and the first The peripheral circuit area 100B2 of the second area 100B. The dummy area 100B1 is located between the peripheral circuit area 100B2 and the first area 100A. The dielectric layer 150 may include a first portion 150a and a second portion 150b. The first portion 150a not only covers the patterned dummy layer 148, the first trench isolation 110a and the semiconductor portion 112, but also partially covers the second trench isolation 110b. The second portion 150b not only partially covers the second trench isolation 110b, but also covers the dummy area 100B1. As shown in FIG. 24, a part (eg, the left part) of the second trench isolation 110b is covered by the first part 150a, and another part (eg, the right part) of the second trench isolation 110b is covered by the second part 150b. The first portion 150a is thicker than the second portion 150b, and the thickness difference ranges from about 10 angstroms to about 500 angstroms, for example. First The thickness difference between the part 150a and the second part 150b is for illustration only, and the disclosure is not limited thereto.

如圖36A與圖36B所示,在一些實施例中,包括第一部分150a與第二部分150b的介電層150可由以下製程形成。首先,例如藉由沉積製程(例如,化學氣相沉積或其類似製程)形成介電材料層150M(如圖36A所示),以覆蓋圖23所示的所得結構,並藉由微影製程在介電材料層150M上形成圖案化的光阻層PR。舉例來說,介電材料層150M的材料包括氧化物、氮化物、氮氧化物、其組合或其類似物。藉由使用圖案化的光阻層PR作為罩幕,可藉由蝕刻製程或其他合適的圖案化製程來移除未被圖案化的光阻層PR覆蓋的部分介電材料層150M。在形成介電層150之後,移除圖案化的光阻層PR。如圖36B所示,第一區100A的邊界B1至第一部分150a的外邊界B2之間的距離D的範圍可為約0.1微米至約50微米。當第一區100A的邊界B1至第一部分150a的外邊界B2之間的距離D大於約0.1微米時,有足夠的空間用於形成第一虛設環DR1(如圖29至圖32所示),使得第一虛設環DR1(圖29至圖32所示)具有足夠的強度來阻止CMP凹陷(dishing)的擴展。 As shown in FIGS. 36A and 36B, in some embodiments, the dielectric layer 150 including the first portion 150a and the second portion 150b may be formed by the following process. First, for example, a dielectric material layer 150M (as shown in FIG. 36A) is formed by a deposition process (for example, chemical vapor deposition or the like) to cover the resulting structure shown in FIG. 23, and by a lithography process in A patterned photoresist layer PR is formed on the dielectric material layer 150M. For example, the material of the dielectric material layer 150M includes oxide, nitride, oxynitride, a combination thereof, or the like. By using the patterned photoresist layer PR as a mask, a portion of the dielectric material layer 150M not covered by the patterned photoresist layer PR can be removed by an etching process or other suitable patterning process. After the dielectric layer 150 is formed, the patterned photoresist layer PR is removed. As shown in FIG. 36B, the distance D between the boundary B1 of the first region 100A and the outer boundary B2 of the first portion 150a may range from about 0.1 microns to about 50 microns. When the distance D between the boundary B1 of the first region 100A and the outer boundary B2 of the first portion 150a is greater than about 0.1 microns, there is sufficient space for forming the first dummy ring DR1 (as shown in FIGS. 29 to 32), The first dummy ring DR1 (shown in FIGS. 29 to 32) is made strong enough to prevent the expansion of CMP fishing.

如圖37A與圖37B所示,在一些替代實施例中,包括第一部分150a與第二部分150b的介電層150可由如下製程形成。首先,例如藉由沉積製程(例如,化學氣相沉積或其類似製程)形成介電材料層150M(如圖36A所示),以覆蓋圖23所示的所得結構,並藉由微影製程在介電材料層150M上形成圖案化的光阻層PR。藉由使用圖案化的光阻層PR作為罩幕,可藉由蝕刻製程 或其他合適的圖案化製程來移除未被圖案化的光阻層PR覆蓋的介電材料層150M,使得第二溝渠隔離110b的一部分(例如,左邊部分)被第一部分150a覆蓋,且第二溝渠隔離110b的另一部分(例如,右邊部分)被暴露出來。在形成第一部分150a之後,可藉由選擇性生長製程僅在周邊電路區100B2上形成第二部分150b(即,第二部分150b不覆蓋第二溝渠隔離110b)。在形成第一部分150a之後或在形成第二部分150b之後,移除圖案化的光阻層PR。如圖37B與圖37C所示,第一區100A的邊界B1至第一部分150a的外邊界B2之間的距離D的範圍可為約0.1微米至約50微米。當第一區100A的邊界B1至第一部分150a的外邊界B2之間的距離D大於約0.1微米時,有足夠的空間用於形成第一虛設環DR1(如圖29至圖32所示),使得第一虛設環DR1(如圖29至圖32所示)可具有足夠的強度來阻止CMP凹陷的擴展。 As shown in FIGS. 37A and 37B, in some alternative embodiments, the dielectric layer 150 including the first portion 150a and the second portion 150b may be formed as follows. First, for example, a dielectric material layer 150M (as shown in FIG. 36A) is formed by a deposition process (for example, chemical vapor deposition or the like) to cover the resulting structure shown in FIG. 23, and by a lithography process in A patterned photoresist layer PR is formed on the dielectric material layer 150M. By using the patterned photoresist layer PR as a mask, the etching process can be used Or another suitable patterning process to remove the dielectric material layer 150M not covered by the patterned photoresist layer PR, so that a portion (eg, the left portion) of the second trench isolation 110b is covered by the first portion 150a, and the second Another part (for example, the right part) of the trench isolation 110b is exposed. After the first portion 150a is formed, the second portion 150b can be formed only on the peripheral circuit area 100B2 by the selective growth process (ie, the second portion 150b does not cover the second trench isolation 110b). After forming the first portion 150a or after forming the second portion 150b, the patterned photoresist layer PR is removed. As shown in FIGS. 37B and 37C, the distance D between the boundary B1 of the first region 100A and the outer boundary B2 of the first portion 150a may range from about 0.1 microns to about 50 microns. When the distance D between the boundary B1 of the first region 100A and the outer boundary B2 of the first portion 150a is greater than about 0.1 microns, there is enough space for forming the first dummy ring DR1 (as shown in FIGS. 29 to 32), The first dummy ring DR1 (as shown in FIGS. 29 to 32) can have sufficient strength to prevent the expansion of the CMP recess.

在一些實施例中,未使用圖37C所示的製程。換句話說,在一些實施例中,沒有使用在周邊電路區100B2上的第二部分150b的形成。 In some embodiments, the process shown in FIG. 37C is not used. In other words, in some embodiments, the formation of the second portion 150b on the peripheral circuit area 100B2 is not used.

參照圖24與圖25,在形成介電層150之後,在周邊電路區100B2上形成多個閘極電極152(例如,多晶矽閘極電極)以及設置於多個閘極電極152上的多個介電頂蓋154。閘極電極152的材料僅用於說明,且本揭露不限於此。在一些實施例中,在形成閘極電極152與介電頂蓋154時,可形成多個虛設圖案156、虛設圖案158、虛設圖案160、虛設圖案162、虛設圖案164及虛設圖案166。虛設圖案156、虛設圖案160及虛設圖案164的材料可與閘極電極152的材料相同,而虛設圖案158、虛設圖案162 及虛設圖案166的材料可與介電頂蓋154的材料相同。虛設圖案156及設置於虛設圖案156上的虛設圖案158形成於第二部分150b上且位於虛設區100B1上方。虛設圖案160及設置於虛設圖案160上的虛設圖案162形成於第一部分150a上且位於虛設區100B1上方。虛設圖案164及設置於虛設圖案164上的虛設圖案166形成於第一部分150a上且位於第一區100A的上方。由於第一部分150a與第二部分150b之間的厚度差,虛設圖案162與虛設圖案166的頂面高於虛設圖案158的頂面與介電頂蓋154的頂面。舉例來說,虛設圖案156與虛設圖案158是點狀(dot-shaped)虛設圖案,而虛設圖案160、虛設圖案162、虛設圖案164及虛設圖案166是環形(ring-shaped)虛設圖案。點狀虛設圖案156與點狀虛設圖案158可隨機地(如圖34與圖35所示)或規律地分佈在第二溝渠隔離110b上方。 24 and 25, after the dielectric layer 150 is formed, a plurality of gate electrodes 152 (eg, polysilicon gate electrodes) and a plurality of dielectrics provided on the plurality of gate electrodes 152 are formed on the peripheral circuit area 100B2电顶盖154. The material of the gate electrode 152 is for illustration only, and the disclosure is not limited thereto. In some embodiments, when forming the gate electrode 152 and the dielectric cap 154, a plurality of dummy patterns 156, dummy patterns 158, dummy patterns 160, dummy patterns 162, dummy patterns 164, and dummy patterns 166 may be formed. The material of the dummy pattern 156, the dummy pattern 160, and the dummy pattern 164 may be the same as the material of the gate electrode 152, and the dummy pattern 158, the dummy pattern 162 The material of the dummy pattern 166 may be the same as the material of the dielectric cap 154. The dummy pattern 156 and the dummy pattern 158 disposed on the dummy pattern 156 are formed on the second portion 150b and are located above the dummy area 100B1. The dummy pattern 160 and the dummy pattern 162 provided on the dummy pattern 160 are formed on the first portion 150a and are located above the dummy area 100B1. The dummy pattern 164 and the dummy pattern 166 provided on the dummy pattern 164 are formed on the first portion 150a and above the first area 100A. Due to the thickness difference between the first portion 150a and the second portion 150b, the top surfaces of the dummy pattern 162 and the dummy pattern 166 are higher than the top surfaces of the dummy pattern 158 and the top surface of the dielectric cap 154. For example, the dummy pattern 156 and the dummy pattern 158 are dot-shaped dummy patterns, and the dummy pattern 160, the dummy pattern 162, the dummy pattern 164, and the dummy pattern 166 are ring-shaped dummy patterns. The dot-shaped dummy patterns 156 and the dot-shaped dummy patterns 158 may be randomly (as shown in FIGS. 34 and 35) or regularly distributed above the second trench isolation 110b.

在一些實施例中,可根據設計要求省略虛設圖案164與虛設圖案166的製造。在一些替代實施例中,可根據設計要求省略虛設圖案156與虛設圖案158的製造。在一些替代實施例中,可根據設計要求省略虛設圖案156、虛設圖案158、虛設圖案164及虛設圖案166的製造。 In some embodiments, the manufacture of the dummy pattern 164 and the dummy pattern 166 may be omitted according to design requirements. In some alternative embodiments, the manufacture of the dummy pattern 156 and the dummy pattern 158 may be omitted according to design requirements. In some alternative embodiments, the manufacture of the dummy pattern 156, the dummy pattern 158, the dummy pattern 164, and the dummy pattern 166 may be omitted according to design requirements.

參照圖25與圖26,在形成閘極電極152、介電頂蓋154以及虛設圖案156、虛設圖案158、虛設圖案160、虛設圖案162、虛設圖案164及虛設圖案166之後,可在介電層150上形成圖案化的光阻層168,使得閘極電極152、介電頂蓋154以及虛設圖案156、虛設圖案158、虛設圖案160、虛設圖案162、虛設圖案164及虛設圖案166被圖案化的光阻層168覆蓋。舉例來說,進行微 影與蝕刻製程,以圖案化介電層150並移除圖案化的虛設層148。然後,進行離子植入製程,使得在半導體基底100中形成多個摻雜區170(例如,輕摻雜汲極區)。在一些實施例中,可進一步進行退火製程以退火半導體基底100中的摻雜區170,使得所植入的離子或摻質可以擴散。 25 and 26, after forming the gate electrode 152, the dielectric cap 154 and the dummy pattern 156, the dummy pattern 158, the dummy pattern 160, the dummy pattern 162, the dummy pattern 164 and the dummy pattern 166, the dielectric layer A patterned photoresist layer 168 is formed on 150 so that the gate electrode 152, the dielectric cap 154, and the dummy pattern 156, the dummy pattern 158, the dummy pattern 160, the dummy pattern 162, the dummy pattern 164, and the dummy pattern 166 are patterned The photoresist layer 168 covers. For example, conduct micro In the shadow and etching process, the dielectric layer 150 is patterned and the patterned dummy layer 148 is removed. Then, an ion implantation process is performed, so that a plurality of doped regions 170 (eg, lightly doped drain regions) are formed in the semiconductor substrate 100. In some embodiments, an annealing process may be further performed to anneal the doped region 170 in the semiconductor substrate 100 so that the implanted ions or dopants may diffuse.

在一些實施例中,在形成摻雜區170之前或之後,可在周邊電路區100B2中形成圖26中未示出的多個輕摻雜區(例如,輕摻雜汲極區)。 In some embodiments, before or after the doped region 170 is formed, a plurality of lightly doped regions (for example, lightly doped drain regions) not shown in FIG. 26 may be formed in the peripheral circuit region 100B2.

參照圖27,在形成摻雜區170之後,在選擇閘極電極142b的側壁上形成多個間隙壁172,並進行離子植入製程,以在半導體基底100中形成多個摻雜區174(例如,汲極區)。在一些實施例中,可進一步進行退火製程,以退火半導體基底100中的摻雜區174,使得所植入的離子或摻質可以擴散。在形成摻雜區174之後,形成記憶體單元陣列M(即,第一元件)。在一些實施例中,記憶體單元陣列M可包括排列成陣列的多個記憶單元。舉例來說,記憶體單元陣列M可以是非揮發性記憶體單元陣列,例如快閃記憶體單元陣列或其類似物。記憶體單元陣列M的類型僅用於說明,且本揭露不限於此。 Referring to FIG. 27, after the doped regions 170 are formed, a plurality of spacers 172 are formed on the sidewalls of the selection gate electrode 142b, and an ion implantation process is performed to form a plurality of doped regions 174 in the semiconductor substrate 100 (e.g. , Jiji District). In some embodiments, an annealing process may be further performed to anneal the doped regions 174 in the semiconductor substrate 100 so that the implanted ions or dopants can diffuse. After the doped region 174 is formed, the memory cell array M (ie, the first element) is formed. In some embodiments, the memory cell array M may include a plurality of memory cells arranged in an array. For example, the memory cell array M may be a non-volatile memory cell array, such as a flash memory cell array or the like. The type of the memory cell array M is for illustration only, and the disclosure is not limited thereto.

如圖27所示,介電層150被圖案化,以形成多個介電圖案150a1、介電圖案150a2、介電圖案150b1及介電圖案150b2。介電圖案150a1設置於第一溝渠隔離110a與虛設圖案164之間,介電圖案150a2設置於第二溝渠隔離110b與虛設圖案160之間,介電圖案150b1設置於半導體基底100與閘極電極152之間,且介電圖案150b2設置於第二溝渠隔離110b與虛設圖案156之間。 舉例來說,介電圖案150a1、介電圖案150a2、介電圖案150b1及介電圖案150b2的材料可包括氧化物、氮化物、氮氧化物及其組合。 As shown in FIG. 27, the dielectric layer 150 is patterned to form a plurality of dielectric patterns 150a1, dielectric patterns 150a2, dielectric patterns 150b1, and dielectric patterns 150b2. The dielectric pattern 150a1 is disposed between the first trench isolation 110a and the dummy pattern 164, the dielectric pattern 150a2 is disposed between the second trench isolation 110b and the dummy pattern 160, and the dielectric pattern 150b1 is disposed between the semiconductor substrate 100 and the gate electrode 152 The dielectric pattern 150b2 is disposed between the second trench isolation 110b and the dummy pattern 156. For example, the materials of the dielectric pattern 150a1, the dielectric pattern 150a2, the dielectric pattern 150b1, and the dielectric pattern 150b2 may include oxide, nitride, oxynitride, and combinations thereof.

在一些實施例中,在閘極電極152的側壁、介電頂蓋154的側壁及介電圖案150b1的側壁上形成多個間隙壁176,而在虛設圖案156、虛設圖案158、虛設圖案160、虛設圖案162、虛設圖案164及虛設圖案166的側壁以及介電圖案150a1、介電圖案150a2及介電圖案150b2的側壁上形成多個間隙壁178。此外,可在形成摻雜區174之前或之後,在周邊電路區100B2中形成圖27中未示出的多個摻雜區(例如,汲極區),使得在周邊電路區100B2上可形成周邊電路P(即,第二元件)。周邊電路P可包括多個邏輯元件(例如,MOS元件,每個MOS元件包括介電圖案150b1、閘極電極152及周邊電路區100B2中的摻雜區)。在一些實施例中,周邊電路P可包括核心元件(core device)、靜態隨機存取記憶體(static random access memory,SRAM)以及輸入/輸出元件。周邊電路P的類型僅用於說明,且本揭露不限於此。 In some embodiments, a plurality of spacers 176 are formed on the sidewall of the gate electrode 152, the sidewall of the dielectric cap 154, and the sidewall of the dielectric pattern 150b1, and the dummy pattern 156, the dummy pattern 158, the dummy pattern 160, A plurality of spacers 178 are formed on the sidewalls of the dummy pattern 162, the dummy pattern 164, and the dummy pattern 166 and the sidewalls of the dielectric pattern 150a1, the dielectric pattern 150a2, and the dielectric pattern 150b2. In addition, a plurality of doped regions (for example, drain regions) not shown in FIG. 27 may be formed in the peripheral circuit region 100B2 before or after the doped region 174 is formed, so that the periphery may be formed on the peripheral circuit region 100B2 Circuit P (ie, the second element). The peripheral circuit P may include a plurality of logic elements (for example, MOS elements, each of which includes a dielectric pattern 150b1, a gate electrode 152, and a doped area in the peripheral circuit area 100B2). In some embodiments, the peripheral circuit P may include a core device, a static random access memory (SRAM), and input/output devices. The type of peripheral circuit P is for illustration only, and the disclosure is not limited thereto.

參照圖27與圖28,例如進行回蝕刻製程,以移除介電頂蓋154、虛設圖案158、虛設圖案162及虛設圖案166、間隙壁144a、硬罩幕圖案132b及圖案化的硬罩幕層132d。在上述回蝕刻製程期間,介電層136c與間隙壁134a、間隙壁134b、間隙壁136a、間隙壁136b、間隙壁172、間隙壁176及間隙壁178被部分地移除且其高度降低。在進行回蝕刻製程之後,圖案化的導電層130c、記憶體單元陣列M、第一虛設環DR1、第二虛設環DR2、多個虛設點圖案(dummy dot pattern)DP以及周邊電路P暴露出來。第 一虛設環DR1的頂面與第二虛設環DR2的頂面例如是大體上平坦的表面。第一虛設環DR1與第二虛設環DR2設置在記憶體單元陣列M與虛設點圖案DP之間。第二虛設環DR2設置在記憶體單元陣列M與第一虛設環DR1之間。由於第二虛設環DR2設置在記憶體單元陣列M與第一虛設環DR1之間,因此第二虛設環DR2是內虛設環,且第一虛設環DR1是外虛設環。 Referring to FIGS. 27 and 28, for example, an etch-back process is performed to remove the dielectric cap 154, the dummy pattern 158, the dummy pattern 162 and the dummy pattern 166, the spacer 144a, the hard mask pattern 132b, and the patterned hard mask Layer 132d. During the above etch-back process, the dielectric layer 136c and the spacer 134a, the spacer 134b, the spacer 136a, the spacer 136b, the spacer 172, the spacer 176, and the spacer 178 are partially removed and their heights are reduced. After the etch-back process, the patterned conductive layer 130c, the memory cell array M, the first dummy ring DR1, the second dummy ring DR2, a plurality of dummy dot patterns DP and the peripheral circuit P are exposed. First The top surface of one dummy ring DR1 and the top surface of the second dummy ring DR2 are, for example, substantially flat surfaces. The first dummy ring DR1 and the second dummy ring DR2 are disposed between the memory cell array M and the dummy dot pattern DP. The second dummy ring DR2 is disposed between the memory cell array M and the first dummy ring DR1. Since the second dummy ring DR2 is disposed between the memory cell array M and the first dummy ring DR1, the second dummy ring DR2 is an inner dummy ring, and the first dummy ring DR1 is an outer dummy ring.

在一些實施例中,第一虛設環DR1、第二虛設環DR2及虛設點圖案DP是電性浮置的,這是因為第一虛設環DR1與虛設點圖案DP形成於第二溝渠隔離110b上,且第二虛設環DR2形成於第一溝渠隔離110a上。換句話說,第一虛設環DR1、第二虛設環DR2及虛設點圖案DP彼此電絕緣。此外,第一虛設環DR1、第二虛設環DR2以及虛設點圖案DP與其他半導體元件(例如,記憶體單元陣列M及周邊電路P)電絕緣。 In some embodiments, the first dummy ring DR1, the second dummy ring DR2, and the dummy dot pattern DP are electrically floating, because the first dummy ring DR1 and the dummy dot pattern DP are formed on the second trench isolation 110b And the second dummy ring DR2 is formed on the first trench isolation 110a. In other words, the first dummy ring DR1, the second dummy ring DR2, and the dummy dot pattern DP are electrically insulated from each other. In addition, the first dummy ring DR1, the second dummy ring DR2, and the dummy dot pattern DP are electrically insulated from other semiconductor elements (for example, the memory cell array M and the peripheral circuit P).

如圖28所示,在一些實施例中,圖案化的導電層130c可以是環形結構,且記憶體單元陣列M被圖案化的導電層130c環繞。第一虛設環DR1設置於虛設區100B1上,且第一虛設環DR1位於第二虛設環DR2與虛設點圖案DP之間。第一虛設環DR1可以是包括介電圖案150a2、虛設圖案160(例如多晶矽圖案)及間隙壁178的膜堆疊,其中虛設圖案160堆疊於介電圖案150a2上,且間隙壁178覆蓋介電圖案150a2的側壁及虛設圖案160的側壁。第二虛設環DR2可以是包括介電圖案150a1、虛設圖案164(例如多晶矽圖案)以及間隙壁178的膜堆疊,其中虛設圖案164堆疊於介電圖案150a1上,且間隙壁178覆蓋介電圖案150a1的側壁及虛設圖案164的側壁。每個虛設點圖案DP可以是包括介電 圖案150b2、虛設圖案156(例如多晶矽圖案)以及間隙壁178的膜堆疊,其中虛設圖案156堆疊於介電圖案150b2上,且間隙壁178覆蓋介電圖案150b2的側壁及虛設圖案156的側壁。舉例來說,介電圖案150a1、介電圖案150a2及介電圖案150b2的材料可包括氧化物,氮化物,氮氧化物及其組合。間隙壁178的材料可包括氧化物、氮化物、氮氧化物及其組合。介電圖案150a1、介電圖案150a2及介電圖案150b2的材料、虛設圖案156、虛設圖案160及虛設圖案164的材料以及間隙壁178的材料僅用於說明,且本揭露不限於此。 As shown in FIG. 28, in some embodiments, the patterned conductive layer 130c may be a ring structure, and the memory cell array M is surrounded by the patterned conductive layer 130c. The first dummy ring DR1 is disposed on the dummy area 100B1, and the first dummy ring DR1 is located between the second dummy ring DR2 and the dummy dot pattern DP. The first dummy ring DR1 may be a film stack including a dielectric pattern 150a2, a dummy pattern 160 (such as a polysilicon pattern), and a spacer 178, wherein the dummy pattern 160 is stacked on the dielectric pattern 150a2, and the spacer 178 covers the dielectric pattern 150a2 And the side wall of the dummy pattern 160. The second dummy ring DR2 may be a film stack including a dielectric pattern 150a1, a dummy pattern 164 (such as a polysilicon pattern), and a spacer 178, wherein the dummy pattern 164 is stacked on the dielectric pattern 150a1, and the spacer 178 covers the dielectric pattern 150a1 And the side wall of the dummy pattern 164. Each dummy dot pattern DP may include a dielectric A film stack of the pattern 150b2, the dummy pattern 156 (for example, polysilicon pattern), and the spacer 178, wherein the dummy pattern 156 is stacked on the dielectric pattern 150b2, and the spacer 178 covers the sidewall of the dielectric pattern 150b2 and the sidewall of the dummy pattern 156. For example, the materials of the dielectric pattern 150a1, the dielectric pattern 150a2, and the dielectric pattern 150b2 may include oxide, nitride, oxynitride, and combinations thereof. The material of the spacer 178 may include oxide, nitride, oxynitride, and combinations thereof. The materials of the dielectric pattern 150a1, the dielectric pattern 150a2 and the dielectric pattern 150b2, the material of the dummy pattern 156, the dummy pattern 160 and the dummy pattern 164, and the material of the spacer 178 are for illustration only, and the disclosure is not limited thereto.

如圖28、圖34及圖35所示,記憶體單元陣列M被圖案化的導電層130c環繞。記憶體單元陣列M與周邊電路P被第一溝渠隔離110a及第二溝渠隔離110b間隔開。第一虛設環DR1環繞記憶體單元陣列M。記憶體單元陣列M的第一高度H1(例如,第一閘極高度)大於周邊電路P的第二高度H2(例如,第二閘極高度)、第一虛設環DR1的第一厚度TH1以及第二虛設環DR2的第二厚度TH2。第一厚度TH1與第二厚度TH2大體上彼此相等且大於第二高度H2。換句話說,記憶體單元陣列M的頂面高於周邊電路P的頂面,且記憶體單元陣列M的頂面可略高於或大體上等於第一虛設環DR1的頂面及第二虛設環DR2的頂面。此外,由於介電圖案150a1與介電圖案150a2比介電圖案150b1與介電圖案150b2厚,因此第一虛設環DR1的頂面與第二虛設環DR2的頂面高於周邊電路P的頂面與虛設點圖案DP的頂面。在一些實施例中,第一虛設環DR1比虛設點圖案DP厚,且其厚度差的範圍為約10埃至約500埃。 As shown in FIGS. 28, 34, and 35, the memory cell array M is surrounded by the patterned conductive layer 130c. The memory cell array M and the peripheral circuit P are separated by a first trench isolation 110a and a second trench isolation 110b. The first dummy ring DR1 surrounds the memory cell array M. The first height H1 (for example, the first gate height) of the memory cell array M is greater than the second height H2 (for example, the second gate height) of the peripheral circuit P, the first thickness TH1 and the first thickness of the first dummy ring DR1 The second thickness TH2 of the two dummy rings DR2. The first thickness TH1 and the second thickness TH2 are substantially equal to each other and greater than the second height H2. In other words, the top surface of the memory cell array M is higher than the top surface of the peripheral circuit P, and the top surface of the memory cell array M may be slightly higher or substantially equal to the top surface of the first dummy ring DR1 and the second dummy The top surface of the ring DR2. In addition, since the dielectric patterns 150a1 and 150a2 are thicker than the dielectric patterns 150b1 and 150b2, the top surfaces of the first dummy ring DR1 and the second dummy ring DR2 are higher than the top surface of the peripheral circuit P Top surface with dummy dot pattern DP. In some embodiments, the first dummy ring DR1 is thicker than the dummy dot pattern DP, and the thickness difference ranges from about 10 angstroms to about 500 angstroms.

半導體基底100的表面S1與表面S2之間的水平高度差可減小形成在第一區100A上的記憶體單元陣列M與形成在周邊電路區100B2上的周邊電路P之間的閘極高度差。 The level difference between the surface S1 and the surface S2 of the semiconductor substrate 100 can reduce the gate height difference between the memory cell array M formed on the first area 100A and the peripheral circuit P formed on the peripheral circuit area 100B2 .

參照圖28與圖29,在半導體基底100上形成停止層(或稱為蝕刻停止層)180,以覆蓋記憶體單元陣列M、圖案化的導電層130c、第一虛設環DR1、第二虛設環DR2、虛設點圖案DP及周邊電路P。接著,在蝕刻停止層180上形成層間介電層(inter-layered dielectric layer,ILD)182。在一些實施例中,蝕刻停止層180的材料可包括氧化矽、氮化矽(SiN)或氮氧化矽(SiON),且層間介電層182的材料可包括磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)或其類似物。蝕刻停止層180與層間介電層182的材料僅用於說明,且本揭露不限於此。 28 and 29, a stop layer (or etch stop layer) 180 is formed on the semiconductor substrate 100 to cover the memory cell array M, the patterned conductive layer 130c, the first dummy ring DR1, and the second dummy ring DR2, dummy dot pattern DP and peripheral circuit P. Next, an inter-layered dielectric layer (ILD) 182 is formed on the etch stop layer 180. In some embodiments, the material of the etch stop layer 180 may include silicon oxide, silicon nitride (SiN), or silicon oxynitride (SiON), and the material of the interlayer dielectric layer 182 may include phosphorosilicate glass (PSG) , Borophosphosilicate glass (BPSG) or the like. The materials of the etch stop layer 180 and the interlayer dielectric layer 182 are for illustration only, and the disclosure is not limited thereto.

參照圖29與圖30,對層間介電層182進行ILD研磨製程(例如,CMP製程),直到暴露出部分停止層180。在一些實施例中,在進行ILD研磨製程之後,覆蓋第一虛設環DR1的頂面、第二虛設環DR2的頂面、圖案化的導電層130c的頂面及記憶體單元陣列M的部分停止層180可暴露出來。在進行層間介電層182的研磨製程之後,形成經研磨的層間介電層182a,且在虛設點圖案DP及周邊電路P上方的區域內可能發生CMP凹陷。如圖30所示,產生由CMP凹陷導致的傾斜表面IS1。分佈在第二區100B上的第一虛設環DR1有助於控制CMP凹陷的擴展。舉例來說,由ILD研磨製程導致的傾斜表面IS1可被控制在第二區100B中。換句話說,CMP凹陷的擴展可被第一虛設環DR1控制,使得在進 行ILD研磨製程之後CMP凹陷可不擴展至第一區100A中。在省略了分佈於第二區100B上的第一虛設環DR1的情況下,在進行ILD研磨製程之後CMP凹陷可能會擴展到第一區100A中。 Referring to FIGS. 29 and 30, an ILD polishing process (for example, a CMP process) is performed on the interlayer dielectric layer 182 until a part of the stop layer 180 is exposed. In some embodiments, after performing the ILD polishing process, the top surface of the first dummy ring DR1, the top surface of the second dummy ring DR2, the top surface of the patterned conductive layer 130c, and the memory cell array M are partially stopped Layer 180 may be exposed. After the polishing process of the interlayer dielectric layer 182, the polished interlayer dielectric layer 182a is formed, and CMP recession may occur in the area above the dummy dot pattern DP and the peripheral circuit P. As shown in FIG. 30, the inclined surface IS1 caused by the CMP depression is generated. The first dummy ring DR1 distributed on the second region 100B helps control the expansion of the CMP recess. For example, the inclined surface IS1 caused by the ILD grinding process can be controlled in the second region 100B. In other words, the expansion of the CMP recess can be controlled by the first dummy ring DR1, so that After performing the ILD polishing process, the CMP recess may not be extended into the first region 100A. In the case where the first dummy ring DR1 distributed on the second region 100B is omitted, the CMP recess may expand into the first region 100A after performing the ILD polishing process.

參照圖31,對停止層180進行停止層研磨製程(例如,CMP製程),直到記憶體單元陣列M的頂面、圖案化的導電層130c的頂面、第一虛設環DR1的頂面、第二虛設環DR2的頂面、虛設點圖案DP的頂面及周邊電路P的頂面暴露出來。在進行停止層180的研磨製程之後,形成經研磨並圖案化的停止層180a,且在半導體部分112、第一虛設環DR1、虛設點圖案DP以及周邊電路P上方的區域內可能發生CMP凹陷。如圖31所示,產生由CMP凹陷所導致的另一傾斜表面IS2。換句話說,與圖30相比,CMP凹陷擴展。 Referring to FIG. 31, a stop layer polishing process (eg, a CMP process) is performed on the stop layer 180 until the top surface of the memory cell array M, the top surface of the patterned conductive layer 130c, the top surface of the first dummy ring DR1, the first The top surface of the second dummy ring DR2, the top surface of the dummy dot pattern DP and the top surface of the peripheral circuit P are exposed. After the polishing process of the stop layer 180 is performed, a polished and patterned stop layer 180a is formed, and CMP recession may occur in the area above the semiconductor portion 112, the first dummy ring DR1, the dummy dot pattern DP, and the peripheral circuit P. As shown in FIG. 31, another inclined surface IS2 caused by the CMP recess is generated. In other words, compared with FIG. 30, the CMP recess is expanded.

如圖31所示,在停止層180的研磨期間,由於第一虛設環DR1比虛設點圖案DP及周邊電路P厚,因此第一虛設環DR1可阻止由停止層研磨所導致的CMP凹陷的擴展,且凹陷的擴展可得到控制。在進行ILD研磨與停止層研磨之後,記憶體單元陣列M不受CMP凹陷現象的影響。在省略了分佈於第二區100B上的第一虛設環DR1的情況下,CMP凹陷可能在進行停止層180的研磨之後進一步擴展到第一區100A中。 As shown in FIG. 31, during the polishing of the stop layer 180, since the first dummy ring DR1 is thicker than the dummy dot pattern DP and the peripheral circuit P, the first dummy ring DR1 can prevent the expansion of the CMP depression caused by the stop layer polishing , And the expansion of the depression can be controlled. After performing ILD polishing and stop layer polishing, the memory cell array M is not affected by the CMP recess phenomenon. In the case where the first dummy ring DR1 distributed on the second region 100B is omitted, the CMP recess may further expand into the first region 100A after the stop layer 180 is polished.

參照圖31與圖32,在一些實施例中,可進行閘極取代製程,以使用金屬閘極電極MG取代閘極電極152。在一些替代實施例中,可進行閘極取代製程,以分別使用金屬閘極電極MG與金屬圖案取代閘極電極152與虛設圖案156。在閘極取代製程期間,進行金屬閘極研磨(例如,CMP製程),且經研磨的層間介 電層182a被進一步研磨。在進行金屬閘極電極MG的研磨製程之後,在圖案化的導電層130c、半導體部分112、第一虛設環DR1、虛設點圖案DP及周邊電路P上方的區域內可能發生CMP凹陷。如圖32所示,產生由CMP凹陷所導致的傾斜表面IS3。換句話說,CMP凹陷進一步擴展。 Referring to FIGS. 31 and 32, in some embodiments, a gate replacement process may be performed to replace the gate electrode 152 with a metal gate electrode MG. In some alternative embodiments, a gate replacement process may be performed to replace the gate electrode 152 and the dummy pattern 156 with the metal gate electrode MG and the metal pattern, respectively. During the gate replacement process, metal gate polishing (eg, CMP process) is performed, and the polished interlayer The electric layer 182a is further ground. After the polishing process of the metal gate electrode MG, CMP recession may occur in the area above the patterned conductive layer 130c, the semiconductor portion 112, the first dummy ring DR1, the dummy dot pattern DP, and the peripheral circuit P. As shown in FIG. 32, the inclined surface IS3 caused by the CMP recess is generated. In other words, the CMP recess further expands.

在進行ILD研磨、停止層研磨及金屬閘極電極MG的研磨之後,可形成圖案化的介電層(即,經研磨並圖案化的停止層180a與經研磨的層間介電層182a),以覆蓋半導體基底100。記憶體單元陣列M、周邊電路P、第一虛設環DR1及第二虛設環DR2嵌入於圖案化的介電層(即,經研磨並圖案化的停止層180a與經研磨的層間介電層182a)中。如圖31所示,第一虛設環DR1的頂面是傾斜的。此外,位於第一虛設環DR1與第二虛設環DR2之間的部分經研磨的層間介電層182a具有傾斜的頂面。 After performing ILD polishing, stop layer polishing, and metal gate electrode MG polishing, a patterned dielectric layer (ie, the polished and patterned stop layer 180a and the polished interlayer dielectric layer 182a) may be formed to Cover the semiconductor substrate 100. The memory cell array M, the peripheral circuit P, the first dummy ring DR1 and the second dummy ring DR2 are embedded in the patterned dielectric layer (ie, the polished and patterned stop layer 180a and the polished interlayer dielectric layer 182a )in. As shown in FIG. 31, the top surface of the first dummy ring DR1 is inclined. In addition, a portion of the polished interlayer dielectric layer 182a between the first dummy ring DR1 and the second dummy ring DR2 has an inclined top surface.

如圖32所示,在金屬閘極電極MG的研磨期間,由於第一虛設環DR1與第二虛設環DR2比虛設點圖案DP及周邊電路P厚,因此第一虛設環DR1與第二虛設環DR2可阻止由金屬閘極電極MG的研磨所引起的CMP凹陷的進一步擴展,且CMP凹陷的擴展可得到控制。換句話說,在進行停止層180的研磨與金屬閘極電極MG的研磨之後,在第二區100B與第一溝渠隔離110a上方的區域內發生CMP凹陷,且所述凹陷不會擴展到影響記憶體單元陣列M。因此,記憶體單元陣列M不受ILD研磨、停止層研磨及閘極取代製程的影響。記憶體單元陣列M的良率因此增加。在省略了分佈於第二區100B上的第一虛設環DR1的情況下,記 憶體單元陣列M可能受到ILD研磨、停止層研磨及閘極取代製程的影響。 As shown in FIG. 32, during the polishing of the metal gate electrode MG, since the first dummy ring DR1 and the second dummy ring DR2 are thicker than the dummy dot pattern DP and the peripheral circuit P, the first dummy ring DR1 and the second dummy ring DR2 can prevent further expansion of the CMP depression caused by the grinding of the metal gate electrode MG, and the expansion of the CMP depression can be controlled. In other words, after the polishing of the stop layer 180 and the polishing of the metal gate electrode MG, a CMP recess occurs in the area above the second region 100B and the first trench isolation 110a, and the recess does not expand to affect memory Body cell array M. Therefore, the memory cell array M is not affected by ILD polishing, stop layer polishing, and gate replacement processes. The yield of the memory cell array M therefore increases. In the case where the first dummy ring DR1 distributed on the second area 100B is omitted, remember The memory cell array M may be affected by ILD polishing, stop layer polishing, and gate replacement processes.

在進行停止層180的研磨與金屬閘極電極MG的研磨之後,第二虛設環DR2的厚度可大於第一虛設環DR1的厚度。至少一個第一虛設環DR1的厚度可大於虛設點圖案DP的厚度。周邊電路P的高度可大體上等於虛設點圖案DP的厚度。在一些實施例中,第一虛設環DR1的頂面與第二虛設環DR2的頂面可以是傾斜的表面。 After the grinding of the stop layer 180 and the grinding of the metal gate electrode MG, the thickness of the second dummy ring DR2 may be greater than the thickness of the first dummy ring DR1. The thickness of at least one first dummy ring DR1 may be greater than the thickness of the dummy dot pattern DP. The height of the peripheral circuit P may be substantially equal to the thickness of the dummy dot pattern DP. In some embodiments, the top surface of the first dummy ring DR1 and the top surface of the second dummy ring DR2 may be inclined surfaces.

在進行停止層180的研磨與金屬閘極電極MG的研磨之後,記憶體單元陣列M的高度大於周邊電路P的高度、第一虛設環DR1的厚度及第二虛設環DR2的厚度。記憶體單元陣列M的頂面高於周邊電路P的頂面,且記憶體單元陣列M的頂面可高於第一虛設環DR1的頂面及第二虛設環DR2的頂面。此外,第一虛設環DR1的頂面與第二虛設環DR2的頂面高於周邊電路P的頂面與虛設點圖案DP的頂面。 After the polishing of the stop layer 180 and the polishing of the metal gate electrode MG, the height of the memory cell array M is greater than the height of the peripheral circuit P, the thickness of the first dummy ring DR1, and the thickness of the second dummy ring DR2. The top surface of the memory cell array M is higher than the top surface of the peripheral circuit P, and the top surface of the memory cell array M may be higher than the top surface of the first dummy ring DR1 and the second dummy ring DR2. In addition, the top surface of the first dummy ring DR1 and the top surface of the second dummy ring DR2 are higher than the top surface of the peripheral circuit P and the top surface of the dummy dot pattern DP.

如圖26至圖32所示,第一部分150a與第二部分150b(圖26中所示)之間的厚度差導致第一虛設環DR1與虛設點圖案DP之間的厚度差。如圖30至圖32所示,在ILD 182、停止層180以及金屬閘極電極MG的研磨製程中,由於第一虛設環DR1與虛設點圖案DP之間的厚度差異,第一虛設環DR1可用作阻礙器(retarder),以阻止CMP凹陷不受控制的向記憶體單元陣列M擴展。因此,第一虛設環DR1可保護記憶體單元陣列M免受CMP凹陷的損壞。 As shown in FIGS. 26 to 32, the thickness difference between the first portion 150a and the second portion 150b (shown in FIG. 26) causes a thickness difference between the first dummy ring DR1 and the dummy dot pattern DP. As shown in FIGS. 30 to 32, in the polishing process of the ILD 182, the stop layer 180, and the metal gate electrode MG, due to the thickness difference between the first dummy ring DR1 and the dummy dot pattern DP, the first dummy ring DR1 may be It acts as a retarder to prevent the CMP recess from uncontrolled expansion to the memory cell array M. Therefore, the first dummy ring DR1 can protect the memory cell array M from the CMP recess.

圖33是根據本揭露一些實施例的示意性地示出包括排列成陣列的多個積體電路構件的晶圓的上視圖;圖34是根據本揭露一些實施例的示意性地示出圖33中所示的部分X的放大上視圖。 33 is a top view schematically showing a wafer including a plurality of integrated circuit members arranged in an array according to some embodiments of the present disclosure; FIG. 34 is a schematic view showing FIG. 33 according to some embodiments of the present disclosure Enlarged top view of part X shown in.

參照圖32、圖33與圖34,上述半導體結構(圖32中所示)可以是圖33中所示的晶圓,且晶圓可包括排列成陣列的多個積體電路構件200。每個積體電路構件200可包括記憶體單元陣列M、圖案化的導電層130c、第一虛設環DR1(即,外虛設環)、第二虛設環DR2(即,內虛設環)、虛設點圖案DP及周邊電路P。從圖33所示的上視圖,圖案化的導電層130c、第一虛設環DR1、半導體部分112及第二虛設環DR2為環形結構。記憶體單元陣列M被圖案化的導電層130c、第一虛設環DR1、半導體部分112及第二虛設環DR2環繞。圖案化的導電層130c與第二虛設環DR2設置於第一溝渠隔離110a上,且第一虛設環DR1與虛設點圖案DP設置於第二溝渠隔離110b上。虛設點圖案DP分佈在第一虛設環DR1與周邊電路P之間。 Referring to FIGS. 32, 33, and 34, the above semiconductor structure (shown in FIG. 32) may be the wafer shown in FIG. 33, and the wafer may include a plurality of integrated circuit members 200 arranged in an array. Each integrated circuit component 200 may include a memory cell array M, a patterned conductive layer 130c, a first dummy ring DR1 (ie, outer dummy ring), a second dummy ring DR2 (ie, inner dummy ring), and a dummy point Pattern DP and peripheral circuit P. From the top view shown in FIG. 33, the patterned conductive layer 130c, the first dummy ring DR1, the semiconductor portion 112, and the second dummy ring DR2 have a ring structure. The memory cell array M is surrounded by the patterned conductive layer 130c, the first dummy ring DR1, the semiconductor portion 112, and the second dummy ring DR2. The patterned conductive layer 130c and the second dummy ring DR2 are disposed on the first trench isolation 110a, and the first dummy ring DR1 and the dummy dot pattern DP are disposed on the second trench isolation 110b. The dummy dot pattern DP is distributed between the first dummy ring DR1 and the peripheral circuit P.

圖35是根據本揭露一些替代實施例的示意性地示出圖33中所示的部分X的放大上視圖。 FIG. 35 is an enlarged top view schematically showing part X shown in FIG. 33 according to some alternative embodiments of the present disclosure.

參照圖33、圖34與圖35,圖35中所示的積體電路構件200a與圖34中所示的積體電路構件200類似,不同之處在於,在圖35中形成了兩個第一虛設環DR1。第一虛設環DR1的數量在本申請中不受限制。此外,每個第一虛設環DR1的線寬(linewidth)在本申請中不受限制。 Referring to FIGS. 33, 34, and 35, the integrated circuit member 200a shown in FIG. 35 is similar to the integrated circuit member 200 shown in FIG. 34, except that two first Dummy ring DR1. The number of the first dummy ring DR1 is not limited in this application. In addition, the line width of each first dummy ring DR1 is not limited in this application.

在上述實施例中,使用第一元件(例如,記憶體單元陣列M)與第二元件(例如,周邊電路P)之間的至少一個虛設環來最小化由研磨制程產生的副作用。因此,可很好地保護第一元件(例如,記憶體單元陣列M),並可提高製造良率。 In the above embodiment, at least one dummy ring between the first element (for example, the memory cell array M) and the second element (for example, the peripheral circuit P) is used to minimize the side effects caused by the polishing process. Therefore, the first element (for example, the memory cell array M) can be well protected, and the manufacturing yield can be improved.

根據本揭露的一些實施例,提供一種半導體結構,其包括半導體基底與至少一個圖案化的介電層。半導體基底包括半導體部分、至少一個第一元件、至少一個第二元件與至少一個第一虛設環。至少一個第一元件設置於被半導體部分環繞的第一區。至少一個第二元件與至少一個第一虛設環設置於第二區上,且第二區環繞第一區。至少一個圖案化的介電層覆蓋半導體基底。 According to some embodiments of the present disclosure, a semiconductor structure is provided, which includes a semiconductor substrate and at least one patterned dielectric layer. The semiconductor substrate includes a semiconductor portion, at least one first element, at least one second element, and at least one first dummy ring. At least one first element is disposed in the first region surrounded by the semiconductor portion. At least one second element and at least one first dummy ring are disposed on the second area, and the second area surrounds the first area. At least one patterned dielectric layer covers the semiconductor substrate.

在上述半導體結構中,至少一個第一元件的第一高度大於至少一個第二元件的第二高度及至少一個第一虛設環的第一厚度,且第一厚度大於第二高度。 In the above semiconductor structure, the first height of at least one first element is greater than the second height of at least one second element and the first thickness of at least one first dummy ring, and the first thickness is greater than the second height.

在上述半導體結構中,至少一個第一虛設環是電性浮置的。 In the above semiconductor structure, at least one first dummy ring is electrically floating.

在上述半導體結構中,至少一個第一虛設環具有傾斜的頂面。 In the above semiconductor structure, at least one first dummy ring has an inclined top surface.

在上述半導體結構中,更包括至少一個第二虛設環,設置於第一區上,其中至少一個第一虛設環與至少一個第二虛設環環繞至少一個第一元件,且至少一個第二虛設環比至少一個第一虛設環厚。 The above semiconductor structure further includes at least one second dummy ring disposed on the first region, wherein at least one first dummy ring and at least one second dummy ring surround at least one first element, and at least one second dummy ring is At least one first dummy ring thickness.

根據本揭露的一些實施例,提供一種半導體結構,其包括半導體基底與至少一個圖案化的介電層。半導體基底包括主動區與環繞主動區的周邊區、設置於主動區上的至少一個第一元 件、設置於周邊區上的至少一個第二元件以及設置於周邊區上的至少一個第一虛設環。至少一個第一元件與至少一個第二元件被主動區的半導體部分間隔開。至少一個圖案化的介電層設置於半導體基底上。至少一個第一元件、至少一個第二元件及至少一個第一虛設環嵌入於圖案化的介電層中。 According to some embodiments of the present disclosure, a semiconductor structure is provided, which includes a semiconductor substrate and at least one patterned dielectric layer. The semiconductor substrate includes an active area and a peripheral area surrounding the active area, and at least one first element disposed on the active area Pieces, at least one second element disposed on the peripheral area, and at least one first dummy ring disposed on the peripheral area. At least one first element and at least one second element are spaced apart by the semiconductor portion of the active region. At least one patterned dielectric layer is disposed on the semiconductor substrate. At least one first element, at least one second element, and at least one first dummy ring are embedded in the patterned dielectric layer.

在上述半導體結構中,至少一個第一元件的第一高度大於至少一個第二元件的第二高度及至少一個第一虛設環的第一厚度,且第一厚度大於第二高度。 In the above semiconductor structure, the first height of at least one first element is greater than the second height of at least one second element and the first thickness of at least one first dummy ring, and the first thickness is greater than the second height.

在上述半導體結構中,至少一個第一虛設環是電性浮置的。 In the above semiconductor structure, at least one first dummy ring is electrically floating.

在上述半導體結構中,至少一個第一虛設環具有傾斜的頂面。 In the above semiconductor structure, at least one first dummy ring has an inclined top surface.

在上述半導體結構中,更包括至少一個第二虛設環,設置於主動區上,其中至少一個第一虛設環與至少一個第二虛設環環繞至少一個第一元件,且至少一個第二虛設環比至少一個第一虛設環厚。 The above semiconductor structure further includes at least one second dummy ring disposed on the active region, wherein at least one first dummy ring and at least one second dummy ring surround at least one first element, and at least one second dummy ring is more than A first dummy ring is thick.

在上述半導體結構中,半導體基底更包括嵌入於主動區中的第一溝渠隔離以及嵌入於周邊區中的第二溝渠隔離,半導體部分位於第一溝渠隔離與第二溝渠隔離之間,且至少一個第一虛設環設置於第二溝渠隔離上。 In the above semiconductor structure, the semiconductor substrate further includes a first trench isolation embedded in the active area and a second trench isolation embedded in the peripheral area, the semiconductor portion is located between the first trench isolation and the second trench isolation, and at least one The first dummy ring is disposed on the second trench isolation.

在上述半導體結構中,更包括至少一個第二虛設環,設置於第一溝渠隔離上,其中至少一個第一虛設環與至少一個第二虛設環環繞至少一個第一元件,且至少一個第二虛設環比至少一個第一虛設環厚。 The above semiconductor structure further includes at least one second dummy ring disposed on the first trench isolation, wherein at least one first dummy ring and at least one second dummy ring surround at least one first element, and at least one second dummy The ring is thicker than at least one first dummy ring.

根據本揭露的一些實施例,提供一種半導體結構的製造方法,其包括以下步驟。提供具有半導體部分的半導體基底。在被半導體部分環繞的第一區上形成至少一個第一元件。在第二區上形成至少一個第二元件與至少一個第一虛設環,其中第二區環繞第一區,且至少一個第一虛設環環繞至少一個第一元件。在半導體基底上形成至少一個介電層,以覆蓋至少一個第一元件、至少一個第二元件以及至少一個第一虛設環。研磨至少一個介電層,直到至少一個第一元件、至少一個第二元件以及至少一個第一虛設環暴露出來。 According to some embodiments of the present disclosure, a method for manufacturing a semiconductor structure is provided, which includes the following steps. A semiconductor substrate having a semiconductor portion is provided. At least one first element is formed on the first region surrounded by the semiconductor portion. At least one second element and at least one first dummy ring are formed on the second area, wherein the second area surrounds the first area, and at least one first dummy ring surrounds at least one first element. At least one dielectric layer is formed on the semiconductor substrate to cover at least one first element, at least one second element, and at least one first dummy ring. Grind at least one dielectric layer until at least one first element, at least one second element, and at least one first dummy ring are exposed.

在上述半導體結構的製造方法中,其中在研磨至少一個介電層之後,至少一個第一虛設環被部分研磨,使得至少一個第一元件的第一高度大於至少一個第二元件的第二高度以及至少一個第一虛設環的第一厚度,且第一厚度大於第二高度。 In the above method of manufacturing a semiconductor structure, wherein after grinding at least one dielectric layer, at least one first dummy ring is partially ground so that the first height of at least one first element is greater than the second height of at least one second element and The first thickness of the at least one first dummy ring is greater than the second height.

在上述半導體結構的製造方法中,其中在研磨至少一個介電層之前,至少一個第一虛設環包括大體上平坦的頂面,且在研磨至少一個介電層之後,至少一個第一虛設環包括傾斜的頂面。 In the above method of manufacturing a semiconductor structure, wherein before grinding at least one dielectric layer, at least one first dummy ring includes a substantially flat top surface, and after grinding at least one dielectric layer, at least one first dummy ring includes Inclined top surface.

在上述半導體結構的製造方法中,更包括在第二區的第二溝渠隔離上形成多個虛設點圖案,其中至少一個第一虛設環位於至少一個第一元件與多個虛設點圖案之間,且至少一個第一虛設環比多個虛設點圖案厚。 In the above method for manufacturing a semiconductor structure, the method further includes forming a plurality of dummy dot patterns on the second trench isolation in the second region, wherein at least one first dummy ring is located between the at least one first element and the plurality of dummy dot patterns, And at least one first dummy ring is thicker than a plurality of dummy dot patterns.

在上述半導體結構的製造方法中,更包括在第一區的第一溝渠隔離上形成至少一個第二虛設環,其中至少一個第二虛設環環繞至少一個第一元件,且至少一個第二虛設環的第二厚度大於至少一個第一虛設環的第一厚度。 In the above method for manufacturing a semiconductor structure, it further includes forming at least one second dummy ring on the first trench isolation in the first region, wherein at least one second dummy ring surrounds at least one first element, and at least one second dummy ring The second thickness is greater than the first thickness of the at least one first dummy ring.

在上述半導體結構的製造方法中,其中在第二區上形成至少一個第二元件與至少一個第一虛設環包括:在第二區形成介電層,介電層包括第一部分與第二部分,且第一部分比第二部分厚;在介電層的第一部分上形成多個堆疊虛設圖案;形成設置於介電層的第二部分上的閘極電極以及堆疊於閘極電極上的介電頂蓋;以及使用堆疊虛設圖案、閘極電極以及介電頂蓋作為罩幕來圖案化介電層,以在堆疊虛設圖案下形成第一介電圖案,以及在閘極電極下形成第二介電圖案,其中形成了包括第一介電圖案與堆疊虛設圖案的至少一個第一虛設環,以及包括第二介電圖案、閘極電極以及介電頂蓋的至少一個第二元件。 In the above method for manufacturing a semiconductor structure, wherein forming at least one second element and at least one first dummy ring on the second region includes: forming a dielectric layer on the second region, the dielectric layer includes a first portion and a second portion, And the first part is thicker than the second part; a plurality of stacked dummy patterns are formed on the first part of the dielectric layer; a gate electrode provided on the second part of the dielectric layer and a dielectric top stacked on the gate electrode are formed A cover; and using a stacked dummy pattern, a gate electrode, and a dielectric cap as a mask to pattern the dielectric layer to form a first dielectric pattern under the stacked dummy pattern, and a second dielectric under the gate electrode In the pattern, at least one first dummy ring including the first dielectric pattern and the stacked dummy pattern is formed, and at least one second element including the second dielectric pattern, the gate electrode, and the dielectric cap.

在上述半導體結構的製造方法中,其中第一部分與第二部分之間的厚度差的範圍為約10埃至約500埃。 In the above method of manufacturing a semiconductor structure, the thickness difference between the first portion and the second portion ranges from about 10 angstroms to about 500 angstroms.

在上述半導體結構的製造方法中,其中第一區的邊界至第一部分的外邊界之間的距離的範圍為約0.1微米至約50微米。 In the above method of manufacturing a semiconductor structure, the distance between the boundary of the first region and the outer boundary of the first portion ranges from about 0.1 micrometer to about 50 micrometers.

以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本揭露的各個方面。所屬領域中的技術人員應知,他們可容易地使用本揭露做為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不悖離本揭露的精神及範圍,而且他們可在不悖離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。 The above outlines the features of several embodiments so that those skilled in the art can better understand the various aspects of the present disclosure. Those skilled in the art should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures to perform the same purposes and/or achieve the same goals and/or implementations as the embodiments described herein. The same advantages as the embodiment. Those skilled in the art should also realize that these equivalent structures do not deviate from the spirit and scope of this disclosure, and they can make various changes, substitutions, and substitutions without departing from the spirit and scope of this disclosure. change.

100‧‧‧半導體基底 100‧‧‧Semiconductor substrate

100A‧‧‧第一區 100A‧‧‧District 1

100B‧‧‧第二區 100B‧‧‧District 2

110a‧‧‧第一溝渠隔離 110a‧‧‧The first trench isolation

110b‧‧‧第二溝渠隔離 110b‧‧‧Second trench isolation

112‧‧‧半導體部分 112‧‧‧Semiconductor

130c‧‧‧圖案化的導電層 130c‧‧‧patterned conductive layer

180a‧‧‧圖案化的停止層 180a‧‧‧patterned stop layer

182a‧‧‧經研磨的層間介電層 182a‧‧‧polished interlayer dielectric layer

DP‧‧‧虛設點圖案 DP‧‧‧Dummy dot pattern

DR1‧‧‧第一虛設環 DR1‧‧‧The first dummy ring

DR2‧‧‧第二虛設環 DR2‧‧‧The second dummy ring

IS3‧‧‧傾斜表面 IS3‧‧‧inclined surface

M‧‧‧記憶單元陣列 M‧‧‧Memory cell array

MG‧‧‧金屬閘極電極 MG‧‧‧Metal gate electrode

P‧‧‧周邊電路 P‧‧‧Peripheral circuit

Claims (11)

一種半導體結構,包括:半導體基底,包括半導體部分、至少一個第一元件、至少一個第二元件以及至少一個第一虛設環,所述至少一個第一元件設置於被所述半導體部分環繞的第一區上,所述至少一個第二元件與所述至少一個第一虛設環設置於第二區上,且所述第二區環繞所述第一區;以及至少一個圖案化的介電層,設置於所述半導體基底上,其中所述至少一個第一元件、所述至少一個第二元件及所述至少一個第一虛設環嵌入於所述至少一個圖案化的介電層中。 A semiconductor structure includes: a semiconductor substrate, including a semiconductor portion, at least one first element, at least one second element, and at least one first dummy ring, the at least one first element is disposed at a first surrounding by the semiconductor portion On the area, the at least one second element and the at least one first dummy ring are disposed on the second area, and the second area surrounds the first area; and at least one patterned dielectric layer is provided On the semiconductor substrate, the at least one first element, the at least one second element, and the at least one first dummy ring are embedded in the at least one patterned dielectric layer. 一種半導體結構,包括:半導體基底,包括:主動區與環繞所述主動區的周邊區;至少一個第一元件,設置於所述主動區上;至少一個第二元件,設置於所述周邊區上;以及至少一個第一虛設環,設置於所述周邊區上,其中所述至少一個第一元件與所述至少一個第二元件被所述主動區的半導體部分間隔開;以及至少一個圖案化的介電層,設置於所述半導體基底上,其中所述至少一個第一元件、所述至少一個第二元件及所述至少一個第一虛設環嵌入於所述圖案化的介電層中。 A semiconductor structure includes: a semiconductor substrate, including: an active area and a peripheral area surrounding the active area; at least one first element disposed on the active area; at least one second element disposed on the peripheral area ; And at least one first dummy ring disposed on the peripheral region, wherein the at least one first element and the at least one second element are separated by the semiconductor portion of the active region; and at least one patterned A dielectric layer is disposed on the semiconductor substrate, wherein the at least one first element, the at least one second element, and the at least one first dummy ring are embedded in the patterned dielectric layer. 如申請專利範圍第1或2項所述的半導體結構,其中所述至少一個第一元件的第一高度大於所述至少一個第二元件的第二高度及所述至少一個第一虛設環的第一厚度,且所述第一厚度大於所述第二高度。 The semiconductor structure according to item 1 or 2 of the patent application scope, wherein the first height of the at least one first element is greater than the second height of the at least one second element and the first height of the at least one first dummy ring A thickness, and the first thickness is greater than the second height. 如申請專利範圍第1或2項所述的半導體結構,其中所述至少一個第一虛設環是電性浮置的。 The semiconductor structure according to item 1 or 2 of the patent application scope, wherein the at least one first dummy ring is electrically floating. 如申請專利範圍第1或2項所述的半導體結構,其中所述至少一個第一虛設環具有傾斜的頂面。 The semiconductor structure according to item 1 or 2 of the patent application range, wherein the at least one first dummy ring has an inclined top surface. 如申請專利範圍第2項所述的半導體結構,其中所述半導體基底更包括嵌入於所述主動區中的第一溝渠隔離以及嵌入於所述周邊區中的第二溝渠隔離,所述半導體部分位於所述第一溝渠隔離與所述第二溝渠隔離之間,且所述至少一個第一虛設環設置於所述第二溝渠隔離上。 The semiconductor structure as described in item 2 of the patent application scope, wherein the semiconductor substrate further includes a first trench isolation embedded in the active area and a second trench isolation embedded in the peripheral area, the semiconductor portion It is located between the first trench isolation and the second trench isolation, and the at least one first dummy ring is disposed on the second trench isolation. 一種半導體結構的製造方法,包括:提供半導體基底,所述半導體基底包括半導體部分;在被所述半導體部分環繞的第一區上形成至少一個第一元件;在第二區上形成至少一個第二元件及至少一個第一虛設環,其中所述第二區環繞所述第一區,且所述至少一個第一虛設環環繞所述至少一個第一元件;在所述半導體基底上形成至少一個介電層,以覆蓋所述至少一個第一元件、所述至少一個第二元件及所述至少一個第一虛設環;以及研磨所述至少一個介電層,直到所述至少一個第一元件、所述至少一個第二元件以及所述至少一個第一虛設環暴露出來。 A method of manufacturing a semiconductor structure, comprising: providing a semiconductor substrate including a semiconductor portion; forming at least one first element on a first area surrounded by the semiconductor portion; forming at least one second element on a second area An element and at least one first dummy ring, wherein the second region surrounds the first region, and the at least one first dummy ring surrounds the at least one first element; at least one dielectric layer is formed on the semiconductor substrate An electrical layer to cover the at least one first element, the at least one second element, and the at least one first dummy ring; and grinding the at least one dielectric layer until the at least one first element, The at least one second element and the at least one first dummy ring are exposed. 如申請專利範圍第7項所述的半導體結構的製造方法,其中, 在研磨所述至少一個介電層之後,所述至少一個第一虛設環被部分研磨,使得所述至少一個第一元件的第一高度大於所述至少一個第二元件的第二高度以及所述至少一個第一虛設環的第一厚度,且所述第一厚度大於所述第二高度。 The method for manufacturing a semiconductor structure as described in item 7 of the patent application scope, in which After grinding the at least one dielectric layer, the at least one first dummy ring is partially ground so that the first height of the at least one first element is greater than the second height of the at least one second element and the A first thickness of at least one first dummy ring, and the first thickness is greater than the second height. 如申請專利範圍第7項所述的半導體結構的製造方法,其中在研磨所述至少一個介電層之前,所述至少一個第一虛設環包括大體上平坦的頂面,且在研磨所述至少一個介電層之後,所述至少一個第一虛設環包括傾斜的頂面。 The method for manufacturing a semiconductor structure according to item 7 of the patent application range, wherein before grinding the at least one dielectric layer, the at least one first dummy ring includes a substantially flat top surface, and grinding the at least one After one dielectric layer, the at least one first dummy ring includes an inclined top surface. 如申請專利範圍第7項所述的半導體結構的製造方法,更包括:在所述第二區的第二溝渠隔離上形成多個虛設點圖案,其中所述至少一個第一虛設環位於所述至少一個第一元件與所述多個虛設點圖案之間,且所述至少一個第一虛設環比所述多個虛設點圖案厚。 The method for manufacturing a semiconductor structure as described in item 7 of the patent application scope further includes: forming a plurality of dummy dot patterns on the second trench isolation of the second region, wherein the at least one first dummy ring is located on the Between at least one first element and the plurality of dummy dot patterns, and the at least one first dummy ring is thicker than the plurality of dummy dot patterns. 如申請專利範圍第7項所述的半導體結構的製造方法,其中在所述第二區上形成所述至少一個第二元件與所述至少一個第一虛設環包括:在所述第二區形成介電層,所述介電層包括第一部分與第二部分,且所述第一部分比所述第二部分厚;在所述介電層的所述第一部分上形成多個堆疊虛設圖案;形成設置於所述介電層的所述第二部分上的閘極電極以及堆疊於所述閘極電極上的介電頂蓋;以及使用所述堆疊虛設圖案、所述閘極電極以及所述介電頂蓋作為罩幕來圖案化所述介電層,以在所述堆疊虛設圖案下形成第一 介電圖案,以及在所述閘極電極下形成第二介電圖案,其中形成了包括所述第一介電圖案與所述堆疊虛設圖案的所述至少一個第一虛設環,以及包括所述第二介電圖案、所述閘極電極以及所述介電頂蓋的所述至少一個第二元件。 The method for manufacturing a semiconductor structure according to item 7 of the patent application range, wherein forming the at least one second element and the at least one first dummy ring on the second region includes: forming in the second region A dielectric layer including a first portion and a second portion, and the first portion is thicker than the second portion; forming a plurality of stacked dummy patterns on the first portion of the dielectric layer; forming A gate electrode provided on the second portion of the dielectric layer and a dielectric cap stacked on the gate electrode; and using the stacked dummy pattern, the gate electrode and the dielectric The electric cap serves as a mask to pattern the dielectric layer to form a first under the stacked dummy pattern A dielectric pattern, and a second dielectric pattern is formed under the gate electrode, wherein the at least one first dummy ring including the first dielectric pattern and the stacked dummy pattern is formed, and includes the The at least one second element of a second dielectric pattern, the gate electrode, and the dielectric cap.
TW107137828A 2017-11-14 2018-10-25 Semiconductor strucutre and method of fabricating the same TWI690059B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586116P 2017-11-14 2017-11-14
US62/586,116 2017-11-14
US16/022,702 US11211388B2 (en) 2017-11-14 2018-06-29 Array boundfary structure to reduce dishing
US16/022,702 2018-06-29

Publications (2)

Publication Number Publication Date
TW201919205A TW201919205A (en) 2019-05-16
TWI690059B true TWI690059B (en) 2020-04-01

Family

ID=66431378

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107137828A TWI690059B (en) 2017-11-14 2018-10-25 Semiconductor strucutre and method of fabricating the same

Country Status (3)

Country Link
KR (1) KR102167959B1 (en)
CN (1) CN109786384B (en)
TW (1) TWI690059B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI765643B (en) * 2021-04-06 2022-05-21 華邦電子股份有限公司 Memory device and method of manufacturing the same

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11676821B2 (en) * 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
DE102020123934A1 (en) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. SELF-ALIGNED DOUBLE STRUCTURING
CN112825307B (en) * 2019-11-21 2022-04-29 中芯国际集成电路制造(上海)有限公司 Formation method of interconnection structure and interconnection structure
US11069714B1 (en) 2019-12-31 2021-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit
CN113363204B (en) * 2020-03-05 2022-04-12 中芯国际集成电路制造(深圳)有限公司 Method for forming interconnection structure
KR20230059028A (en) * 2021-10-25 2023-05-03 삼성전자주식회사 Semiconductor devices and manufacturing methods for the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000232154A (en) * 1999-02-12 2000-08-22 Sony Corp Semiconductor device and its manufacture
US20040047217A1 (en) * 2002-07-09 2004-03-11 Eiji Kamiya Non-volatile semiconductor memory device and method of manufacturing the same
TW200635048A (en) * 2005-03-31 2006-10-01 Fujitsu Ltd Semiconductor device with integrated flash memory and peripheral circuit and its manufacture method
JP2008085101A (en) * 2006-09-28 2008-04-10 Toshiba Corp Semiconductor device
TW201340294A (en) * 2012-03-30 2013-10-01 Samsung Electronics Co Ltd Semiconductor device and method of fabricating the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4683685B2 (en) * 2000-01-17 2011-05-18 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method, flash memory manufacturing method, and static random access memory manufacturing method
US6559055B2 (en) * 2000-08-15 2003-05-06 Mosel Vitelic, Inc. Dummy structures that protect circuit elements during polishing
KR100816732B1 (en) * 2006-10-31 2008-03-25 주식회사 하이닉스반도체 Flash memory device and method for manufacturing the same
KR20080090851A (en) * 2007-04-06 2008-10-09 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
KR100939425B1 (en) * 2008-01-14 2010-01-28 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US8237227B2 (en) * 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
JP2016072537A (en) * 2014-09-30 2016-05-09 株式会社東芝 Semiconductor storage device and manufacturing method of the same
US9425206B2 (en) * 2014-12-23 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Boundary scheme for embedded poly-SiON CMOS or NVM in HKMG CMOS technology
US20160211250A1 (en) * 2015-01-15 2016-07-21 Infineon Technologies Ag Semiconductor substrate arrangement, a semiconductor device, and a method for processing a semiconductor substrate
US9589976B2 (en) * 2015-04-16 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to reduce polysilicon loss from flash memory devices during replacement gate (RPG) process in integrated circuits

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000232154A (en) * 1999-02-12 2000-08-22 Sony Corp Semiconductor device and its manufacture
US20040047217A1 (en) * 2002-07-09 2004-03-11 Eiji Kamiya Non-volatile semiconductor memory device and method of manufacturing the same
TW200635048A (en) * 2005-03-31 2006-10-01 Fujitsu Ltd Semiconductor device with integrated flash memory and peripheral circuit and its manufacture method
JP2008085101A (en) * 2006-09-28 2008-04-10 Toshiba Corp Semiconductor device
TW201340294A (en) * 2012-03-30 2013-10-01 Samsung Electronics Co Ltd Semiconductor device and method of fabricating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI765643B (en) * 2021-04-06 2022-05-21 華邦電子股份有限公司 Memory device and method of manufacturing the same
US11631685B2 (en) 2021-04-06 2023-04-18 Winbond Electronics Corp. Memory device and method of manufacturing the same

Also Published As

Publication number Publication date
CN109786384A (en) 2019-05-21
TW201919205A (en) 2019-05-16
KR20190054911A (en) 2019-05-22
KR102167959B1 (en) 2020-10-21
CN109786384B (en) 2021-02-26

Similar Documents

Publication Publication Date Title
TWI690059B (en) Semiconductor strucutre and method of fabricating the same
US11706914B2 (en) Method of forming an array boundary structure to reduce dishing
TWI701853B (en) Semiconductor device and method for forming the same
US9425206B2 (en) Boundary scheme for embedded poly-SiON CMOS or NVM in HKMG CMOS technology
US10381358B2 (en) Semiconductor device and manufacturing method thereof
JP4964407B2 (en) Semiconductor device and manufacturing method thereof
US10566337B2 (en) Method of manufacturing memory device
US20180358362A1 (en) Memory device and manufacturing method thereof
US20120164812A1 (en) Methods of Manufacturing Semiconductor Devices
US10109638B1 (en) Embedded non-volatile memory (NVM) on fully depleted silicon-on-insulator (FD-SOI) substrate
US7172939B1 (en) Method and structure for fabricating non volatile memory arrays
US7323377B1 (en) Increasing self-aligned contact areas in integrated circuits using a disposable spacer
CN106549018B (en) Cell contact structure
US9123579B2 (en) 3D memory process and structures
JP2014187132A (en) Semiconductor device
US6967161B2 (en) Method and resulting structure for fabricating DRAM cell structure using oxide line spacer
US6927168B2 (en) Method for manufacturing semiconductor device
CN114765171A (en) Semiconductor structure and manufacturing method thereof
TWI455246B (en) Method of forming isolation area and structure thereof
JP2007299972A (en) Semiconductor device and its manufacturing method
JP2005203455A (en) Semiconductor device and its manufacturing method
KR100520514B1 (en) Method of manufacturing semiconductor device
KR20050002075A (en) Method for fabrication of semiconductor device
KR100266028B1 (en) Semiconductor device and method for fabricating the same
US8097505B2 (en) Method of forming isolation layer in semiconductor device