TWI680557B - Semiconductor package structure and method for preparing the same - Google Patents

Semiconductor package structure and method for preparing the same Download PDF

Info

Publication number
TWI680557B
TWI680557B TW108103818A TW108103818A TWI680557B TW I680557 B TWI680557 B TW I680557B TW 108103818 A TW108103818 A TW 108103818A TW 108103818 A TW108103818 A TW 108103818A TW I680557 B TWI680557 B TW I680557B
Authority
TW
Taiwan
Prior art keywords
substrate
etch stop
connection
layer
layers
Prior art date
Application number
TW108103818A
Other languages
Chinese (zh)
Other versions
TW202021083A (en
Inventor
丘世仰
Hsih-Yang Chiu
Original Assignee
南亞科技股份有限公司
Nanya Technology Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/244,794 external-priority patent/US10607924B1/en
Application filed by 南亞科技股份有限公司, Nanya Technology Corporation filed Critical 南亞科技股份有限公司
Application granted granted Critical
Publication of TWI680557B publication Critical patent/TWI680557B/en
Publication of TW202021083A publication Critical patent/TW202021083A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout

Abstract

本揭露提供一種半導體封裝結構及其製備方法。該半導體封裝結構包括一第一基底、一第二基底、設置在該第一基底和該第二基底之間的一互連結構、穿透該第一基底和該互連結構的一部分的複數個第一穿矽通孔導體、以及穿透該第一基底和該互連結構的一部分的複數個第二穿矽通孔導體。該互連結構包括一介電結構、複數個第一連接層、以及設置在該介電結構內的複數個環形的第二連接層。該複數個第一穿矽通孔中的至少一個與該第一連接層中的一個接觸。該複數個第二穿矽通孔導體中的至少一個與該環形的第二連接層中的一個和該第一連接層中的另一個接觸。The present disclosure provides a semiconductor package structure and a manufacturing method thereof. The semiconductor package structure includes a first substrate, a second substrate, an interconnect structure disposed between the first substrate and the second substrate, and a plurality of through structures that penetrate the first substrate and a portion of the interconnect structure. A first TSV conductor and a plurality of second TSV conductors penetrating the first substrate and a portion of the interconnect structure. The interconnect structure includes a dielectric structure, a plurality of first connection layers, and a plurality of ring-shaped second connection layers disposed in the dielectric structure. At least one of the plurality of first TSVs is in contact with one of the first connection layers. At least one of the plurality of through-silicon via conductors is in contact with one of the ring-shaped second connection layer and the other of the first connection layer.

Description

半導體封裝結構及其製備方法Semiconductor packaging structure and preparation method thereof

本申請案主張2018/11/23申請之美國臨時申請案第62/770,942號及2019/01/10申請之美國正式申請案第16/244,794號的優先權及益處,該美國臨時申請案及該美國正式申請案之內容以全文引用之方式併入本文中。 This application claims the priority and benefits of U.S. Provisional Application No. 62 / 770,942 filed on 11/23/2018 and U.S. Formal Application No. 16 / 244,794 filed on 10/01/2019. The contents of the official US application are incorporated herein by reference in their entirety.

本揭露關於一種半導體封裝結構及其製備方法,特別是關於一種包括穿矽通孔(through silicon via,TSV)的半導體封裝結構及其製備方法。 The present disclosure relates to a semiconductor packaging structure and a manufacturing method thereof, and more particularly, to a semiconductor packaging structure including a through silicon via (TSV) and a manufacturing method thereof.

半導體元件對於許多現代的應用是不可或缺的。隨著電子技術的進步,半導體元件的尺寸變得越來越小,同時具有更多的功能和更大量的積體電路。由於半導體元件的小型化,晶片對晶片(chip-on-chip)技術廣泛地用於半導體封裝的製造。 Semiconductor components are indispensable for many modern applications. With the advancement of electronic technology, the size of semiconductor components has become smaller and smaller, with more functions and a larger number of integrated circuits. Due to the miniaturization of semiconductor elements, chip-on-chip technology is widely used in the manufacture of semiconductor packages.

在一種方法中,使用至少兩個晶片(或晶粒)的堆疊,以三維(3D)封裝中的形態來形成例如一記憶體元件,如此,相較於其他半導體積體製程,可以生產具有兩倍記憶容量的的產品。除了增加記憶容量外,堆疊封裝也提供了改進的安裝密度和安裝區域的利用效率。由於這些優 點,堆疊封裝技術的研究和開發更加速地進行。 In one method, a stack of at least two wafers (or dies) is used to form, for example, a memory element in a three-dimensional (3D) package. In this way, compared with other semiconductor integrated circuits, it is possible to produce a device having two Products with double memory capacity. In addition to increasing memory capacity, stacked packages also provide improved mounting density and utilization of the mounting area. Because these excellent At the same time, research and development of stacked packaging technology has been accelerated.

在本領域中揭露一種使用穿矽通孔(through silicon via,TSV)的堆疊封裝。使用穿矽通孔的堆疊封裝具有一種結構,此結構具有穿矽通孔在其中,因此晶片透過穿矽通孔彼此電連接。一般而言,透過蝕刻垂直通孔穿過基底並用例如銅(Cu)的導電材料填充通孔來形成穿矽通孔。通常,穿過基底所形成的垂直通孔均具有相同的深度並且與晶片中形成的接墊對準。此外,設計與形成特定的佈線線路,並且形成為做為穿矽通孔所在的端點。但是,這種特定的佈線將使電路設計複雜化,特別是在雙晶粒堆疊的設計中。 A stacked package using a through silicon via (TSV) is disclosed in the art. Stacked packages using TSVs have a structure that has TSVs in them, so the chips are electrically connected to each other through TSVs. Generally speaking, TSVs are formed by etching vertical vias through the substrate and filling the vias with a conductive material such as copper (Cu). Generally, the vertical through holes formed through the substrate have the same depth and are aligned with the pads formed in the wafer. In addition, specific wiring lines are designed and formed, and formed as end points where TSVs are located. However, this particular wiring will complicate circuit design, especially in dual-die stacked designs.

上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。 The above description of the "prior art" is only for providing background technology. It does not recognize that the above description of the "prior technology" reveals the subject matter of this disclosure, does not constitute the prior technology of this disclosure, and any description of the "prior technology" above. Neither shall be part of this case.

本揭露提供一種半導體封裝結構,包括:一第一基底、一第二基底、一互連結構、複數個第一穿矽通孔導體、以及複數個第二穿矽通孔導體。該第一基底具有一第一前表面和與該第一前表面相對的一第一背表面。該第二基底具有一第二前表面和與該第二前表面相對的一第二背表面。該互連結構設置在該第一基底的該第一前表面和該第二基底的該第二前表面之間。該複數個第一穿矽通孔導體從該第一基底的該第一背表面穿透該第一基底和該互連結構的一部份;該複數個第二穿矽通孔導體從該第一基底的該第一背表面穿透該第一基底和該互連結構的一部分。該互連結構包括一介電結構、複數個第一連接層設置在該介電結構內和複數個環形的第二連接層設置在該介電結構內。在一些實施例中,該複數個第一穿 矽通孔導體中的至少一個和該複數個第一連接層中的一個接觸。在一些實施例中,該複數個第二穿矽通孔導體中的至少一個和該複數個環形的第二連接層中的一個及該複數個第一連接層中的另一個接觸。 The disclosure provides a semiconductor package structure including a first substrate, a second substrate, an interconnection structure, a plurality of first TSV conductors, and a plurality of second TSV conductors. The first substrate has a first front surface and a first back surface opposite to the first front surface. The second substrate has a second front surface and a second back surface opposite to the second front surface. The interconnection structure is disposed between the first front surface of the first substrate and the second front surface of the second substrate. The plurality of first TSV conductors penetrate the first substrate and a portion of the interconnect structure from the first back surface of the first substrate; the plurality of second TSV conductors pass from the first substrate The first back surface of a substrate penetrates the first substrate and a portion of the interconnect structure. The interconnect structure includes a dielectric structure, a plurality of first connection layers are disposed in the dielectric structure, and a plurality of ring-shaped second connection layers are disposed in the dielectric structure. In some embodiments, the plurality of first wears At least one of the TSV conductors is in contact with one of the plurality of first connection layers. In some embodiments, at least one of the plurality of second TSV conductors is in contact with one of the plurality of annular second connection layers and the other of the plurality of first connection layers.

在一些實施例中,該半導體封裝結構更包括一第一蝕刻停止層,設置在該第一連接層的上方,以及一第二蝕刻停止層,設置在該第二連接層的上方。 In some embodiments, the semiconductor package structure further includes a first etch stop layer disposed above the first connection layer, and a second etch stop layer disposed above the second connection layer.

在一些實施例中,該第一蝕刻停止層設置在該第一連接層面向該第一基底的一表面的上方,該第二蝕刻停止層設置在該第二連接層面向該第一基底的一表面的上方。 In some embodiments, the first etch stop layer is disposed above a surface of the first connection layer facing the first substrate, and the second etch stop layer is disposed on a surface of the second connection layer facing the first substrate. Above the surface.

在一些實施例中,該第一連接層的該表面透過該第一蝕刻停止層與該介電結構分開,該第二連接層的該表面透過該第二蝕刻停止層與該介電結構分開。 In some embodiments, the surface of the first connection layer is separated from the dielectric structure by the first etch stop layer, and the surface of the second connection layer is separated from the dielectric structure by the second etch stop layer.

在一些實施例中,該複數個第一穿矽通孔導體中的至少一個穿過該介電結構的一部分和該第一蝕刻停止層並且延伸到該第一連接層。 In some embodiments, at least one of the plurality of first TSV conductors passes through a portion of the dielectric structure and the first etch stop layer and extends to the first connection layer.

在一些實施例中,該複數個第二穿矽通孔導體中的至少一個包括一第一部分和耦接到該第一部分的一第二部分。 In some embodiments, at least one of the plurality of through-silicon via conductors includes a first portion and a second portion coupled to the first portion.

在一些實施例中,該第二穿矽通孔導體的該第一部分穿過該介電結構的一部分和該第二蝕刻停止層。在一些實施例中,該第二穿矽通孔導體的該第二部分穿過該環形的第二連接層、該介電結構的一部分和該第一蝕刻停止層。 In some embodiments, the first portion of the second TSV conductor passes through a portion of the dielectric structure and the second etch stop layer. In some embodiments, the second portion of the second TSV conductor passes through the ring-shaped second connection layer, a portion of the dielectric structure, and the first etch stop layer.

在一些實施例中,該第一部分的一寬度大於該第二部分的一寬度。 In some embodiments, a width of the first portion is greater than a width of the second portion.

在一些實施例中,該互連結構更包括複數個第三連接層電連接到該複數個第一連接層。在一些實施例中,該複數個第三連接層與該複數個第一穿矽通孔導體和該複數個第二穿矽通孔導體分開。 In some embodiments, the interconnection structure further includes a plurality of third connection layers electrically connected to the plurality of first connection layers. In some embodiments, the plurality of third connection layers are separated from the plurality of first TSV conductors and the plurality of second TSV conductors.

在一些實施例中,在該互連結構內形成一界面。 In some embodiments, an interface is formed within the interconnect structure.

在一些實施例中,該複數個第一穿矽通孔導體中的至少一個穿過該界面。 In some embodiments, at least one of the plurality of first TSV conductors passes through the interface.

在一些實施例中,該複數個第二穿矽通孔導體中的至少一個穿過該界面。 In some embodiments, at least one of the plurality of second TSV conductors passes through the interface.

本揭露另提供一種半導體結構的製備方法,包括下列步驟。提供一第一基底,該第一基底具有一第一前表面、與該第一前表面相對的一第一背表面、以及設置在該第一前表面上方的一第一互連結構。提供一第二基底,該第二基底具有一第二前表面、與該第二前表面相對的一第二背表面,以及設置在該第二前表面上方的一第二互連結構。接合該第一互連結構和該第二互連結構以形成一第三互連結構,該第三互連結構設置在該第一基底的該第一前表面和該第二基底的該第二前表面之間。在一些實施例中,該第三互連結構包括一介電結構、設置在該介電結構內的複數第一連接層、設置在該複數個第一連接層上方的複數個第一蝕刻停止層、設置在該介電結構內的複數個環形的第二連接層以及設置在該複數個環形的第二連接層上方的複數個環形的第二蝕刻停止層。執行一第一蝕刻以形成穿透該第一基底和該第三互連結構的一部分的一第一通孔開口和一第二通孔開口。在一些實施例中,該第一連接層上方的複數個第一蝕刻停止層中的至少一個透過該第一通孔開口的一底部暴露。該第二通孔開口包括彼此耦接的一第一部分和一第二部分。在一些實施例中,該第一連接層 上方的該第一蝕刻停止層透過該第二通孔開口的該第二部分的一底部暴露。執行一第二蝕刻以去除該第一蝕刻停止層的一部分並且透過該第一通孔開口的底部和該第二通孔開口的該第二部分的底部來暴露該第一連接層。在該第一通孔開口內形成一第一穿矽通孔導體,在該第二通孔開口內形成一第二穿矽通孔導體。 The disclosure further provides a method for manufacturing a semiconductor structure, which includes the following steps. A first substrate is provided. The first substrate has a first front surface, a first back surface opposite to the first front surface, and a first interconnection structure disposed above the first front surface. A second substrate is provided. The second substrate has a second front surface, a second back surface opposite to the second front surface, and a second interconnection structure disposed above the second front surface. Joining the first interconnect structure and the second interconnect structure to form a third interconnect structure, the third interconnect structure is disposed on the first front surface of the first substrate and the second substrate of the second substrate Between the front surfaces. In some embodiments, the third interconnect structure includes a dielectric structure, a plurality of first connection layers disposed within the dielectric structure, and a plurality of first etch stop layers disposed above the plurality of first connection layers. A plurality of ring-shaped second connection layers provided in the dielectric structure and a plurality of ring-shaped second etch stop layers provided above the plurality of ring-shaped second connection layers. A first etch is performed to form a first via opening and a second via opening penetrating the first substrate and a portion of the third interconnect structure. In some embodiments, at least one of the plurality of first etch stop layers above the first connection layer is exposed through a bottom of the first through hole opening. The second through-hole opening includes a first portion and a second portion coupled to each other. In some embodiments, the first connection layer The upper first etch stop layer is exposed through a bottom of the second portion of the second through hole opening. A second etch is performed to remove a portion of the first etch stop layer and expose the first connection layer through the bottom of the first via opening and the bottom of the second portion of the second via opening. A first TSV conductor is formed in the first via opening, and a second TSV conductor is formed in the second via opening.

在一些實施例中,藉由執行該第一蝕刻,透過該第一通孔開口的一側壁暴露該介電結構,藉由執行該第二蝕刻,透過該第一通孔開口的該側壁暴露該第一蝕刻停止層。 In some embodiments, the dielectric structure is exposed through a sidewall of the first via opening by performing the first etching, and the dielectric structure is exposed through the sidewall of the first via opening by performing the second etching. The first etch stop layer.

在一些實施例中,藉由執行該第一蝕刻,透過該第二通孔開口的該第一部份的一側壁暴露該介電結構。在一些實施例中,藉由執行該第一蝕刻,透過該第二通孔開口的該第二部份的一側壁暴露該環形的第二蝕刻停止層、該環形的第二連接層和該介電結構。 In some embodiments, the dielectric structure is exposed through a sidewall of the first portion of the second via opening by performing the first etching. In some embodiments, by performing the first etching, a ring-shaped second etch stop layer, the ring-shaped second connection layer, and the interposer are exposed through a sidewall of the second portion of the second through-hole opening. Electric structure.

在一些實施例中,藉由執行該第二蝕刻,透過該第二通孔開口的該第一部份的該側壁暴露該介電結構及該環形的第二蝕刻停止層。在一些實施例中,藉由執行該第二蝕刻,透過該第二通孔開口的該第二部份的該側壁暴露該介電結構及該第一蝕刻停止層。 In some embodiments, by performing the second etching, the dielectric structure and the annular second etch stop layer are exposed through the sidewall of the first portion of the second via opening. In some embodiments, by performing the second etching, the dielectric structure and the first etch stop layer are exposed through the sidewall of the second portion of the second via opening.

在一些實施例中,該第二通孔開口的該第二部分的一寬度小於該第二通孔開口的該第一部分的一寬度。 In some embodiments, a width of the second portion of the second through-hole opening is smaller than a width of the first portion of the second through-hole opening.

在一些實施例中,該第一蝕刻停止層設置在該第一連接層的上方、面向該第一基底的一表面的上方,該環形的第二蝕刻停止層設置在該環形的第二連接層的上方、面向該第一基底的一表面的上方。 In some embodiments, the first etch stop layer is disposed above the first connection layer and above a surface facing the first substrate, and the ring-shaped second etch stop layer is disposed on the ring-shaped second connection layer. Above, facing above a surface of the first substrate.

在一些實施例中,該第三互連結構更包括複數個第三連接層,電連接到該複數個第一連接層並且與該第一穿矽通孔導體和該第二穿 矽通孔導體分開。 In some embodiments, the third interconnect structure further includes a plurality of third connection layers electrically connected to the plurality of first connection layers and connected to the first TSV conductor and the second via. The TSV conductors are separated.

在一些實施例中,在該第一互連結構和該第二互連結構之間形成一界面。 In some embodiments, an interface is formed between the first interconnect structure and the second interconnect structure.

本揭露另提供一種半導體封裝結構的製備方法。根據該製備方法,該連接層被設計做為提供與該第一和該第二穿矽通孔導體的電連接,分為兩種類型:該第一連接層和該環形的第二連接層。在該第一連接層的上方形成該第一蝕刻停止層,在該環形的第二連接層的上方形成該環形的第二蝕刻停止層。因此,該第一蝕刻將停止在該第一蝕刻停止層,但該第一蝕刻將繼續透過該環形的第二蝕刻停止層和該環形的第二連接層蝕刻該介電結構,最終停止在該第一蝕刻停止層。 The disclosure further provides a method for manufacturing a semiconductor package structure. According to the manufacturing method, the connection layer is designed to provide electrical connection with the first and the second TSV conductors, and is divided into two types: the first connection layer and the ring-shaped second connection layer. The first etch stop layer is formed over the first connection layer, and the second etch stop layer is formed in a ring shape over the second connection layer in a ring shape. Therefore, the first etch will stop at the first etch stop layer, but the first etch will continue to etch the dielectric structure through the ring-shaped second etch stop layer and the ring-shaped second connection layer, and finally stop at the The first etch stop layer.

因此,形成一第一通孔開口,其中該介電結構透過該第一通孔開口的該側壁暴露,並且該第一蝕刻停止層透過該第一通孔開口的底部暴露。不同於該第一通孔開口,該第二通孔開口包括彼此連接的該第一部分和該第二部分。此外,由於該第二刻停止層和該第二連接層的環形配置,該第一部分和該第二部分在形成時是自對準的。該介電結構透過該第二通孔開口的該第一部分的該側壁暴露,該介電結構、該環形的第二蝕刻停止層和該環形的連接層透過該第二通孔開口的該第二部分的該側壁暴露,該第一蝕刻停止層透過該第二通孔開口的該第二部分的一底部暴露。然後去除該第一蝕刻停止層以暴露該第一連接層。因此,該介電結構和該第一蝕刻停止層此時透過該第一通孔開口的該側壁暴露,該第一連接層透過該第一通孔開口的該底部暴露。不同於該第一通孔開口,該介電結構和該環形的第二蝕刻停止層此時透過該第二通孔開口的該第一部分的該側壁暴露,該環形的第二連接層、該介電結構和該第一蝕刻停止層此時透過該 第二通孔開口的該第二部分的該側壁暴露,該第一連接層透過該第二通孔開口的該第二部分的該底部暴露。 Therefore, a first through-hole opening is formed, wherein the dielectric structure is exposed through the sidewall of the first through-hole opening, and the first etch stop layer is exposed through the bottom of the first through-hole opening. Unlike the first through-hole opening, the second through-hole opening includes the first portion and the second portion connected to each other. In addition, due to the annular configuration of the second etch stop layer and the second connection layer, the first portion and the second portion are self-aligned when formed. The dielectric structure is exposed through the side wall of the first portion of the second through-hole opening, and the dielectric structure, the ring-shaped second etch stop layer, and the ring-shaped connection layer pass through the second through-hole opening. A portion of the sidewall is exposed, and the first etch stop layer is exposed through a bottom of the second portion of the second through-hole opening. The first etch stop layer is then removed to expose the first connection layer. Therefore, the dielectric structure and the first etch stop layer are exposed through the sidewall of the first through-hole opening at this time, and the first connection layer is exposed through the bottom of the first through-hole opening. Unlike the first through-hole opening, the dielectric structure and the ring-shaped second etch stop layer are now exposed through the sidewall of the first portion of the second through-hole opening, and the ring-shaped second connection layer, the dielectric The electrical structure and the first etch stop layer pass through the The side wall of the second portion of the second through-hole opening is exposed, and the first connection layer is exposed through the bottom of the second portion of the second through-hole opening.

在本揭露中,形成在該第一通孔開口內的該第一穿矽通孔導體與該第一連接層接觸,形成在該第二通孔開口內的該第二穿矽通孔導體與該環形的第二連接層和該第一連接層接觸。應當注意,透過提供該第一連接層和該環形的第二連接層,可以容易地構造該互連結構中的不同層之間的垂直電連接。因此,容易構造該兩個基底之間的電連接。因此,不需要用於將導體放置在相同高度的額外佈線。 In this disclosure, the first TSV conductor formed in the first via opening is in contact with the first connection layer, and the second TSV conductor formed in the second via opening is in contact with the first connection layer. The ring-shaped second connection layer is in contact with the first connection layer. It should be noted that by providing the first connection layer and the ring-shaped second connection layer, a vertical electrical connection between different layers in the interconnect structure can be easily constructed. Therefore, it is easy to construct an electrical connection between the two substrates. Therefore, no extra wiring is needed to place the conductors at the same height.

相反地,相較於在沒有環形的第二蝕刻停止層和環形的第二連接層的情況下的應用方法,需要額外的佈線以放置具有相同高度的導體以形成電連接。如此額外的佈線使得電路設計複雜化,特別是在雙晶粒的堆疊中。 In contrast, compared to the application method without the ring-shaped second etch stop layer and the ring-shaped second connection layer, additional wiring is required to place conductors having the same height to form an electrical connection. Such extra wiring complicates circuit design, especially in dual-die stacks.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。 The technical features and advantages of this disclosure have been outlined quite extensively above, so that the detailed description of this disclosure below can be better understood. Other technical features and advantages that constitute the subject matter of the patent application of this disclosure will be described below. Those with ordinary knowledge in the technical field to which this disclosure belongs should understand that the concepts and specific embodiments disclosed below can be used quite easily to modify or design other structures or processes to achieve the same purpose as this disclosure. Those with ordinary knowledge in the technical field to which this disclosure belongs should also understand that such equivalent constructions cannot be separated from the spirit and scope of this disclosure as defined by the scope of the attached patent application.

10‧‧‧製備方法 10‧‧‧Preparation method

50‧‧‧半導體封裝結構 50‧‧‧Semiconductor package structure

101‧‧‧步驟 101‧‧‧ steps

102‧‧‧步驟 102‧‧‧step

104‧‧‧步驟 104‧‧‧step

106‧‧‧步驟 106‧‧‧step

108‧‧‧步驟 108‧‧‧ steps

110‧‧‧步驟 110‧‧‧step

200‧‧‧基底 200‧‧‧ substrate

202B‧‧‧背表面 202B‧‧‧Back surface

202F‧‧‧前表面 202F‧‧‧Front surface

210‧‧‧互連結構 210‧‧‧Interconnection Structure

212‧‧‧介電結構 212‧‧‧Dielectric structure

214a‧‧‧連接層 214a‧‧‧Connection layer

214b‧‧‧連接層 214b‧‧‧Connection layer

214c‧‧‧連接層 214c‧‧‧Connection layer

216a‧‧‧蝕刻停止層 216a‧‧‧etch stop layer

216b‧‧‧蝕刻停止層 216b‧‧‧etch stop layer

300‧‧‧基底 300‧‧‧ substrate

302B‧‧‧背表面 302B‧‧‧Back surface

302F‧‧‧前表面 302F‧‧‧Front surface

310‧‧‧互連結構 310‧‧‧Interconnection Structure

312‧‧‧介電結構 312‧‧‧dielectric structure

314a‧‧‧連接層 314a‧‧‧Connection layer

314b‧‧‧連接層 314b‧‧‧ Connection layer

314c‧‧‧連接層 314c‧‧‧Connection layer

316a‧‧‧蝕刻停止層 316a‧‧‧etch stop layer

316b‧‧‧蝕刻停止層 316b‧‧‧etch stop layer

400‧‧‧互連結構 400‧‧‧ interconnect structure

402‧‧‧介電結構 402‧‧‧dielectric structure

404‧‧‧界面 404‧‧‧ interface

410‧‧‧第一通孔開口 410‧‧‧First through hole opening

412‧‧‧第二通孔開口 412‧‧‧Second through hole opening

414a‧‧‧第一部份 414a‧‧‧Part I

414b‧‧‧第二部份 414b‧‧‧Part II

420‧‧‧阻擋與膠合層 420‧‧‧Barrier and glue layer

422‧‧‧導電材料 422‧‧‧Conductive material

430‧‧‧第一穿矽通孔導體 430‧‧‧The first through-silicon via conductor

432‧‧‧第二穿矽通孔導體 432‧‧‧Second through-silicon via conductor

434a‧‧‧第一部份 434a‧‧‧Part I

434b‧‧‧第二部份 434b‧‧‧Part II

M1‧‧‧第一層 M1‧‧‧First floor

M2‧‧‧第二層 M2‧‧‧The second floor

M3‧‧‧第三層 M3‧‧‧Third floor

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 When referring to the drawings combined with the embodiments and the scope of the patent application, the disclosure in this application can be understood more fully. The same component symbols in the drawings refer to the same components.

圖1是流程圖,例示本揭露一些實施例中半導體封裝結構的製備方 法。 FIG. 1 is a flowchart illustrating a method for preparing a semiconductor package structure in some embodiments of the present disclosure. law.

圖2及圖3是示意圖,例示本揭露一些實施例之半導體封裝結構之製備方法的製造階段。 2 and 3 are schematic diagrams illustrating manufacturing stages of a method for manufacturing a semiconductor package structure according to some embodiments of the present disclosure.

圖4A是圖2及圖3的局部剖視圖。 FIG. 4A is a partial cross-sectional view of FIGS. 2 and 3.

圖4B是圖4的俯視示意圖(或仰視示意圖)。 FIG. 4B is a schematic top view (or a schematic bottom view) of FIG. 4.

圖4C是與圖4B所示視圖的相對視圖。 Fig. 4C is a view opposite to the view shown in Fig. 4B.

圖5至圖9是示意圖,例示本揭露實施例之半導體封裝結構之製備方法的各種製造階段。 5 to 9 are schematic diagrams illustrating various manufacturing stages of a method for manufacturing a semiconductor package structure according to an embodiment of the present disclosure.

本揭露之以下說明伴隨併入且組成說明書之一部分的圖式,說明本揭露實施例,然而本揭露並不受限於該實施例。此外,以下的實施例可適當整合以下實施例以完成另一實施例。 The following description of this disclosure is accompanied by drawings that form a part of the description to illustrate the embodiment of the disclosure, but the disclosure is not limited to this embodiment. In addition, the following embodiments can be appropriately integrated with the following embodiments to complete another embodiment.

「一實施例」、「實施例」、「例示實施例」、「其他實施例」、「另一實施例」等係指本揭露所描述之實施例可包含特定特徵、結構或是特性,然而並非每一實施例必須包含該特定特徵、結構或是特性。再者,重複使用「在實施例中」一語並非必須指相同實施例,然而可為相同實施例。 "One embodiment", "embodiment", "exemplified embodiment", "other embodiment", "another embodiment", etc. refer to the embodiment described in this disclosure may include specific features, structures, or characteristics, however Not every embodiment must include the particular feature, structure, or characteristic. Furthermore, the repeated use of the phrase "in the embodiment" does not necessarily refer to the same embodiment, but may be the same embodiment.

為了使得本揭露可被完全理解,以下說明提供詳細的步驟與結構。顯然,本揭露的實施不會限制該技藝中的技術人士已知的特定細節。此外,已知的結構與步驟不再詳述,以免不必要地限制本揭露。本揭露的較佳實施例詳述如下。然而,除了實施方式之外,本揭露亦可廣泛實施於其他實施例中。本揭露的範圍不限於實施方式的內容,而是由申請專利範圍定義。 In order that this disclosure may be fully understood, the following description provides detailed steps and structures. Obviously, the implementation of this disclosure does not limit the specific details known to those skilled in the art. In addition, the known structures and steps are not described in detail, so as not to unnecessarily limit the present disclosure. The preferred embodiments of the present disclosure are detailed below. However, in addition to the embodiments, the disclosure can be widely implemented in other embodiments. The scope of this disclosure is not limited to the content of the embodiments, but is defined by the scope of patent application.

圖1是流程圖,例示本揭露一些實施例中一半導體結構的製備方法10。製備方法10包括步驟101,提供具有一第一前表面的一第一基板、與該第一前表面相對的一第一背表面、以及設置在該第一前表面上方的一第一互連結構。製備方法10更包括步驟102,提供具有一第二前表面的一第二基板、與該第二前表面相對的一第二背表面、以及設置在該第二前表面上方的一第二互連結構。根據此實施例,可以在步驟102之前、之後或同時執行步驟101。製備方法10更包括步驟104,將該第一互連結構和該第二互連結構接合,以形成設置在該第一基底的該第一前表面與該第二基底的該第二前表面之間的一第三互連結構。在一些實施例中,該第三互連結構包括一介電結構、設置在該介電結構內的複數第一連接層、設置在該複數個第一連接層上方的複數個第一蝕刻停止層、設置在該電介質結構內的複數個環形的第二連接層以及設置在該複數個環形的第二連接層上方的複數個環形的第二蝕刻停止層。製備方法10更包括步驟106,執行一第一蝕刻以形成穿透該第一基底和該第三互連結構的一部分的一第一通孔開口和一第二通孔開口。在一些實施例中,該第一連接層上方的複數個第一蝕刻停止層中的至少一個透過該第一通孔開口的一底部暴露。在一些實施例中,該第二通孔開口包括彼此耦接的一第一部分和一第二部分,並且該第一連接層上方的該第一蝕刻停止層透過該第二通孔開口的該第二部分的一底部暴露。製備方法10更包括步驟108,執行一第二蝕刻以去除該第一蝕刻停止層的一部分並且透過該第一通孔開口的底部及該第二通孔開口的該第二部分的底部來暴露該第一連接層。製備方法10更包括步驟110,在第一通孔開口中形成一第一第一穿矽通孔導體,在該第二通孔開口中形成一第二穿矽通孔導體。根據一個或多個實施例,將更進一步描述 此半導體結構的製備方法10。 FIG. 1 is a flowchart illustrating a method 10 for fabricating a semiconductor structure in some embodiments of the present disclosure. The manufacturing method 10 includes step 101, providing a first substrate having a first front surface, a first back surface opposite to the first front surface, and a first interconnection structure disposed above the first front surface. . The manufacturing method 10 further includes step 102, providing a second substrate having a second front surface, a second back surface opposite to the second front surface, and a second interconnect disposed above the second front surface. structure. According to this embodiment, step 101 may be performed before, after, or at the same time as step 102. The manufacturing method 10 further includes step 104, joining the first interconnect structure and the second interconnect structure to form a first front surface of the first substrate and a second front surface of the second substrate. A third interconnect structure. In some embodiments, the third interconnect structure includes a dielectric structure, a plurality of first connection layers disposed within the dielectric structure, and a plurality of first etch stop layers disposed above the plurality of first connection layers. A plurality of ring-shaped second connection layers provided in the dielectric structure and a plurality of ring-shaped second etch stop layers provided above the plurality of ring-shaped second connection layers. The manufacturing method 10 further includes step 106, performing a first etching to form a first through-hole opening and a second through-hole opening penetrating the first substrate and a portion of the third interconnect structure. In some embodiments, at least one of the plurality of first etch stop layers above the first connection layer is exposed through a bottom of the first through hole opening. In some embodiments, the second through-hole opening includes a first portion and a second portion coupled to each other, and the first etch stop layer above the first connection layer passes through the first through-hole of the second through-hole opening. One bottom of the two parts is exposed. The manufacturing method 10 further includes step 108, performing a second etching to remove a portion of the first etch stop layer and exposing the bottom through the bottom of the first through hole opening and the bottom of the second portion of the second through hole opening. First connection layer. The manufacturing method 10 further includes step 110, forming a first first TSV conductor in the first via opening, and forming a second TSV conductor in the second via opening. According to one or more embodiments, it will be further described 10. Preparation method 10 of this semiconductor structure.

圖2及圖3是示意圖,例示本揭露一些實施例之半導體封裝結構之製備方法的製造階段。參照圖2,根據步驟101提供一基底200。在一些實施例中,基底200包括矽(Si)。在其他實施例中,基底200可以包括鎵(Ga)、砷化鎵(GaAs)、氮化鎵(GaN)、應變矽(strained silicon)、矽鍺(SiGe)、碳化矽(SiC)、鑽石、磊晶層(epitaxy layer)或其組合,但是本揭露不限於此。在其他實施例中,基底200可以包括絕緣體上矽(SOI)基底,但是本揭露不限於此。基底200具有一前表面202F及與前表面202F相對的一背表面202B,如圖2所示。在一些實施例中,在前表面202F的基底200上方或基底200內形成一電路層(未示出)。該電路層可以包括電路圖案或電路元件,例如電晶體、電容器和與或二極體,但是本揭露不限於此。因此,前表面202F可以被稱為主動表面,但是本揭露不限於此。 2 and 3 are schematic diagrams illustrating manufacturing stages of a method for manufacturing a semiconductor package structure according to some embodiments of the present disclosure. Referring to FIG. 2, a substrate 200 is provided according to step 101. In some embodiments, the substrate 200 includes silicon (Si). In other embodiments, the substrate 200 may include gallium (Ga), gallium arsenide (GaAs), gallium nitride (GaN), strained silicon, silicon germanium (SiGe), silicon carbide (SiC), diamond, An epitaxy layer or a combination thereof, but the disclosure is not limited thereto. In other embodiments, the substrate 200 may include a silicon-on-insulator (SOI) substrate, but the disclosure is not limited thereto. The substrate 200 has a front surface 202F and a back surface 202B opposite to the front surface 202F, as shown in FIG. 2. In some embodiments, a circuit layer (not shown) is formed on or in the substrate 200 of the front surface 202F. The circuit layer may include a circuit pattern or a circuit element, such as a transistor, a capacitor, and an or diode, but the present disclosure is not limited thereto. Therefore, the front surface 202F may be referred to as an active surface, but the present disclosure is not limited thereto.

基底200更包括設置在前表面202F上方的一互連結構210。互連結構210包括由複數介電層形成的一介電結構212,其中複數個連接層形成在該複教個介電層內。用於隔離該連接層的介電結構212也稱為金屬間介電(inter-metal dielectric,IMD)層。介電結構212可包括,但不限於,例如氧化矽(SiO)、四乙氧基矽烷(TEOS)、磷矽玻璃(PSG)或硼-磷矽玻璃(BPSG)。不同介電層中的連接層通常被描述為處於不同的層,第一至第n層被稱為M1至Mn。例如,互連結構210包括三個層,並且第一層到第三層被稱為M1到M3,如圖2所示。但是,本領域技術人員應該容易地了解,層的數量不限於3。基底200的上方設置有電路層和互連結構210,基底200可以是一半導體晶片或用於3D晶片封裝、晶圖級封裝(WLP)或晶圓鍵合製程的一晶粒。 The substrate 200 further includes an interconnection structure 210 disposed above the front surface 202F. The interconnect structure 210 includes a dielectric structure 212 formed by a plurality of dielectric layers, and a plurality of connection layers are formed in the plurality of dielectric layers. The dielectric structure 212 for isolating the connection layer is also referred to as an inter-metal dielectric (IMD) layer. The dielectric structure 212 may include, but is not limited to, for example, silicon oxide (SiO), tetraethoxysilane (TEOS), phosphosilicate glass (PSG), or boro-phosphosilicate glass (BPSG). The connection layers in different dielectric layers are generally described as being in different layers, and the first to nth layers are referred to as M1 to Mn. For example, the interconnect structure 210 includes three layers, and the first to third layers are referred to as M1 to M3, as shown in FIG. 2. However, those skilled in the art should easily understand that the number of layers is not limited to three. A circuit layer and an interconnect structure 210 are disposed above the substrate 200. The substrate 200 may be a semiconductor wafer or a die used for 3D wafer packaging, wafer level packaging (WLP), or wafer bonding processes.

仍舊參考圖2,在該實施例中,互連結構210中的連接層根據不同的目的形成。例如,連接層214a和214b被指定為電連接到通孔導體,連接層214c被指定為內部連接層而不與通孔導體接觸。在一些實施例中,連接層214a、214b和214c可以透過常規製程來形成,例如但不限於雙鑲嵌(dual damascene)製程。連接層214a和214b與連接層214c不同。如圖2所示,蝕刻停止層216a設置在面對基板200的連接層214a的一表面的上方,蝕刻停止層216b設置在面對基板200的連接層214b的一表面的上方。不同於連接層214a和214b,連接層214c在其任何表面上沒有任何蝕刻停止層,如圖2所示。在一些實施例中,連接層214a、214a及214c可以包括導電材料例如鎢(W)、矽化鎢(WSi)、鋁(Al)、鈦(Ti)、氮化鈦(TiN)或鈷(Co),但是本揭露不限於此。 Still referring to FIG. 2, in this embodiment, the connection layers in the interconnect structure 210 are formed according to different purposes. For example, the connection layers 214a and 214b are designated to be electrically connected to the via-hole conductor, and the connection layer 214c is designated to be an internal connection layer without contacting the via-hole conductor. In some embodiments, the connection layers 214a, 214b, and 214c may be formed by a conventional process, such as, but not limited to, a dual damascene process. The connection layers 214a and 214b are different from the connection layer 214c. As shown in FIG. 2, the etch stop layer 216 a is disposed above one surface of the connection layer 214 a facing the substrate 200, and the etch stop layer 216 b is disposed above one surface of the connection layer 214 b facing the substrate 200. Unlike the connection layers 214a and 214b, the connection layer 214c does not have any etch stop layer on any surface thereof, as shown in FIG. In some embodiments, the connection layers 214a, 214a, and 214c may include a conductive material such as tungsten (W), tungsten silicide (WSi), aluminum (Al), titanium (Ti), titanium nitride (TiN), or cobalt (Co) , But this disclosure is not limited to this.

重要的是,連接層214a和214b根據它們在電連接中的功能被分成兩種配置。在一些實施例中,連接層214a做為垂直電連接的端點,因此被設計為包括一平板構造,其中蝕刻停止層216a所覆蓋連接層214a的表面也具有一平板構造。因此,透過連接層214a提供一通孔導體足夠的接觸區域。連接層214c彼此電連接和/或與連接層214a電連接。 It is important that the connection layers 214a and 214b are divided into two configurations according to their function in electrical connection. In some embodiments, the connection layer 214a is used as an end point of the vertical electrical connection, so it is designed to include a flat plate structure, wherein the surface of the connection layer 214a covered by the etch stop layer 216a also has a flat plate structure. Therefore, a sufficient contact area of a via-hole conductor is provided through the connection layer 214a. The connection layers 214c are electrically connected to each other and / or to the connection layer 214a.

圖4A是圖2及圖3的局部剖視圖,圖4B是圖4A的俯視示意圖(或仰視示意圖),圖4C是與圖4B所示視圖的相對視圖。參照圖4A至圖4C,在一些實施例中,連接層214b做為垂直連接的一部分。因此,連接層214b被設計為包括一環形配置,並且覆蓋連接層214b的表面的蝕刻停止層216b也被設計為包括一環形配置。參照圖2和圖4B,在環形的連接層214b和環形的蝕刻停止層216b的一俯視圖中,可以從環形的連接層214b和環形的蝕刻停止層216b的一中心觀察到介電結構212。參照圖2和圖 4C,在環形的連接層214b和環形的蝕刻停止層216b的仰視圖中,環形的蝕刻停止層216b完全覆蓋連接層214b的表面以提供保護。 4A is a partial cross-sectional view of FIGS. 2 and 3, FIG. 4B is a schematic plan view (or a bottom view) of FIG. 4A, and FIG. 4C is a view opposite to the view shown in FIG. 4B. 4A to 4C, in some embodiments, the connection layer 214b is used as a part of the vertical connection. Therefore, the connection layer 214b is designed to include a ring configuration, and the etch stop layer 216b covering the surface of the connection layer 214b is also designed to include a ring configuration. 2 and 4B, in a top view of the ring-shaped connection layer 214b and the ring-shaped etch stop layer 216b, the dielectric structure 212 can be observed from a center of the ring-shaped connection layer 214b and the ring-shaped etch stop layer 216b. Refer to Figure 2 and Figure 4C. In the bottom view of the ring-shaped connection layer 214b and the ring-shaped etch stop layer 216b, the ring-shaped etch stop layer 216b completely covers the surface of the connection layer 214b to provide protection.

值得注意的是,蝕刻停止層216a和環形的蝕刻停止層216b包括在組成方面不同或足夠不同的材料,使得蝕刻停止層216a和環形的蝕刻停止層216b可以使用相對於介電結構112的適當蝕刻化學物質來選擇性地移除。在一些實施例中,蝕刻停止層216a和環形的蝕刻停止層216b可以包括相同的材料,例如氮化矽(SiN)或氮氧化矽(SiON),但是本揭露不限於此。 It is worth noting that the etch stop layer 216a and the ring-shaped etch stop layer 216b include materials that are different or sufficiently different in composition so that the etch stop layer 216a and the ring-shaped etch stop layer 216b can use appropriate etching with respect to the dielectric structure 112 Chemicals to selectively remove. In some embodiments, the etch stop layer 216a and the ring-shaped etch stop layer 216b may include the same material, such as silicon nitride (SiN) or silicon oxynitride (SiON), but the disclosure is not limited thereto.

參照圖3,根據步驟102提供一基板300。如上所述,根據實施例,可以在步驟102之前、之後或同時執行步驟101。在一些實施例中,基底300包括矽(Si)。在其他實施例中,基底300可以包括鎵(Ga)、砷化鎵(GaAs)、氮化鎵(GaN)、應變矽(strained silicon)、矽鍺(SiGe)、碳化矽(SiC)、鑽石、磊晶層(epitaxy layer)或其組合,但是本揭露不限於此。在其他實施例中,基底300可以包括絕緣體上矽(SOI)基底,但是本揭露不限於此。基底300具有一前表面302F及與前表面302F相對的一背表面302B,如圖3所示。在一些實施例中,在前表面302F的基底300上方或基底300內形成一電路層(未示出)。該電路層可以包括電路圖案或電路元件,例如電晶體、電容器和與或二極體,但是本揭露不限於此。因此,前表面302F可以被稱為主動表面,但是本揭露不限於此。 Referring to FIG. 3, a substrate 300 is provided according to step 102. As described above, according to the embodiment, step 101 may be performed before, after, or at the same time as step 102. In some embodiments, the substrate 300 includes silicon (Si). In other embodiments, the substrate 300 may include gallium (Ga), gallium arsenide (GaAs), gallium nitride (GaN), strained silicon, silicon germanium (SiGe), silicon carbide (SiC), diamond, An epitaxy layer or a combination thereof, but the disclosure is not limited thereto. In other embodiments, the substrate 300 may include a silicon-on-insulator (SOI) substrate, but the disclosure is not limited thereto. The substrate 300 has a front surface 302F and a back surface 302B opposite to the front surface 302F, as shown in FIG. 3. In some embodiments, a circuit layer (not shown) is formed on or in the substrate 300 of the front surface 302F. The circuit layer may include a circuit pattern or a circuit element, such as a transistor, a capacitor, and an or diode, but the present disclosure is not limited thereto. Therefore, the front surface 302F may be referred to as an active surface, but the present disclosure is not limited thereto.

基底300更包括設置在前表面302F上方的一互連結構310。互連結構310包括由複數介電層形成的一介電結構312,其中複數個連接層形成在該複教個介電層內。用於隔離該連接層的介電結構312也稱為金屬間介電(IMD)層。介電結構312可包括,但不限於,例如氧化矽(SiO)、 四乙氧基矽烷(TEOS)、磷矽玻璃(PSG)或硼-磷矽玻璃(BPSG)。不同介電層中的連接層通常被描述為處於不同的層,第一至第n層被稱為M1至Mn。例如,互連結構310包括三個層,並且第一層到第三層被稱為M1到M3,如圖3所示。但是,本領域技術人員應該容易地了解,層的數量不限於3。基底300的上方設置有電路層和互連結構310,基底300可以是一半導體晶片或用於3D晶片封裝、晶圖級封裝(WLP)或晶圓鍵合製程的一晶粒。 The substrate 300 further includes an interconnection structure 310 disposed above the front surface 302F. The interconnect structure 310 includes a dielectric structure 312 formed by a plurality of dielectric layers, wherein a plurality of connection layers are formed in the plurality of dielectric layers. The dielectric structure 312 used to isolate the connection layer is also referred to as an intermetal dielectric (IMD) layer. The dielectric structure 312 may include, but is not limited to, for example, silicon oxide (SiO), Tetraethoxysilane (TEOS), phosphosilicate glass (PSG) or boro-phosphosilicate glass (BPSG). The connection layers in different dielectric layers are generally described as being in different layers, and the first to nth layers are referred to as M1 to Mn. For example, the interconnect structure 310 includes three layers, and the first to third layers are referred to as M1 to M3, as shown in FIG. 3. However, those skilled in the art should easily understand that the number of layers is not limited to three. A circuit layer and an interconnect structure 310 are disposed above the substrate 300. The substrate 300 may be a semiconductor wafer or a die used for 3D wafer packaging, wafer level packaging (WLP), or wafer bonding processes.

仍舊參考圖3,在該實施例中,互連結構310中的連接層根據不同的目的形成。例如,連接層314a和314b被指定為電連接到通孔導體,連接層314c被指定為內部連接層而不與通孔導體接觸。在一些實施例中,連接層314a、314b和314c可以透過常規製程來形成,例如但不限於雙鑲嵌(dual damascene)製程。連接層314a和314b與連接層314c不同。如圖3所示,蝕刻停止層316a設置在面對基板300的連接層314a的一表面的上方,蝕刻停止層316b設置在面對基板300的連接層314b的一表面的上方。不同於連接層314a和314b,連接層314c在其任何表面上沒有任何蝕刻停止層,如圖3所示。在一些實施例中,連接層314a、314a及314c可以包括導電材料例如鎢(W)、矽化鎢(WSi)、鋁(Al)、鈦(Ti)、氮化鈦(TiN)或鈷(Co),但是本揭露不限於此。 Still referring to FIG. 3, in this embodiment, the connection layers in the interconnect structure 310 are formed according to different purposes. For example, the connection layers 314a and 314b are designated to be electrically connected to the via-hole conductor, and the connection layer 314c is designated to be an internal connection layer without contacting the via-hole conductor. In some embodiments, the connection layers 314a, 314b, and 314c may be formed by a conventional process, such as, but not limited to, a dual damascene process. The connection layers 314a and 314b are different from the connection layer 314c. As shown in FIG. 3, the etch stop layer 316 a is disposed above one surface of the connection layer 314 a facing the substrate 300, and the etch stop layer 316 b is disposed above one surface of the connection layer 314 b facing the substrate 300. Unlike the connection layers 314a and 314b, the connection layer 314c does not have any etch stop layer on any surface thereof, as shown in FIG. In some embodiments, the connection layers 314a, 314a, and 314c may include a conductive material such as tungsten (W), tungsten silicide (WSi), aluminum (Al), titanium (Ti), titanium nitride (TiN), or cobalt (Co) , But this disclosure is not limited to this.

重要的是,連接層314a和314b根據它們在電連接中的功能被分成兩種配置。在一些實施例中,連接層314a做為垂直電連接的端點,因此被設計為包括一平板構造,其中蝕刻停止層316a所覆蓋連接層314a的表面也具有一平板構造。因此,透過連接層314a提供一通孔導體足夠的接觸區域。此外,連接層314c彼此電連接和/或與連接層314a電連接。 It is important that the connection layers 314a and 314b are divided into two configurations according to their function in electrical connection. In some embodiments, the connection layer 314a is used as an end point of the vertical electrical connection, and therefore is designed to include a flat plate structure, wherein the surface of the connection layer 314a covered by the etch stop layer 316a also has a flat plate structure. Therefore, a sufficient contact area of a via-hole conductor is provided through the connection layer 314a. Further, the connection layers 314c are electrically connected to each other and / or to the connection layer 314a.

參照圖4A至圖4C,在一些實施例中,連接層314b做為垂直連接的一部分。因此,連接層314b被設計為包括一環形配置,並且覆蓋連接層314b的表面的蝕刻停止層316b也被設計為包括一環形配置。參照圖3和圖4B,在環形的連接層314b和環形的蝕刻停止層316b的一俯視圖中,可以從環形的連接層314b和環形的蝕刻停止層316b的一中心觀察到介電結構312。參照圖3和圖4C,在環形的連接層314b和環形的蝕刻停止層316b的一仰視圖中,環形的蝕刻停止層316b完全覆蓋連接層314b的表面以提供保護。 4A to 4C, in some embodiments, the connection layer 314b is used as a part of the vertical connection. Therefore, the connection layer 314b is designed to include a ring configuration, and the etch stop layer 316b covering the surface of the connection layer 314b is also designed to include a ring configuration. 3 and 4B, in a top view of the ring-shaped connection layer 314b and the ring-shaped etch stop layer 316b, the dielectric structure 312 can be observed from a center of the ring-shaped connection layer 314b and the ring-shaped etch stop layer 316b. 3 and 4C, in a bottom view of the ring-shaped connection layer 314b and the ring-shaped etch stop layer 316b, the ring-shaped etch stop layer 316b completely covers the surface of the connection layer 314b to provide protection.

值得注意的是,蝕刻停止層316a和環形的蝕刻停止層316b包括在組成方面不同或足夠不同的材料,使得蝕刻停止層316a和環形的蝕刻停止層316b可以使用相對於介電結構312的適當蝕刻化學物質來選擇性地移除。在一些實施例中,蝕刻停止層316a和環形的蝕刻停止層316b可以包括相同的材料,例如氮化矽(SiN)或氮氧化矽(SiON),但是本揭露不限於此。 It is worth noting that the etch stop layer 316a and the ring-shaped etch stop layer 316b include materials that are different or sufficiently different in composition so that the etch stop layer 316a and the ring-shaped etch stop layer 316b can use appropriate etching relative to the dielectric structure 312 Chemicals to selectively remove. In some embodiments, the etch stop layer 316a and the ring-shaped etch stop layer 316b may include the same material, such as silicon nitride (SiN) or silicon oxynitride (SiON), but the disclosure is not limited thereto.

圖5至圖9是示意圖,例示本揭露實施例之半導體封裝結構之製備方法的各種製造階段。參照圖5,接下來,接合基底200和基底300。在一些實施例中,基底200被翻轉以接合到基底300,如圖5所示。在一些實施例中,儘管未示出,基底300被翻轉以接合到基底300。應注意的是,基底200和基底300是以面對面的方式接合。透過以面對面的方式垂直堆疊具有不同功能的晶粒,一種面對面的通訊在不同功能的晶粒之間實現。此外,相較於具有以橫向相鄰方式佈置的不同功能的晶粒的半導體元件相比,以面對面方式垂直地堆疊具有不同功能的晶粒減小了半導體元件的佔用面積。此外,以面對面方式垂直堆疊的不同功能的晶粒的訊號 路徑比以橫向相鄰方式佈置的不同功能的晶粒的訊號路徑短;因此,以本發明的面對面方式垂直堆疊的不同功能的晶粒可以應用於高速電子元件。 5 to 9 are schematic diagrams illustrating various manufacturing stages of a method for manufacturing a semiconductor package structure according to an embodiment of the present disclosure. 5, next, the substrate 200 and the substrate 300 are bonded. In some embodiments, the substrate 200 is turned over to engage the substrate 300 as shown in FIG. 5. In some embodiments, although not shown, the substrate 300 is flipped to bond to the substrate 300. It should be noted that the substrate 200 and the substrate 300 are joined in a face-to-face manner. By vertically stacking dies with different functions in a face-to-face manner, a face-to-face communication is achieved between the dies with different functions. In addition, stacking the dies having different functions vertically in a face-to-face manner reduces the occupied area of the semiconductor element compared to semiconductor elements having dies having different functions arranged in a laterally adjacent manner. In addition, signals of differently functioning dies are stacked vertically face to face The paths are shorter than the signal paths of the dies with different functions arranged in a laterally adjacent manner; therefore, the dies with different functions stacked vertically in the face-to-face manner of the present invention can be applied to high-speed electronic components.

在一些實施例中,根據步驟104,接合互連結構210和互連結構310以形成設置在基底200的前表面202F和基底300的前表面302F之間的互連結構400。因此,互連結構400包括由介電結構212、312和連接層214a至214c和314a至314c所形成的介電結構402。在一些實施例中,界面404在互連結構210和互連結構310之間形成。換句話說,界面404形成在互連結構400內。 In some embodiments, according to step 104, the interconnect structure 210 and the interconnect structure 310 are joined to form an interconnect structure 400 disposed between the front surface 202F of the substrate 200 and the front surface 302F of the substrate 300. Therefore, the interconnect structure 400 includes a dielectric structure 402 formed by the dielectric structures 212, 312 and the connection layers 214a to 214c and 314a to 314c. In some embodiments, the interface 404 is formed between the interconnect structure 210 and the interconnect structure 310. In other words, the interface 404 is formed within the interconnect structure 400.

參照圖6,根據步驟106,執行第一蝕刻以形成穿透基底200和互連結構400的一部分的第一通孔開口410和第二通孔開口412。在一些實施例中,對基底200的後表面202B執行第一蝕刻,如圖6所示。應注意,第一蝕刻去除了部份的介電結構402並且在蝕刻停止層216a、316a處停止以形成第一通孔開口410。值得注意的是,第一蝕刻從環形的蝕刻停止層216b、316b的中心和環形的連接層214b、314b的中心移除部份的介電結構402,並且在蝕刻停止層216a、316a處停止以形成第二通孔開口412。因此,形成具有相同的深度或不同的深度的第一通孔開口402和第二通孔開口404,如圖6所示。在一些實施例中,藉由執行該第一蝕刻,基底200和介電結構402透過第一通孔開口410的側壁暴露,蝕刻停止層216a、316a透過第一通孔開口410的底部暴露。應注意,第一通孔402的深度可彼此相同或不同。在一些實施例中,第一通孔開口的一深度由蝕刻停止層216a、316a所在的層來確定,如圖6所示。 Referring to FIG. 6, according to step 106, a first etching is performed to form a first through-hole opening 410 and a second through-hole opening 412 penetrating through a portion of the substrate 200 and the interconnect structure 400. In some embodiments, a first etch is performed on the rear surface 202B of the substrate 200 as shown in FIG. 6. It should be noted that the first etch removes part of the dielectric structure 402 and stops at the etch stop layers 216a, 316a to form a first via opening 410. It is worth noting that the first etch removes part of the dielectric structure 402 from the center of the annular etch stop layers 216b, 316b and the center of the annular connection layers 214b, 314b, and stops at the etch stop layers 216a, 316a to A second through hole opening 412 is formed. Therefore, the first through-hole opening 402 and the second through-hole opening 404 having the same depth or different depths are formed, as shown in FIG. 6. In some embodiments, by performing the first etching, the substrate 200 and the dielectric structure 402 are exposed through the sidewall of the first via opening 410, and the etch stop layers 216a, 316a are exposed through the bottom of the first via opening 410. It should be noted that the depths of the first through holes 402 may be the same or different from each other. In some embodiments, a depth of the first through hole opening is determined by the layer where the etch stop layers 216a, 316a are located, as shown in FIG.

仍然參考圖6,第二通孔開口412包括彼此連接的第一部分414a和第二部分414b。在一些實施例中,藉由執行第一蝕刻,基底200和 介電結構402透過第二通孔開口412的第一部分414a的側壁暴露,環形的蝕刻停止層216b、316b透過第二通孔開口412的第一部分414a的底部暴露。此外,藉由執行第一蝕刻,環形的連接層214b、314b和介電結構402透過第二通孔開口412的第二部分414b的側壁暴露,蝕刻停止層216a、316a透過第二通孔開口412的第二部分414b的底部暴露。第二通孔開口412的第二部分414b的寬度小於第二通孔開口412的第一部分414a的寬度。在一些實施例中,第二部分414b的寬度基本上等於環形的蝕刻停止層216b、316b的內徑,或者實質上上等於環形的連接層214b、314b的內徑,但是本揭露不限於此。因此,由於環形的蝕刻停止層216b、316b和環形的連接層214b、314b的環形配置,第一部分414a和第二部分414b在形成時是自對準的(self-aligned)。應注意,第一部分414a的深度和第二部分414b的深度可彼此相同或不同。在一些實施例中,第一部分414a的深度由環形的蝕刻停止層216b、316b所處的層確定,第二部分414b的深度由蝕刻停止層216a、316a所在的層確定,如圖6所示。 Still referring to FIG. 6, the second through-hole opening 412 includes a first portion 414a and a second portion 414b connected to each other. In some embodiments, by performing a first etch, the substrate 200 and The dielectric structure 402 is exposed through the sidewall of the first portion 414a of the second via opening 412, and the annular etch stop layers 216b, 316b are exposed through the bottom of the first portion 414a of the second via opening 412. In addition, by performing the first etching, the ring-shaped connection layers 214b, 314b and the dielectric structure 402 are exposed through the sidewall of the second portion 414b of the second through-hole opening 412, and the etch stop layers 216a, 316a pass through the second through-hole opening 412 The bottom of the second portion 414b is exposed. The width of the second portion 414b of the second through-hole opening 412 is smaller than the width of the first portion 414a of the second through-hole opening 412. In some embodiments, the width of the second portion 414b is substantially equal to the inner diameter of the ring-shaped etch stop layers 216b, 316b, or is substantially equal to the inner diameter of the ring-shaped connection layers 214b, 314b, but the disclosure is not limited thereto. Therefore, due to the annular configuration of the annular etch stop layers 216b, 316b and the annular connection layers 214b, 314b, the first portion 414a and the second portion 414b are self-aligned when formed. It should be noted that the depth of the first portion 414a and the depth of the second portion 414b may be the same or different from each other. In some embodiments, the depth of the first portion 414a is determined by the layer where the annular etch stop layers 216b, 316b are located, and the depth of the second portion 414b is determined by the layer where the etch stop layers 216a, 316a are located, as shown in FIG.

應當注意,因為蝕刻停止層的蝕刻速率不同於介電結構402的蝕刻速率,所以可以形成第一通孔開口410和第二通孔開口412而不損壞蝕刻停止層216a、216b、316a和316B。 It should be noted that because the etch rate of the etch stop layer is different from the etch rate of the dielectric structure 402, the first and second via openings 410 and 412 can be formed without damaging the etch stop layers 216a, 216b, 316a, and 316B.

參照圖7,根據步驟108,執行第二蝕刻以從第一和第二通孔開口410和412的底部移除蝕刻停止層216a和316a。因此,連接層214a、314a透過第一通孔開口410的底部暴露。在一些實施例中,藉由執行第二蝕刻,基底200、介電結構402和蝕刻停止層216a、316a透過第一通孔開口410的側壁暴露,連接層214a、314a此時藉由執行第二蝕刻,透過第一通孔開口410的底部暴露。 Referring to FIG. 7, according to step 108, a second etch is performed to remove the etch stop layers 216 a and 316 a from the bottoms of the first and second via openings 410 and 412. Therefore, the connection layers 214 a and 314 a are exposed through the bottom of the first through-hole opening 410. In some embodiments, by performing the second etching, the substrate 200, the dielectric structure 402, and the etch stop layers 216a, 316a are exposed through the sidewall of the first through-hole opening 410, and the connection layers 214a, 314a are performed by performing the second The bottom of the first through-hole opening 410 is etched and exposed.

依舊參考圖7,藉由執行第二次蝕刻,基底200、介電結構402和環形的蝕刻停止層216b、316b透過第一部分414a的側壁暴露,連接層214a、314a此時透過第二通孔開口412的第二部分414b的底部暴露。 Still referring to FIG. 7, by performing the second etching, the substrate 200, the dielectric structure 402, and the annular etch stop layers 216b and 316b are exposed through the sidewall of the first portion 414a, and the connection layers 214a and 314a are opened through the second through hole at this time. The bottom of the second portion 414b of 412 is exposed.

應當注意,因為蝕刻停止層的蝕刻速率與介電結構402的蝕刻速率不同,所以可以去除蝕刻停止層216a、216b、316a、316b而不損壞電介質結構402,如圖7所示。 It should be noted that because the etch rate of the etch stop layer is different from that of the dielectric structure 402, the etch stop layers 216a, 216b, 316a, 316b can be removed without damaging the dielectric structure 402, as shown in FIG.

參照圖8和圖9,根據步驟110,在第一通孔開口410內形成第一第一穿矽通孔導體430,在第二通孔開口412內形成第二穿矽通孔導體432。在一些實施例中,步驟100更包括以下步驟:例如,一阻擋/膠合層420設置在第一通孔開口410和第二通孔開口412的側壁和底部的上方,如圖8中所示。在一些實施例中,阻擋/膠合層420可以包括組(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、鎢(W)、氮化鎢(WN)、鉬(Mo)、錳(Mn)、鈦/銅(Ti/Cu)和/或銅(Cu),但是本揭露不限於此。 8 and FIG. 9, according to step 110, a first first TSV conductor 430 is formed in the first via opening 410, and a second TSV conductor 432 is formed in the second via opening 412. In some embodiments, step 100 further includes the following steps. For example, a blocking / glue layer 420 is disposed above the sidewalls and the bottom of the first through-hole opening 410 and the second through-hole opening 412, as shown in FIG. 8. In some embodiments, the barrier / gluing layer 420 may include a group (Ta), tantalum nitride (TaN), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), molybdenum (Mo), manganese (Mn), titanium / copper (Ti / Cu), and / or copper (Cu), but the disclosure is not limited thereto.

參照圖9,接下來形成例如銅的導電材料422以填充第一和第二通孔導體410和412。因此,獲得第一穿矽通孔導體430和第二穿矽通孔導體432,如圖9所示。 Referring to FIG. 9, a conductive material 422 such as copper is formed next to fill the first and second via-hole conductors 410 and 412. Therefore, a first TSV conductor 430 and a second TSV conductor 432 are obtained, as shown in FIG. 9.

如圖9所示,提供了一半導體封裝結構50。半導體封裝結構50包括基底200,具有前表面202F和與前表面202F相對的後表面202B;基底300,具有前表面302F和與前表面302F相對的後表面302B;互連結構400,設置在基底200的前表面202F和基底300的前表面302F之間;複數個第一穿矽通孔導體430,從基底200的後表面202B穿透基底200和互連結構400的一部分;以及複數個第二穿矽通孔導體432,從基底200的後表面202B穿透基底200和互連結構400的一部分。在一些實施例中, 第一穿矽通孔導體430中的至少一個穿過界面404。在一些實施例中,第二穿矽通孔導體432中的至少一個穿過界面404。 As shown in FIG. 9, a semiconductor package structure 50 is provided. The semiconductor package structure 50 includes a substrate 200 having a front surface 202F and a rear surface 202B opposite to the front surface 202F; a substrate 300 having a front surface 302F and a rear surface 302B opposite to the front surface 302F; an interconnection structure 400 disposed on the substrate 200 Between the front surface 202F of the substrate 300 and the front surface 302F of the substrate 300; a plurality of first TSV conductors 430 penetrating through a portion of the substrate 200 and the interconnect structure 400 from the rear surface 202B of the substrate 200; and a plurality of second vias Through-silicon via conductors 432 penetrate the substrate 200 and a portion of the interconnect structure 400 from the rear surface 202B of the substrate 200. In some embodiments, At least one of the first TSV conductors 430 passes through the interface 404. In some embodiments, at least one of the second TSV conductors 432 passes through the interface 404.

如圖9所示,互連結構400包括介電結構402、設置在介電結構402內的複數個連接層214a、314a和設置在介電結構402內的複數個環形的連接層214b、314b。在一些實施例中,第一穿矽通孔導體430中的至少一個與連接層214a或314a中的一個接觸。在一些實施例中,第二穿矽通孔導體432中的至少一個與環形的第二連接層214b、314b中的一個及連接層214a或314a的另一個接觸。如上所述,互連結構400更可包括複數個連接層214c、314c,其係彼此電連接和/或與連接層214a、314a電連接。但是,連接層214c、314c與第一穿矽通孔導體430、第二穿矽通孔導體432和環形的連接層214b、314b分開,如圖9所示。 As shown in FIG. 9, the interconnect structure 400 includes a dielectric structure 402, a plurality of connection layers 214 a and 314 a provided in the dielectric structure 402, and a plurality of ring-shaped connection layers 214 b and 314 b provided in the dielectric structure 402. In some embodiments, at least one of the first TSV conductors 430 is in contact with one of the connection layers 214a or 314a. In some embodiments, at least one of the second TSV conductors 432 is in contact with one of the ring-shaped second connection layers 214b, 314b and the other of the connection layers 214a or 314a. As described above, the interconnect structure 400 may further include a plurality of connection layers 214c, 314c, which are electrically connected to each other and / or electrically connected to the connection layers 214a, 314a. However, the connection layers 214c and 314c are separated from the first TSV conductor 430, the second TSV conductor 432, and the annular connection layers 214b and 314b, as shown in FIG.

在一些實施例中,半導體封裝結構50更包括設置在連接層214a,314a上方的蝕刻停止層216a、316a和設置在環形的連接層214b、314b上方的蝕刻停止層216b、316b。此外,蝕刻停止層216a、316a設置在連接層214a、314a面向基底200的一表面的上方,環形的蝕刻停止層216b、316b設置在環形的連接層214b、314b面向基底200的一表面的上方。因此,連接層214a、314a的該表面透過蝕刻停止層216a、316a與介電結構402分開,環形的連接層214b、314b的該表面透過環形的蝕刻停止層216b、316b與電介質結構402分開。 In some embodiments, the semiconductor package structure 50 further includes etch stop layers 216a, 316a disposed above the connection layers 214a, 314a and etch stop layers 216b, 316b disposed above the ring-shaped connection layers 214b, 314b. In addition, the etch stop layers 216a, 316a are disposed above a surface of the connection layer 214a, 314a facing the substrate 200, and the ring-shaped etch stop layers 216b, 316b are disposed above a surface of the connection layer 214b, 314b facing the substrate 200. Therefore, the surfaces of the connection layers 214a and 314a are separated from the dielectric structure 402 by the etch stop layers 216a and 316a, and the surfaces of the connection layers 214b and 314b are separated from the dielectric structure 402 by the etch stop layers 216b and 316b.

如圖9所示,第一穿矽通孔導體430穿過介電結構402的一部分和蝕刻停止層216a、316a,並延伸到連接層214a或314a中的一個。在一些實施例中,複數個第二穿矽通孔導體432中的至少一個包括第一部分434a和耦接到第一部分434a的第二部分434b。在一些實施例中,第二 穿矽通孔導體432的第一部分434a穿過介電結構402的一部分和環形的蝕刻停止層216b、316b。在一些實施例中,第二穿矽通孔導體432的第二部分434b穿過環形的連接層214b、314b及介電結構402的一部分和蝕刻停止層216a、316a,並延伸到另一個連接層214a或314a。 As shown in FIG. 9, the first TSV conductor 430 passes through a portion of the dielectric structure 402 and the etch stop layers 216a, 316a, and extends to one of the connection layers 214a or 314a. In some embodiments, at least one of the plurality of second TSV conductors 432 includes a first portion 434a and a second portion 434b coupled to the first portion 434a. In some embodiments, the second The first portion 434a of the TSV conductor 432 passes through a portion of the dielectric structure 402 and the annular etch stop layers 216b, 316b. In some embodiments, the second portion 434b of the second TSV conductor 432 passes through the annular connection layers 214b, 314b and a portion of the dielectric structure 402 and the etch stop layers 216a, 316a, and extends to another connection layer 214a or 314a.

依舊參考圖9,第一穿矽通孔導體430可以具有一致的寬度,第二穿矽通孔導體432的第一部分434a可以具有一致的寬度,第二穿矽通孔導體432的第二部分434b可以具有一致的寬度。在一些實施例中,第一穿矽通孔導體430可包括相同的寬度。在其他實施例中,第一穿矽通孔導體430可包括不同的寬度,如圖9所示。例如,當第一穿矽通孔導體430電連接到電源或接地時,此種第第一穿矽通孔導體430的寬度可以大於其他第一穿矽通孔導體430的寬度,但是本揭露不限於此。類似地,在一些實施例中,第二穿矽通孔導體432可包括相同的寬度。在其他實施例中,第二穿矽通孔導體432可包括不同的寬度。例如,當第二穿矽通孔導體432電連接到電源或接地時,此種第二穿矽通孔導體432的寬度可以大於其他第二穿矽通孔導體432的寬度,但是本揭露不限於此。此外,第一部分434a的寬度大於第二部分434b的寬度。在一些實施例中,第一部分434a的寬度小於環形的蝕刻停止層216b、316b的外徑,或小於環形的連接層214b、314b的外徑,但是本揭露不限於此。但是,第一部分434a的寬度大於環形的蝕刻停止層216b、316b的內徑,或者大於環形的連接層214b、314b的內徑,但是本揭露不限於此。在一些實施例中,第二部分434b的寬度實質上等於環形的蝕刻停止層216b、316b的內徑,或者實質上上等於環形的連接層214b、314b的內徑,但是本揭露不限於此。 Still referring to FIG. 9, the first TSV conductor 430 may have a uniform width, the first portion 434a of the second TSV conductor 432 may have a uniform width, and the second portion 434b of the second TSV conductor 432 Can have a consistent width. In some embodiments, the first TSV conductors 430 may include the same width. In other embodiments, the first TSV conductor 430 may include different widths, as shown in FIG. 9. For example, when the first TSV conductor 430 is electrically connected to a power source or a ground, the width of such a first TSV conductor 430 may be greater than the width of other first TSV conductors 430, but this disclosure does not Limited to this. Similarly, in some embodiments, the second TSV conductors 432 may include the same width. In other embodiments, the second TSV conductor 432 may include different widths. For example, when the second TSV conductor 432 is electrically connected to a power source or a ground, the width of such a second TSV conductor 432 may be greater than the width of other second TSV conductors 432, but the disclosure is not limited to this. this. In addition, the width of the first portion 434a is larger than the width of the second portion 434b. In some embodiments, the width of the first portion 434a is smaller than the outer diameter of the annular etch stop layers 216b, 316b, or smaller than the outer diameter of the annular connection layers 214b, 314b, but the disclosure is not limited thereto. However, the width of the first portion 434a is larger than the inner diameter of the ring-shaped etch stop layers 216b, 316b, or larger than the inner diameter of the ring-shaped connection layers 214b, 314b, but the disclosure is not limited thereto. In some embodiments, the width of the second portion 434b is substantially equal to the inner diameter of the annular etch stop layers 216b, 316b, or is substantially equal to the inner diameter of the annular connection layers 214b, 314b, but the disclosure is not limited thereto.

本揭露提供一種半導體封裝結構的製備方法10。根據製備 方法10,連接層214a、214b、314a和314b被設計為提供與第一和第二穿矽通孔導體430和432的電連接,其分為兩種類型:連接層214a和314a,以及環形的連接層214b和314b。蝕刻停止層216a、316a在連接層214a、314a的上方形成,環形的蝕刻停止層216b、316b在環形的連接層214b、314b的上方形成。因此,第一蝕刻將停止在蝕刻停止層216a、316a的地方,但第一蝕刻將繼續透過環形的蝕刻停止層216b、316b和環形的連接層214b、314b蝕刻介電結構402,最終停止在蝕刻停止層216a、316a。結果,形成第一通路開口410和第二通路開口412。第二通孔開口412包括彼此連接的第一部分414a和第二部分414b。此外,由於環形的蝕刻停止層216b、316b和環形的連接層214b、314b的環形配置,第一部分414a和第二部分414b在形成時是自對準的。之後通過執行第二蝕刻去除蝕刻停止層216a、316a以暴露連接層214a、314a。 The present disclosure provides a method 10 for manufacturing a semiconductor package structure. Under preparation Method 10, the connection layers 214a, 214b, 314a, and 314b are designed to provide electrical connection with the first and second TSV conductors 430 and 432, which are divided into two types: connection layers 214a and 314a, and ring-shaped Connection layers 214b and 314b. The etch stop layers 216a and 316a are formed above the connection layers 214a and 314a, and the ring-shaped etch stop layers 216b and 316b are formed above the ring-shaped connection layers 214b and 314b. Therefore, the first etch will stop at the etch stop layers 216a, 316a, but the first etch will continue to etch the dielectric structure 402 through the ring-shaped etch stop layers 216b, 316b and the ring-shaped connection layers 214b, 314b, and finally stop at the etch Stop layers 216a, 316a. As a result, a first via opening 410 and a second via opening 412 are formed. The second through-hole opening 412 includes a first portion 414a and a second portion 414b connected to each other. In addition, due to the annular configuration of the annular etch stop layers 216b, 316b and the annular connection layers 214b, 314b, the first portion 414a and the second portion 414b are self-aligned when formed. Thereafter, the etch stop layers 216a, 316a are removed by performing a second etch to expose the connection layers 214a, 314a.

在本揭露中,在第一通孔開口410中形成的第一穿矽通孔導體430與連接層214a、314a接觸,在第二通孔開口412中形成的第二穿矽通孔導體432與環形的連接層214b、314b和連接層214a、314a接觸。應當注意,因為提供了連接層214a、314a和環形的連接層214b、314b,所以可以容易地形成互連結構400中的不同層之間的垂直電連接。因此,容易形成兩個基底200和300之間的電連接。因此,不需要用於將導體放置在相同高度的額外佈線。 In the present disclosure, a first TSV conductor 430 formed in the first via opening 410 is in contact with the connection layers 214a, 314a, and a second TSV conductor 432 formed in the second via opening 412 is in contact with The ring-shaped connection layers 214b and 314b are in contact with the connection layers 214a and 314a. It should be noted that since the connection layers 214a, 314a and the ring-shaped connection layers 214b, 314b are provided, vertical electrical connections between different layers in the interconnect structure 400 can be easily formed. Therefore, it is easy to form an electrical connection between the two substrates 200 and 300. Therefore, no extra wiring is needed to place the conductors at the same height.

相反地,相較於在沒有環形的第二蝕刻停止層和環形的第二連接層的情況下的應用方法,需要額外的佈線以放置具有相同高度的導體以形成電連接。如此額外的佈線使得電路設計複雜化,特別是在雙晶粒的堆疊中。 In contrast, compared to the application method without the ring-shaped second etch stop layer and the ring-shaped second connection layer, additional wiring is required to place conductors having the same height to form an electrical connection. Such extra wiring complicates circuit design, especially in dual-die stacks.

本揭露提供一種半導體封裝結構。該半導體封裝結構包括:第一基底,具有一第一前表面和與該第一前表面相對的一第一背表面;一第二基底,具有一第二前表面和與該第二前表面相對的一第二背表面;一互連結構,設置在該第一基底的第一前表面和該第二基底該第二前表面之間;複數個第一穿矽通孔導體從該第一基底的該第一背表面穿透該第一基底和該互連結構的一部份;以及複數個第二穿矽通孔導體從第一基底的該第一背表面穿透該第一基底和該互連結構的一部分。該互連結構包括一介電結構、設置在該介電結構內的複數個第一連接層和設置在該介電結構內的複數個環形的第二連接層。在一些實施例中,複數個第一穿矽通孔導體中的至少一個與複數個第一連接層中的一個接觸。在一些實施例中,複數個第二穿矽通孔導體中的至少一個與該複數個第二連接層中的一個及該複數個第一連接層中的另一個接觸。 The present disclosure provides a semiconductor package structure. The semiconductor package structure includes: a first substrate having a first front surface and a first back surface opposite to the first front surface; a second substrate having a second front surface and opposite to the second front surface A second back surface; an interconnect structure disposed between the first front surface of the first substrate and the second front surface of the second substrate; a plurality of first TSV conductors from the first substrate The first back surface penetrates the first substrate and a portion of the interconnect structure; and a plurality of second TSV conductors penetrate the first substrate and the first substrate from the first back surface of the first substrate Part of the interconnect structure. The interconnect structure includes a dielectric structure, a plurality of first connection layers disposed in the dielectric structure, and a plurality of ring-shaped second connection layers disposed in the dielectric structure. In some embodiments, at least one of the plurality of first TSVs is in contact with one of the plurality of first connection layers. In some embodiments, at least one of the plurality of through-silicon via conductors is in contact with one of the plurality of second connection layers and the other of the plurality of first connection layers.

本揭露另提供一種半導體結構的製備方法。該製備方法包括下列步驟。提供一第一基底,該第一基底具有一第一前表面、與該第一前表面相對的一第一背表面、以及設置在該第一前表面上方的一第一互連結構。提供一第二基底,該第二基底具有一第二前表面、與該第二前表面相對的一第二背表面,以及設置在該第二前表面上方一的第二互連結構。接合該第一互連結構和該第二互連結構,以形成設置在該第一基底的該第一前表面與該第二基底的該第二前表面之間的一第三互連結構。在一些實施例中,該第三互連結構包括一介電結構、設置在該介電結構內的複數第一連接層、設置在該複數個第一連接層上方的複數個第一蝕刻停止層、設置在該電介質結構內的複數個環形的第二連接層以及設置在該複數個環形的第二連接層上方的複數個環形的第二蝕刻停止層。執行一第一蝕刻以形 成穿透該第一基底和該第三互連結構的一部分的一第一通孔開口和一第二通孔開口。在一些實施例中,該複數個第一連接層上方的該複數個第一蝕刻停止層透過該第一通孔開口的一底部暴露。該第二通孔開口包括彼此連接的一第一部分和一第二部分。在一些實施例中,該第一連接層上方的該第一蝕刻停止層透過該第二通孔開口的一底部暴露。執行一第二蝕刻以去除該第一蝕刻停止層的一部分並且透過該第一通孔開口的底部及該第二通孔開口的該第二部分的底部來暴露該第一連接層。在該第一通孔開口內形成一第一穿矽通孔導體,在該第二通孔開口內形成一第二穿矽通孔導體。 The disclosure further provides a method for manufacturing a semiconductor structure. The preparation method includes the following steps. A first substrate is provided. The first substrate has a first front surface, a first back surface opposite to the first front surface, and a first interconnection structure disposed above the first front surface. A second substrate is provided. The second substrate has a second front surface, a second back surface opposite to the second front surface, and a second interconnection structure disposed above the second front surface. The first interconnection structure and the second interconnection structure are bonded to form a third interconnection structure disposed between the first front surface of the first substrate and the second front surface of the second substrate. In some embodiments, the third interconnect structure includes a dielectric structure, a plurality of first connection layers disposed within the dielectric structure, and a plurality of first etch stop layers disposed above the plurality of first connection layers. A plurality of ring-shaped second connection layers provided in the dielectric structure and a plurality of ring-shaped second etch stop layers provided above the plurality of ring-shaped second connection layers. Perform a first etch to shape A first through-hole opening and a second through-hole opening are formed to penetrate the first substrate and a portion of the third interconnect structure. In some embodiments, the plurality of first etch stop layers above the plurality of first connection layers are exposed through a bottom of the first through hole opening. The second through-hole opening includes a first portion and a second portion connected to each other. In some embodiments, the first etch stop layer above the first connection layer is exposed through a bottom of the second through hole opening. A second etch is performed to remove a portion of the first etch stop layer and expose the first connection layer through the bottom of the first via opening and the bottom of the second portion of the second via opening. A first TSV conductor is formed in the first via opening, and a second TSV conductor is formed in the second via opening.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。 Although the disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and substitutions can be made without departing from the spirit and scope of the disclosure as defined by the scope of the patent application. For example, many of the processes described above can be implemented in different ways, and many of the processes described above can be replaced with other processes or combinations thereof.

再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。 Moreover, the scope of the present application is not limited to the specific embodiments of the processes, machinery, manufacturing, material compositions, means, methods and steps described in the description. Those skilled in the art can understand from the disclosure of this disclosure that according to this disclosure, they can use existing, or future developmental processes, machinery, manufacturing, materials that have the same functions or achieve substantially the same results as the corresponding embodiments described herein. Composition, means, method, or step. Accordingly, such processes, machinery, manufacturing, material compositions, means, methods, or steps are included in the scope of the patent application of this application.

Claims (20)

一種半導體封裝結構,包括:一第一基底,該第一基底包括一第一前表面和與該第一前表面相對的一第一背表面;一第二基底,該第二基底包括一第二前表面和與該第二前表面對的一第二背表面;一互連結構,設置在該第一基底的該第一前表面和該第二基底的該第二前表面之間,其中該互連結構包括一介電結構、複數個第一連接層設置在該介電結構內,以及複數個環形的第二連接層設置在該介電結構內;複數個第一穿矽通孔(Through Silicon Via,TSV)導體,從該第一基底的該第一背表面穿透該第一基底和該互連結構的一部份;以及複數個第二穿矽通孔導體,從該第一基底的該第一背表面穿透該第一基底和該互連結構的一部份;其中該複數個第一穿矽通孔導體中的至少一個和該複數個第一連接層中的一個接觸,並且該複數個第二穿矽通孔導體中的至少一個和該複數個環形的第二連接層中的一個及該複數個第一連接層中的另一個接觸。A semiconductor package structure includes: a first substrate including a first front surface and a first back surface opposite to the first front surface; a second substrate including a second substrate A front surface and a second back surface opposite to the second front surface; an interconnect structure disposed between the first front surface of the first substrate and the second front surface of the second substrate, wherein the The interconnect structure includes a dielectric structure, a plurality of first connection layers disposed in the dielectric structure, and a plurality of ring-shaped second connection layers disposed in the dielectric structure; a plurality of first through-silicon vias (Through Silicon Via (TSV) conductor, penetrating the first substrate and a portion of the interconnect structure from the first back surface of the first substrate; and a plurality of second TSV conductors from the first substrate The first back surface penetrates the first substrate and a portion of the interconnect structure; wherein at least one of the plurality of first TSV conductors is in contact with one of the plurality of first connection layers, And at least one of the plurality of through-silicon via conductors A second connection layer of the plurality of ring-shaped and the other contact in the plurality of first connection layer. 如請求項1所述的半導體封裝結構,更包括一第一蝕刻停止層,設置在該第一連接層的上方,以及一第二蝕刻停止層,設置在該第二連接層的上方。The semiconductor package structure according to claim 1, further comprising a first etch stop layer disposed above the first connection layer, and a second etch stop layer disposed above the second connection layer. 如請求項2所述的半導體封裝結構,其中該第一蝕刻停止層設置在該第一連接層的一第一表面的上方,該第一表面向該第一基底,該第二蝕刻停止層設置在該第二連接層的一第二表面的上方,該第二表面面向該第一基底。The semiconductor package structure according to claim 2, wherein the first etch stop layer is disposed above a first surface of the first connection layer, the first surface faces the first substrate, and the second etch stop layer is disposed Above a second surface of the second connection layer, the second surface faces the first substrate. 如請求項3所述的半導體封裝結構,其中該第一連接層的該第一表面透過該第一蝕刻停止層與該介電結構分開,該第二連接層的該第二表面透過該第二蝕刻停止層與該介電結構分開。The semiconductor package structure according to claim 3, wherein the first surface of the first connection layer is separated from the dielectric structure through the first etch stop layer, and the second surface of the second connection layer is transmitted through the second The etch stop layer is separated from the dielectric structure. 如請求項2所述的半導體封裝結構,其中該複數個第一穿矽通孔導體中的至少一個穿過該介電結構的一部分和該第一蝕刻停止層並且延伸到該第一連接層。The semiconductor package structure according to claim 2, wherein at least one of the plurality of first TSV conductors passes through a portion of the dielectric structure and the first etch stop layer and extends to the first connection layer. 如請求項2所述的半導體封裝結構,其中該複數個第二穿矽通孔導體中的至少一個包括一第一部分和耦接到該第一部分的一第二部分。The semiconductor package structure according to claim 2, wherein at least one of the plurality of second TSV conductors includes a first portion and a second portion coupled to the first portion. 如請求項6所述的半導體封裝結構,其中該第二穿矽通孔導體的該第一部分穿過該介電結構的一部分和該第二蝕刻停止層,該第二穿矽通孔導體的該第二部分穿過該環形的第二連接層、該介電結構的一部分和該第一蝕刻停止層。The semiconductor package structure according to claim 6, wherein the first portion of the second TSV conductor passes through a portion of the dielectric structure and the second etch stop layer, and the second TSV conductor A second portion passes through the ring-shaped second connection layer, a portion of the dielectric structure, and the first etch stop layer. 如請求項6所述的半導體封裝結構,其中該第一部分的一寬度大於該第二部分的一寬度。The semiconductor package structure according to claim 6, wherein a width of the first portion is greater than a width of the second portion. 如請求項6所述的半導體封裝結構,其中該互連結構更包括複數個第三連接層電連接到該複數個第一連接層並且與該複數個第一穿矽通孔導體和該複數個第二穿矽通孔導體分開。The semiconductor package structure according to claim 6, wherein the interconnection structure further includes a plurality of third connection layers electrically connected to the plurality of first connection layers and connected to the plurality of first through-silicon via conductors and the plurality of The second TSV conductor is separated. 如請求項1所述的半導體封裝結構,其中在該互連結構內形成一界面。The semiconductor package structure according to claim 1, wherein an interface is formed in the interconnection structure. 如請求項10所述的半導體封裝結構,其中該複數個第一穿矽通孔導體中的至少一個穿過該界面。The semiconductor package structure according to claim 10, wherein at least one of the plurality of first TSV conductors passes through the interface. 如請求項10所述的半導體封裝結構,其中該複數個第二穿矽通孔導體中的至少一個穿過該界面。The semiconductor package structure according to claim 10, wherein at least one of the plurality of second TSV conductors passes through the interface. 一種半導體封裝結構的製備方法,包括:提供一第一基底,該第一基底具有一第一前表面、與該第一前表面相對的一第一背表面、以及設置在該第一前表面上方的一第一互連結構;提供一第二基底,該第二基底具有一第二前表面、與該第二前表面相對的一第二背表面,以及設置在該第二前表面上方的一第二互連結構;接合該第一互連結構和該第二互連結構以形成一第三互連結構,該第三互連結構設置在該第一基底的該第一前表面和該第二基底的該第二前表面之間,其中該第三互連結構包括一介電結構、設置在該介電結構內的複數個第一連接層、設置該複數個第一連接層上方的複數個第一蝕刻停止層、設置在該介電結構內的複數個環形的第二連接層以及設置在該複數個環形的第二連接層上方的複數個環形的第二蝕刻停止層;執行一第一蝕刻以形成穿透該第一基底和該第三互連結構的一部分的一第一通孔開口和一第二通孔開口,其中該複數個第一連接層的上方的複數個第一蝕刻停止層透過該第一通孔開口的一底部暴露,該第二通孔開口包括彼此耦接的一第一部分和一第二部分,該複數個第一連接層上方的該蝕刻停止層透過該第二通孔開口的該第二部分的一底部暴露;執行一第二蝕刻以去除該第一蝕刻停止層的一部分並且透過該第一通孔開口的底部和該第二通孔開口的該第二部分的底部來暴露該複數個第一連接層;以及形成一第一穿矽通孔導體於該第一通孔開口內,形成一第二穿矽通孔導體於該第二通孔開口內。A method for preparing a semiconductor package structure includes: providing a first substrate having a first front surface, a first back surface opposite to the first front surface, and disposed above the first front surface A first interconnect structure; a second substrate is provided, the second substrate has a second front surface, a second back surface opposite to the second front surface, and a second surface disposed above the second front surface A second interconnect structure; joining the first interconnect structure and the second interconnect structure to form a third interconnect structure, the third interconnect structure is disposed on the first front surface of the first substrate and the first interconnect structure; Between the second front surfaces of two substrates, the third interconnect structure includes a dielectric structure, a plurality of first connection layers disposed within the dielectric structure, and a plurality of first connection layers disposed above the plurality of first connection layers. A first etch stop layer, a plurality of ring-shaped second connection layers provided in the dielectric structure, and a plurality of ring-shaped second etch stop layers provided above the plurality of ring-shaped second connection layers; An etch to form a through A first via hole opening and a second via hole opening of the first substrate and a portion of the third interconnect structure, wherein the plurality of first etch stop layers above the plurality of first connection layers pass through the first A bottom of the through-hole opening is exposed. The second through-hole opening includes a first portion and a second portion coupled to each other. The etch-stop layer above the plurality of first connection layers passes through the second through-hole opening. A bottom of the second portion is exposed; a second etch is performed to remove a portion of the first etch stop layer and expose the bottom through the bottom of the first through hole opening and the bottom of the second portion of the second through hole opening. A plurality of first connection layers; and forming a first TSV conductor in the first via opening, and forming a second TSV conductor in the second via opening. 如請求項13所述的製備方法,其中藉由執行該第一蝕刻,透過該第一通孔開口的一側壁暴露該介電結構,藉由執行該第二蝕刻,透過該第一通孔開口的該側壁暴露該第一蝕刻停止層。The method according to claim 13, wherein the dielectric structure is exposed through a side wall of the first through-hole opening by performing the first etching, and the first through-hole opening is performed through the second etching. The sidewall exposes the first etch stop layer. 如請求項13所述的製備方法,其中藉由執行該第一蝕刻,透過該第二通孔開口的該第一部分的一側壁暴露該介電結構,透過執行該第一蝕刻,藉由該第二通孔開口的該第二部份的一側壁暴露該環形的第二蝕刻停止層、該環形的第二連接層和該介電結構。The preparation method according to claim 13, wherein the dielectric structure is exposed through a side wall of the first portion of the second through-hole opening by performing the first etching, and by performing the first etching, by the first A side wall of the second portion of the two-via opening exposes the annular second etch stop layer, the annular second connection layer, and the dielectric structure. 如請求項15所述的製備方法,其中藉由執行該第二蝕刻,透過該第二通孔開口的該第一部分的該側壁暴露該介電結構及該環形的第二蝕刻停止層,並且透過執行該第二蝕刻,藉由該第二通孔開口的該第二部份的該側壁暴露該介電結構和該第一蝕刻停止層。The manufacturing method according to claim 15, wherein the dielectric structure and the ring-shaped second etch stop layer are exposed through the sidewall of the first portion of the second through-hole opening by performing the second etching, and transmitting The second etching is performed, and the dielectric structure and the first etch stop layer are exposed through the sidewall of the second portion of the second via opening. 如請求項13所述的製備方法,其中該第二通孔開口的該第二部分的一寬度小於該第二通孔開口的該第一部分的一寬度。The method of claim 13, wherein a width of the second portion of the second through-hole opening is smaller than a width of the first portion of the second through-hole opening. 如請求項13所述的製備方法,其中該第一蝕刻停止層設置在該複數個第一連接層的上方、面向該第一基底的一表面的上方,該環形的第二蝕刻停止層設置在該環形的第二連接層的上方、面向該第一基底的一表面的上方。The method according to claim 13, wherein the first etch stop layer is provided above the plurality of first connection layers and above a surface facing the first substrate, and the ring-shaped second etch stop layer is provided at Above the ring-shaped second connection layer, above a surface facing the first substrate. 如請求項13所述的製備方法,其中該第三互連結構更包括複數個第三連接層,電連接到該複數個第一連接層並且與該第一穿矽通孔導體和該第二穿矽通孔導體分開。The method according to claim 13, wherein the third interconnect structure further includes a plurality of third connection layers electrically connected to the plurality of first connection layers and connected to the first TSV conductor and the second The TSV conductors are separated. 如請求項13所述的製備方法,其中在該第一互連結構和該第二互連結構之間形成一界面。The method according to claim 13, wherein an interface is formed between the first interconnection structure and the second interconnection structure.
TW108103818A 2018-11-23 2019-01-31 Semiconductor package structure and method for preparing the same TWI680557B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862770942P 2018-11-23 2018-11-23
US62/770,942 2018-11-23
US16/244,794 2019-01-10
US16/244,794 US10607924B1 (en) 2018-11-23 2019-01-10 Semiconductor package structure and method for preparing the same

Publications (2)

Publication Number Publication Date
TWI680557B true TWI680557B (en) 2019-12-21
TW202021083A TW202021083A (en) 2020-06-01

Family

ID=69582261

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108103818A TWI680557B (en) 2018-11-23 2019-01-31 Semiconductor package structure and method for preparing the same

Country Status (2)

Country Link
CN (1) CN111223831B (en)
TW (1) TWI680557B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757059B (en) * 2021-01-11 2022-03-01 南亞科技股份有限公司 Wafer-to-wafer interconnection structure and method of manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201541606A (en) * 2014-04-30 2015-11-01 Taiwan Semiconductor Mfg 3D stacked-chip package
US20180005940A1 (en) * 2016-06-30 2018-01-04 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
WO2018039645A1 (en) * 2016-08-26 2018-03-01 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825024B2 (en) * 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US9293392B2 (en) * 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) * 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9449914B2 (en) * 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
US9525001B2 (en) * 2014-12-30 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9685368B2 (en) * 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10121812B2 (en) * 2015-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked substrate structure with inter-tier interconnection

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201541606A (en) * 2014-04-30 2015-11-01 Taiwan Semiconductor Mfg 3D stacked-chip package
US20180005940A1 (en) * 2016-06-30 2018-01-04 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
WO2018039645A1 (en) * 2016-08-26 2018-03-01 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757059B (en) * 2021-01-11 2022-03-01 南亞科技股份有限公司 Wafer-to-wafer interconnection structure and method of manufacturing the same
US11488840B2 (en) 2021-01-11 2022-11-01 Nanya Technology Corporation Wafer-to-wafer interconnection structure and method of manufacturing the same

Also Published As

Publication number Publication date
TW202021083A (en) 2020-06-01
CN111223831A (en) 2020-06-02
CN111223831B (en) 2022-01-14

Similar Documents

Publication Publication Date Title
TWI681476B (en) Packages with si-substrate-free interposer and method forming same
US10510792B2 (en) 3DIC seal ring structure and methods of forming same
TWI664685B (en) Packages with si-substrate-free interposer and method forming same
US10340247B2 (en) Method for forming hybrid bonding with through substrate via (TSV)
US9847255B2 (en) TSV formation processes using TSV-last approach
US9929050B2 (en) Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
TWI399827B (en) Method of forming stacked dies
TWI653695B (en) Package body and forming method thereof
KR20200059516A (en) Semiconductor device, semiconductor package and method of manufacturing the same
TWI727383B (en) Semiconductor structure, 3dic structure and method of fabricating the same
CN112420643A (en) Semiconductor structure and manufacturing method thereof
US11302662B2 (en) Semiconductor package with air gap and manufacturing method thereof
TWI812168B (en) Three-dimensional device structure and forming method thereof
TW202310186A (en) Three-dimensional device structure
US10607924B1 (en) Semiconductor package structure and method for preparing the same
TWI680557B (en) Semiconductor package structure and method for preparing the same
KR20220102542A (en) Semiconductor package and method of manufacturing semiconductor package
TWI807331B (en) Semiconductor structure and manufacturing method thereof
US20230005847A1 (en) Dummy Stacked Structures Surrounding TSVS and Method Forming the Same
CN113611685A (en) Semiconductor packaging structure and preparation method thereof
TWI832175B (en) Semiconductor structure and method for forming the same
US20230086202A1 (en) Semiconductor chip and semiconductor package
TWI546866B (en) Semiconductor device and fabricating method thereof
US20230420330A1 (en) Semiconductor Packages and Methods of Forming the Same
TWI502716B (en) Method for fabricating through-silicon via structure