TWI675440B - Method for fabricating glass substrate package - Google Patents

Method for fabricating glass substrate package Download PDF

Info

Publication number
TWI675440B
TWI675440B TW105129681A TW105129681A TWI675440B TW I675440 B TWI675440 B TW I675440B TW 105129681 A TW105129681 A TW 105129681A TW 105129681 A TW105129681 A TW 105129681A TW I675440 B TWI675440 B TW I675440B
Authority
TW
Taiwan
Prior art keywords
metal
layer
microns
glass substrate
substrate
Prior art date
Application number
TW105129681A
Other languages
Chinese (zh)
Other versions
TW201712812A (en
Inventor
楊秉榮
Original Assignee
楊秉榮
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/261,956 external-priority patent/US10622310B2/en
Application filed by 楊秉榮 filed Critical 楊秉榮
Publication of TW201712812A publication Critical patent/TW201712812A/en
Application granted granted Critical
Publication of TWI675440B publication Critical patent/TWI675440B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48465Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Micromachines (AREA)
  • Laminated Bodies (AREA)

Abstract

本發明之一實施例揭露提供一基板,該基板包括一固體核心的玻璃基板,具有一第一表面及相對於該第一表面之一第二表面,複數的金屬導體從該玻璃基板之第一表面穿過至第二表面,其中一金屬導體具有相互平行之一第三表面及一第四表面,其中該第三表面與第一表面共平面,而第四表面與第二表面共平面,而玻璃基板係直接連接至該些金屬導體,以及一第一介電層及一第一金屬層形成在該第一表面,而第一金屬層係電性連接至其中一該金屬導體。According to an embodiment of the present invention, a substrate is provided. The substrate includes a solid core glass substrate having a first surface and a second surface opposite to the first surface. The surface passes through to the second surface, wherein a metal conductor has a third surface and a fourth surface parallel to each other, wherein the third surface is coplanar with the first surface, and the fourth surface is coplanar with the second surface, and The glass substrate is directly connected to the metal conductors, and a first dielectric layer and a first metal layer are formed on the first surface, and the first metal layer is electrically connected to one of the metal conductors.

Description

玻璃基板封裝及其製造方法Glass substrate package and manufacturing method thereof

本發明揭露玻璃基板的製造方法及其結構,以及揭露數個實施例有關在玻璃基板上設置一或多個晶片而建立一封裝系統。 The invention discloses a manufacturing method and a structure of a glass substrate, and discloses several embodiments related to setting up one or more wafers on a glass substrate to establish a packaging system.

電子裝置的尺寸縮小、薄化與功能開發是眾所周知的趨勢,並且在母板上設置一半導體封裝也是實現高集成化的趨勢。 The reduction in size, thickness, and function development of electronic devices are well-known trends, and placing a semiconductor package on a motherboard is also a trend of achieving high integration.

當積體電路的幾何尺寸按比例的縮小,其每一晶片的成本降低、性能提高,其中積體電路與其它線路及系統元件之間的金屬連接變成更為重要,但是電子裝置的尺寸縮小使此金屬連接越來越不利,例如是金屬互連(metal interconnections)寄生電容及電阻增加等因素皆會降低晶片的性能。其中最為重要的是電源端及接地端集合的電壓下降,以及臨界信號通道的阻容遲滯(RC Delay),因此嘗試使用較寬的金屬線路提高電容以減少電阻。 When the geometric size of the integrated circuit is reduced in proportion, the cost of each chip is reduced and the performance is improved. The metal connection between the integrated circuit and other circuits and system components becomes more important, but the reduction in the size of the electronic device makes This metal connection is becoming more and more unfavorable. Factors such as metal interconnections, parasitic capacitance, and increased resistance will reduce the performance of the chip. The most important ones are the voltage drop at the power and ground terminals, and the RC Delay of the critical signal path. Therefore, try to use a wider metal line to increase the capacitance to reduce the resistance.

為了解決上述問題,發展低電阻之金屬(例如銅)層做為積體電路中低介電層之間的線路。 In order to solve the above problems, a low-resistance metal (such as copper) layer is developed as a line between the low-dielectric layers in the integrated circuit.

高性能積體電路的輸入輸出端(IO)大幅增加,使覆晶封裝(Flip Chip Package)的需求不斷的增加,其中覆晶封裝係在晶片上之鋁金屬接墊上形成一金屬凸塊(通常為錫鉛凸塊),用以朝下連接且藉由最短路徑連接至陶瓷材質之基板或塑膠材質之基板上。這些封裝技術不僅可用於單一晶片封裝,也可用於更高層級或更高集成層級的的封裝,但需要尺寸較大、功能較複雜的基板,才可以容納多個晶片而形成更強大功能的系統單元。 The input and output terminals (IO) of high-performance integrated circuits have increased significantly, which has increased the demand for flip chip packages. The flip-chip package is formed by a metal bump on the aluminum metal pad on the wafer (usually Is a tin-lead bump), which is used for downward connection and is connected to a ceramic substrate or a plastic substrate through the shortest path. These packaging technologies can be used not only for single-chip packaging, but also for higher-level or higher-integration packaging. unit.

覆晶封裝技術係使用區域陣列,具有高密度的金屬互連(metal interconnections)及低電感的優點,然而可預期,包括後期的金屬凸塊接合(bonding)的目測檢查及避免焊錫凸塊的疲勞的熱膨脹溫度係數(Temperature Coefficient of Expansion,TCE)的匹配皆是不小的挑戰。 The flip-chip packaging technology uses an area array, which has the advantages of high-density metal interconnections and low inductance. However, it can be expected to include visual inspection of metal bump bonding in the later stage and avoid solder bump fatigue The matching of Temperature Coefficient of Expansion (TCE) is a big challenge.

玻璃基板可作為一個或多個晶片與印刷電路板之間的中介層(interposer),當作為不需要具有主動元件之中介層,玻璃基板可以係矽材質的中介層的良好替代品,其優點為較低的材料成本,而且玻璃的熱膨脹溫度係數與矽基板相接近的,所以大幅提高金屬互連的可靠性,特別是在微小尺寸的金屬凸塊連接,大致可預期是不錯的,但是玻璃窗基板相較於矽基板還是有些缺點,包括玻璃基板的低的熱傳導性以及玻璃穿孔的困難性,此二點皆會在此專利中討論。 The glass substrate can be used as an interposer between one or more wafers and a printed circuit board. When it is not required to have an active device interposer, the glass substrate can be a good substitute for an interposer made of silicon. Its advantages are: Lower material cost, and the thermal expansion coefficient of glass is close to that of the silicon substrate, so the reliability of metal interconnections is greatly improved, especially in the connection of metal bumps of small size, which can be expected to be good, but the glass window The substrate has some disadvantages compared to the silicon substrate, including the low thermal conductivity of the glass substrate and the difficulty of glass perforation, both of which will be discussed in this patent.

本發明揭露一種玻璃基板之結構,包括一玻璃基板,複數金屬栓塞,設置在該玻璃基板中,該金屬栓塞之上表面與該玻璃基板之上表面共平面,該金屬栓塞之下表面與該玻璃基板之下表面共平面,該金屬栓塞具有一第一側邊及一第二側 邊,該第一側邊與該第二側邊平行;一金屬線路層,設置在該玻璃基板上並連接該些金屬栓塞其中之一;以及一金屬凸塊,設置在該玻璃基板上並連接該金屬線路層,其中該金屬凸塊之中心線與玻璃基板邊界之間的一最小距離係介於20微米至40微米之間。 The invention discloses a structure of a glass substrate, comprising a glass substrate, a plurality of metal plugs, arranged in the glass substrate, an upper surface of the metal plug is coplanar with an upper surface of the glass substrate, and a lower surface of the metal plug and the glass The lower surface of the substrate is coplanar. The metal plug has a first side and a second side. The first side is parallel to the second side; a metal circuit layer is disposed on the glass substrate and is connected to one of the metal plugs; and a metal bump is disposed on the glass substrate and connected In the metal circuit layer, a minimum distance between a center line of the metal bump and a boundary of the glass substrate is between 20 micrometers and 40 micrometers.

本發明揭露一種玻璃基板之封裝結構,包括一第一玻璃基板,包括複數金屬導體、一金屬線路層及一金屬凸塊,其中該些金屬導體係設置在該第一玻璃基板中,而該金屬線路層係設置在該第一玻璃基板上並連接該些金屬導體其中之一,該金屬凸塊係設置在該第一玻璃基板上並連接該金屬線路層;以及一顯示面板基板,包括一第二玻璃基板,該第二玻璃基板之表面上設置一顯示區及複數透明電極線路,該第一玻璃基板位在該顯示面板基板上方,且該第一玻璃基板之該金屬凸塊連接至該透明電極線路其中之一,其中該顯示區具有四個側邊,每一側邊與該顯示面板基板邊界之間的距離皆小於100微米。 The present invention discloses a packaging structure of a glass substrate, which includes a first glass substrate including a plurality of metal conductors, a metal circuit layer and a metal bump, wherein the metal conductive systems are disposed in the first glass substrate, and the metal A circuit layer is disposed on the first glass substrate and is connected to one of the metal conductors, and the metal bump is disposed on the first glass substrate and connected to the metal circuit layer; and a display panel substrate including a first Two glass substrates, a display area and a plurality of transparent electrode lines are provided on the surface of the second glass substrate, the first glass substrate is positioned above the display panel substrate, and the metal bumps of the first glass substrate are connected to the transparent One of the electrode lines, wherein the display area has four sides, and the distance between each side and the boundary of the display panel substrate is less than 100 microns.

本發明揭露一種玻璃基板製造方法,包括提供複數金屬基板,每一該金屬基板具有複數金屬線,提供複數基板位在每二該金屬基板之間,隔開該些金屬基板,一玻璃層形成在該些金屬基板之間,該玻璃層將該些金屬線包覆;以及切割該玻璃層及位在該玻璃層之該些金屬基板,產生一第一玻璃基板。 The invention discloses a method for manufacturing a glass substrate, including providing a plurality of metal substrates, each of which has a plurality of metal wires, providing a plurality of substrates positioned between every two of the metal substrates, separating the metal substrates, and forming a glass layer on Between the metal substrates, the glass layer covers the metal wires; and cutting the glass layer and the metal substrates located on the glass layer to produce a first glass substrate.

現將經由對說明性實施例、隨附圖式及申請專利範圍之以下詳細描述的評述,使本發明之此等以及其他組件、步驟、特徵、效益及優勢變得明朗。 These and other components, steps, features, benefits, and advantages of the present invention will now become apparent through a review of the following detailed description of illustrative embodiments, accompanying drawings, and patented scope.

2‧‧‧網線 2‧‧‧ network cable

4‧‧‧網線 4‧‧‧ network cable

2a‧‧‧Y軸線 2a‧‧‧Y axis

2b‧‧‧X軸線 2b‧‧‧X axis

4a‧‧‧Y軸線 4a‧‧‧Y axis

4b‧‧‧X軸線 4b‧‧‧X axis

3‧‧‧間隙 3‧‧‧ clearance

5‧‧‧間隙 5‧‧‧ clearance

6‧‧‧金屬線 6‧‧‧ metal wire

6a‧‧‧第一覆蓋層 6a‧‧‧first cover

6b‧‧‧第二覆蓋層 6b‧‧‧second cover

t1‧‧‧間隙 t1‧‧‧ clearance

t2‧‧‧間隙 t2‧‧‧ clearance

t3‧‧‧間隙 t3‧‧‧ clearance

8‧‧‧熱阻層 8‧‧‧ Thermal Resistance Layer

10‧‧‧模具 10‧‧‧Mould

12‧‧‧固定層 12‧‧‧ fixed layer

14‧‧‧容置槽 14‧‧‧ Receiving slot

16‧‧‧玻璃層 16‧‧‧ glass layer

25‧‧‧玻璃柱體 25‧‧‧ glass cylinder

20‧‧‧第一基板 20‧‧‧ the first substrate

22‧‧‧第二基板 22‧‧‧second substrate

21‧‧‧金屬栓塞 21‧‧‧metal embolism

7‧‧‧第一金屬片 7‧‧‧ first metal sheet

71‧‧‧第一部分 71‧‧‧ Part I

73‧‧‧第二部分 73‧‧‧ Part Two

75‧‧‧第三部分 75‧‧‧ Part III

752‧‧‧金屬線 752‧‧‧metal wire

754‧‧‧間隙 754‧‧‧Gap

71a‧‧‧穿孔 71a‧‧‧perforation

73a‧‧‧穿孔 73a‧‧‧perforation

9‧‧‧第二金屬片 9‧‧‧Second metal sheet

90a‧‧‧穿孔 90a‧‧‧perforation

11‧‧‧第三金屬片 11‧‧‧ Third metal sheet

110a‧‧‧穿孔 110a‧‧‧perforation

112a‧‧‧穿孔 112a‧‧‧perforation

114a‧‧‧穿孔 114a‧‧‧perforation

116a‧‧‧穿孔 116a‧‧‧perforation

130‧‧‧螺栓 130‧‧‧ Bolt

132‧‧‧螺栓 132‧‧‧Bolt

150‧‧‧金屬固定片 150‧‧‧Metal fixed piece

150a‧‧‧穿孔 150a‧‧‧perforated

13‧‧‧螺栓 13‧‧‧ Bolt

136‧‧‧螺栓 136‧‧‧Bolt

152‧‧‧金屬固定片 152‧‧‧Metal fixing piece

152a‧‧‧穿孔 152a‧‧‧perforation

17‧‧‧螺帽 17‧‧‧nut

19‧‧‧金屬線方塊 19‧‧‧ metal wire cube

23‧‧‧模具 23‧‧‧Mould

23a‧‧‧進氣口 23a‧‧‧Air inlet

23b‧‧‧液體輸入口 23b‧‧‧Liquid inlet

25‧‧‧玻璃柱體 25‧‧‧ glass cylinder

21a‧‧‧第一間隙 21a‧‧‧First gap

21b‧‧‧第二間隙 21b‧‧‧Second Gap

24‧‧‧第一介電層 24‧‧‧ first dielectric layer

24a‧‧‧開口 24a‧‧‧ opening

26‧‧‧第一金屬層 26‧‧‧First metal layer

28‧‧‧第二金屬層 28‧‧‧Second metal layer

30‧‧‧光阻層 30‧‧‧Photoresist layer

30a‧‧‧開孔 30a‧‧‧Opening

32‧‧‧第二介電層 32‧‧‧second dielectric layer

32a‧‧‧開口 32a‧‧‧ opening

34‧‧‧第三金屬層 34‧‧‧ Third metal layer

36‧‧‧第四金屬層 36‧‧‧ Fourth metal layer

38‧‧‧光阻層 38‧‧‧Photoresistive layer

38a‧‧‧開孔 38a‧‧‧Opening

40‧‧‧第三介電層 40‧‧‧ third dielectric layer

40a‧‧‧開口 40a‧‧‧ opening

42‧‧‧保護層 42‧‧‧ protective layer

44‧‧‧被動元件 44‧‧‧ Passive components

46‧‧‧晶片 46‧‧‧Chip

56‧‧‧晶片 56‧‧‧Chip

50‧‧‧金屬凸塊 50‧‧‧ metal bump

48‧‧‧金屬接墊 48‧‧‧Metal pads

54‧‧‧焊錫層 54‧‧‧solder layer

52‧‧‧底部填充膠層 52‧‧‧ underfill

60‧‧‧聚合物黏著層 60‧‧‧Polymer Adhesive Layer

62‧‧‧金屬線 62‧‧‧metal wire

64‧‧‧底部填充膠層 64‧‧‧ underfill

66‧‧‧離散式被動元件 66‧‧‧Discrete passive components

68‧‧‧金屬接墊 68‧‧‧Metal pads

70‧‧‧焊錫層 70‧‧‧solder layer

72‧‧‧金屬凸塊 72‧‧‧ metal bump

61‧‧‧黏著/阻障金屬層 61‧‧‧adhesive / barrier metal layer

63‧‧‧金屬種子層 63‧‧‧metal seed layer

65‧‧‧第一電鍍金屬層 65‧‧‧first electroplated metal layer

67‧‧‧焊錫層 67‧‧‧solder layer

69‧‧‧第二電鍍金屬層 69‧‧‧Second plated metal layer

80‧‧‧介電層 80‧‧‧ Dielectric layer

82‧‧‧介電層 82‧‧‧ Dielectric layer

84‧‧‧光阻層 84‧‧‧Photoresistive layer

84a‧‧‧開口 84a‧‧‧ opening

86‧‧‧光阻層 86‧‧‧Photoresistive layer

86a‧‧‧開口 86a‧‧‧ opening

80a‧‧‧穿孔 80a‧‧‧perforation

88‧‧‧開口 88‧‧‧ opening

90‧‧‧黏著/阻障層 90‧‧‧ Adhesive / Barrier Layer

92‧‧‧種子層 92‧‧‧ seed layer

94‧‧‧銅金屬層 94‧‧‧ copper metal layer

96‧‧‧介電層 96‧‧‧ Dielectric layer

98‧‧‧聚合物層 98‧‧‧ polymer layer

98a‧‧‧開口 98a‧‧‧ opening

100‧‧‧黏著/阻障層 100‧‧‧ Adhesive / Barrier Layer

102‧‧‧光阻層 102‧‧‧Photoresistive layer

102a‧‧‧開口 102a‧‧‧ opening

104‧‧‧電鍍金屬層 104‧‧‧plated metal layer

106‧‧‧第一玻璃基板 106‧‧‧First glass substrate

108‧‧‧第二玻璃基板 108‧‧‧Second glass substrate

110‧‧‧有機發光二極體層 110‧‧‧organic light emitting diode layer

114‧‧‧透明電極線路 114‧‧‧Transparent electrode circuit

116‧‧‧異方性導電層 116‧‧‧Anisotropic conductive layer

117‧‧‧導電金屬粒子 117‧‧‧ conductive metal particles

109‧‧‧微機電系統顯示層 109‧‧‧ MEMS display layer

111‧‧‧液晶顯示層 111‧‧‧LCD display layer

128‧‧‧光學層 128‧‧‧ Optical Layer

107‧‧‧第三玻璃基板 107‧‧‧ Third glass substrate

113‧‧‧金屬栓塞 113‧‧‧metal embolism

119‧‧‧無外框顯示器面板 119‧‧‧frameless display panel

119a‧‧‧顯示區 119a‧‧‧display area

119b‧‧‧顯示邊界 119b‧‧‧show border

125‧‧‧顯示器裝置 125‧‧‧ display device

123‧‧‧殼體 123‧‧‧shell

125b‧‧‧顯示器裝置 125b‧‧‧display device

129‧‧‧顯示器裝置 129‧‧‧display device

127‧‧‧連接端 127‧‧‧Connector

106a‧‧‧最小距離 106a‧‧‧Minimum distance

58‧‧‧金屬接墊 58‧‧‧Metal pads

圖式揭示本發明之說明性實施例。其並未闡述所有實施例。可另外或替代使用其他實施例。為節省空間或更有效地說明,可省略顯而易見或不必要之細節。相反,可實施一些實施例而不揭示所有細節。當相同數字出現在不同圖式中時,其係指相同或類似組件或步驟。 The drawings disclose illustrative embodiments of the invention. It does not explain all embodiments. Other embodiments may be used in addition or instead. To save space or to explain more effectively, obvious or unnecessary details may be omitted. Instead, some embodiments may be implemented without revealing all the details. When the same number appears in different drawings, it refers to the same or similar components or steps.

當以下描述連同隨附圖式一起閱讀時,可更充分地理解本發明之態樣,該等隨附圖式之性質應視為說明性而非限制性的。該等圖式未必按比例繪製,而是強調本發明之原理。 The aspects of the present invention can be more fully understood when the following description is read together with accompanying drawings, and the nature of the accompanying drawings should be regarded as illustrative rather than limiting. The drawings are not necessarily drawn to scale, but emphasize the principles of the invention.

第1圖為本發明之X軸網線及Y軸網線之立體示意圖。 FIG. 1 is a perspective view of the X-axis network cable and the Y-axis network cable of the present invention.

第2圖為本發明之X軸網線及Y軸網線之剖面示意圖。 FIG. 2 is a schematic cross-sectional view of the X-axis network cable and the Y-axis network cable of the present invention.

第3圖為本發明之X軸網線、Y軸網線及Z軸線之剖面示意圖。 FIG. 3 is a schematic cross-sectional view of the X-axis network cable, the Y-axis network cable, and the Z axis of the present invention.

第4圖為本發明之X軸網線、Y軸網線及Z軸線之立體示意圖。 FIG. 4 is a schematic perspective view of the X-axis network cable, the Y-axis network cable, and the Z axis of the present invention.

第5a圖至第5i圖為本發明Z軸線之橫切面之示意圖。 Figures 5a to 5i are schematic diagrams of the cross section of the Z axis of the present invention.

第6a圖至第6j圖為本發明第1種製作玻璃基板之製程(方法)示意圖。 6a to 6j are schematic diagrams of the first process (method) for manufacturing a glass substrate according to the present invention.

第6k圖至第6n圖為本發明第1種製作玻璃基板製程所產生之玻璃基板之上視圖。 Figures 6k to 6n are top views of a glass substrate produced by the first glass substrate manufacturing process of the present invention.

第7a圖至第7o圖為本發明第2種製作玻璃基板之製程(方法)示意圖。 Figures 7a to 7o are schematic diagrams of the second manufacturing process (method) for manufacturing a glass substrate according to the present invention.

第7p圖至第7r圖為本發明第2種製作玻璃基板製程所產生之玻璃基板之上視圖。 Figures 7p to 7r are top views of a glass substrate produced by the second glass substrate manufacturing process of the present invention.

第8a圖至第8d圖為本發明玻璃基板內鑲金屬柱之剖面示意圖。 8a to 8d are schematic cross-sectional views of metal pillars embedded in a glass substrate of the present invention.

第9a圖至第9s圖為本發明在玻璃基板上下表面形成複數金屬線路之流程示意圖。 FIG. 9a to FIG. 9s are schematic flowcharts of forming a plurality of metal lines on the upper and lower surfaces of a glass substrate according to the present invention.

第9t圖至第9u圖為本發明設置複數晶片在玻璃基板上之剖面示意圖。 9t to 9u are schematic cross-sectional views of a plurality of wafers provided on a glass substrate according to the present invention.

第9v圖為本發明在玻璃基板上之金屬凸塊剖面示意圖。 Figure 9v is a schematic cross-sectional view of a metal bump on a glass substrate according to the present invention.

第9w圖為本發明在玻璃基板之上下表面設置複數晶片之剖面示意圖。 FIG. 9w is a schematic cross-sectional view of a plurality of wafers provided on the upper and lower surfaces of a glass substrate according to the present invention.

第9x圖為本發明在玻璃基板之上下表面設置複數晶片及一3D晶片封裝及之剖面示意圖。 FIG. 9x is a schematic cross-sectional view of the present invention in which a plurality of wafers and a 3D chip package are arranged on the upper and lower surfaces of a glass substrate.

第9y圖為本發明在玻璃基板上設置複數晶片之上視圖。 Figure 9y is a top view of a plurality of wafers provided on a glass substrate according to the present invention.

第10a圖至第10j圖為本發明在玻璃基板上以鑲嵌(damascene)製程形成金屬線路之流程示意圖。 FIG. 10a to FIG. 10j are schematic flowcharts of forming a metal circuit by a damascene process on a glass substrate according to the present invention.

第11a圖至第11i圖為本發明在玻璃基板上以浮凸(embossing)製程形成金屬線路之流程示意圖。 11a to 11i are schematic diagrams of a process for forming a metal circuit by an embossing process on a glass substrate according to the present invention.

第12圖為本發明之玻璃基板第一種應用之剖面示意圖。 FIG. 12 is a schematic cross-sectional view of the first application of the glass substrate of the present invention.

第13圖為本發明之玻璃基板第二種應用之剖面示意圖。 FIG. 13 is a schematic cross-sectional view of a second application of the glass substrate of the present invention.

第14圖為本發明之玻璃基板第三種應用之剖面示意圖。 FIG. 14 is a schematic cross-sectional view of a third application of the glass substrate of the present invention.

第15圖為本發明之玻璃基板第四種應用之剖面示意圖。 FIG. 15 is a schematic cross-sectional view of a fourth application of the glass substrate of the present invention.

第16圖為本發明之玻璃基板第五種應用之剖面示意圖。 FIG. 16 is a schematic cross-sectional view of a fifth application of the glass substrate of the present invention.

第17a圖為本發明之玻璃基板第三種應用之剖面示意圖。 Figure 17a is a schematic cross-sectional view of a third application of the glass substrate of the present invention.

第17b圖為本發明之玻璃基板第三種應用之有機發光二極體顯示面板剖面示意圖。 FIG. 17b is a schematic cross-sectional view of an organic light emitting diode display panel according to a third application of the glass substrate of the present invention.

第18圖為本發明之玻璃基板結合顯示面板後之立體示意圖。 FIG. 18 is a schematic perspective view of a glass substrate combined with a display panel of the present invention.

第19圖為本發明之多個顯示面板之組合示意圖。 FIG. 19 is a schematic diagram of a combination of a plurality of display panels according to the present invention.

雖然在圖式中已描繪某些實施例,但熟習此項技術者應瞭解,所描繪之實施例為說明性的,且可在本發明之範疇內構想並實施彼等所示實施例之變化以及本文所述之其他實施例。 Although certain embodiments have been depicted in the drawings, those skilled in the art should understand that the depicted embodiments are illustrative and that variations on the embodiments shown can be conceived and implemented within the scope of the present invention. And other embodiments described herein.

第1圖揭露水平式網線2及網線4的立體示意圖,其中網線4係位在網線2的下方,網線2包括複數條Y軸線2a及位於Y軸線2a的複數條X軸線2b,而網線4包括複數條Y軸線4a及位於Y軸線4a的複數條X軸線4b,且網線2之中形成複數個間隙3,以及網線4之中形成複數個間隙5,其中Y軸線2a、X軸線2b、Y軸線4a及X軸線4b具有相同之直徑(或寬度),其直徑例如係介於10微米至30微米之間、介於20微米至100微米之間、介於40微米至150微米之間、介於50微米至200微米之間、200微米至1000微米之間或介於500微米至10000微米之間。Y軸線2a、X軸線2b、Y軸線4a及X軸線4b之材質為金屬材質的線材或聚合物之線材,例如是銅金屬線、銅-金合金金屬線、銅-金-鈀合金金屬線、銅-金-銀合金金屬線、銅-白金合金金屬線、銅-鐵合金金屬線、銅-鎳合金金屬線、銅-鎢合金金屬線、鎢金屬線、黃銅金屬線、鋅鍍黃銅金屬線、不銹鋼金屬線、鎳鍍不銹鋼金屬線、磷青銅金屬線、鍍銅鋁金屬線、鋁金屬線、酚醛樹脂線、環氧樹脂線、三聚氰胺線、甲醛樹脂線或聚矽氧烷樹脂線。另外,Y軸線2a、X軸線2b、Y軸線4a及X軸線4b之剖面可包括圓形、正方形、橢圓形、矩形或長板形。 Figure 1 reveals a three-dimensional schematic view of a horizontal network cable 2 and a network cable 4. The network cable 4 is located below the network cable 2. The network cable 2 includes a plurality of Y-axis 2a and a plurality of X-axis 2b located on the Y-axis 2a. And the network cable 4 includes a plurality of Y-axis 4a and a plurality of X-axis 4b located on the Y-axis 4a, and a plurality of gaps 3 are formed in the network cable 2, and a plurality of gaps 5 are formed in the network cable 4, wherein the Y-axis 2a, X-axis 2b, Y-axis 4a, and X-axis 4b have the same diameter (or width), and their diameters are, for example, between 10 microns and 30 microns, between 20 microns and 100 microns, and between 40 microns Between 150 and 150 microns, between 50 and 200 microns, between 200 and 1000 microns, or between 500 and 10,000 microns. The material of the Y axis 2a, the X axis 2b, the Y axis 4a, and the X axis 4b is a metal wire or a polymer wire, such as a copper metal wire, a copper-gold alloy metal wire, a copper-gold-palladium alloy metal wire, Copper-gold-silver alloy metal wire, copper-platinum alloy metal wire, copper-iron alloy metal wire, copper-nickel alloy metal wire, copper-tungsten alloy metal wire, tungsten metal wire, brass metal wire, zinc-plated brass metal Wire, stainless steel wire, nickel-plated stainless steel wire, phosphor bronze wire, copper-plated aluminum wire, aluminum metal wire, phenolic resin wire, epoxy resin wire, melamine wire, formaldehyde resin wire, or polysiloxane resin wire. In addition, the cross sections of the Y axis 2a, the X axis 2b, the Y axis 4a, and the X axis 4b may include a circle, a square, an oval, a rectangle, or a long plate.

第2圖為網線2及網線4的剖面示意圖,其中網線2之中的複數間隙3及網線4之中 的複數間隙5相互對準。 Fig. 2 is a schematic cross-sectional view of a network cable 2 and a network cable 4, in which a plurality of gaps 3 in the network cable 2 and a network cable 4 The plurality of gaps 5 are aligned with each other.

請參閱第3圖所示,複數條Z軸的金屬線6穿過網線2之中的複數間隙3及網線4之中的複數間隙5,其中金屬線6的直徑(或寬度)係介於10微米至30微米之間、介於20微米至100微米之間、介於40微米至150微米之間、介於50微米至200微米之間或介於500微米10000微米之間。金屬線6之材質包括銅金屬線、銅-金合金金屬線、銅-金-鈀合金金屬線、銅-金-銀合金金屬線、銅-白金合金金屬線、銅-鐵合金金屬線、銅-鎳合金金屬線、銅-鎢合金金屬線、鎢金屬線、黃銅金屬線、鋅鍍黃銅金屬線、不銹鋼金屬線、鎳鍍不銹鋼金屬線、磷青銅金屬線、鍍銅鋁金屬線、鋁金屬線、鍍含鈦層之銅金屬線或鍍含鉭層之銅金屬線;另外,金屬線6之剖面可包括圓形、正方形、橢圓形、長方形(矩形)或長板形,而且金屬線6之形狀可與Y軸線2a、X軸線2b、Y軸線4a及X軸線4b相同或不相同。 Please refer to FIG. 3, a plurality of Z-axis metal wires 6 pass through a plurality of gaps 3 in the network wire 2 and a plurality of gaps 5 in the network wire 4, wherein the diameter (or width) of the metal wire 6 is referred to Between 10 microns to 30 microns, between 20 microns to 100 microns, between 40 microns to 150 microns, between 50 microns to 200 microns, or between 500 microns and 10,000 microns. The material of the metal wire 6 includes copper metal wire, copper-gold alloy metal wire, copper-gold-palladium alloy metal wire, copper-gold-silver alloy metal wire, copper-platinum alloy metal wire, copper-iron alloy metal wire, copper- Nickel alloy metal wire, copper-tungsten alloy metal wire, tungsten metal wire, brass metal wire, zinc-plated brass metal wire, stainless steel metal wire, nickel-plated stainless steel metal wire, phosphor bronze metal wire, copper-plated aluminum metal wire, aluminum Metal wire, copper metal wire with titanium layer or copper metal wire with tantalum layer; in addition, the cross section of metal wire 6 may include circular, square, oval, rectangular (rectangular) or long plate shape, and metal wire The shape of 6 may be the same as or different from the Y axis 2a, the X axis 2b, the Y axis 4a, and the X axis 4b.

另外,申請人建議金屬線6之材質可以使用銅-鎢合金金屬線,其中銅-鎢合金金屬線之合金比例包括50%的鎢金屬含量及50%銅金屬含量、60%的鎢金屬含量及40%的銅金屬含量、70%的鎢金屬含量及30%的銅金屬含量、80%的鎢金屬含量及20%的銅金屬含量或10%的鎢金屬含量其中之一。 In addition, the applicant proposes that the material of the metal wire 6 can be a copper-tungsten alloy metal wire, wherein the alloy ratio of the copper-tungsten alloy metal wire includes 50% tungsten metal content and 50% copper metal content, 60% tungsten metal content and One of 40% copper metal content, 70% tungsten metal content and 30% copper metal content, 80% tungsten metal content and 20% copper metal content or 10% tungsten metal content.

第4圖為金屬線6穿設在網線2及網線4之中的立體示意圖。 FIG. 4 is a schematic perspective view of the metal wire 6 passing through the network cable 2 and the network cable 4.

第5a圖至第5i圖揭露金屬線6之形狀及結構之示意圖,例如第5a圖中金屬線6之形狀為圓形、第5d圖中金屬線6之形狀為正方形、第5g圖中金屬線6之形狀為橢圓形、第5g圖中金屬線6之形狀為長方形,而在第5b圖中的金屬線6之形狀為圓形,且一第一覆蓋層6a形成在金屬線6上,其中此第一覆蓋層6a之材質可包括一金屬層,例如是一含鎳金屬層、一含鋅金屬層、一含銀金屬層、一含鈦金屬層、一含鉭金屬層、含鉻金屬層,而且此第一覆蓋層6a可以是一抗氧化層,例如是含己氧化材質之抗氧化層;第5e圖中的金屬線6之形狀為正方形,且一第一覆蓋層6a形成在金屬線6上,其中此第一覆蓋層6a之材質請參考上述說明所示;第5h圖中的金屬線6之形狀為長方形,且一第一覆蓋層6a形成在金屬線6上,其中此第一覆蓋層6a之材質請參考上述說明所示;第5c圖中的金屬線6之形狀為圓形,其中更包括一第二覆蓋層6b形成在第一覆蓋層6a上,其中此第二覆蓋層6b可包括一粘著層,其材質例如包括一含鎳金屬層、一含鋅金屬層、一含銀金屬層、一含鈦金屬層、一含鉭金屬層、含鉻金屬層,而且此第二覆蓋層6b可以是一抗氧化層,例如是含己氧化材質之抗氧化層;第5f圖中的金屬線6之形狀為正方形,且一第二覆蓋層6b形成在第一覆蓋層6a上,其中此第二覆蓋層6b之材質請參考上述說明所示;第5h圖中的金屬線6之形狀為長方形,且一第二覆蓋層6b形成在第一覆蓋層6a上,其中此第二覆蓋層6b之材質請參考上述說明所示。 Figures 5a to 5i show the shape and structure of the metal wire 6, for example, the shape of the metal wire 6 in Figure 5a is circular, the shape of the metal wire 6 in Figure 5d is square, and the metal wire in Figure 5g The shape of 6 is oval, the shape of metal wire 6 in Fig. 5g is rectangular, and the shape of metal wire 6 in Fig. 5b is circular, and a first cover layer 6a is formed on the metal wire 6, where The material of the first covering layer 6a may include a metal layer, such as a nickel-containing metal layer, a zinc-containing metal layer, a silver-containing metal layer, a titanium-containing metal layer, a tantalum-containing metal layer, and a chromium-containing metal layer. In addition, the first cover layer 6a may be an anti-oxidation layer, for example, an oxidation layer containing hexanox material; the shape of the metal wire 6 in FIG. 5e is square, and a first cover layer 6a is formed on the metal wire. Please refer to the above description for the material of the first cover layer 6a; the shape of the metal wire 6 in the figure 5h is rectangular, and a first cover layer 6a is formed on the metal wire 6, where this first For the material of the cover layer 6a, please refer to the description above; the shape of the metal wire 6 in the figure 5c is a circle Shape, which further includes a second cover layer 6b formed on the first cover layer 6a, wherein the second cover layer 6b may include an adhesive layer, and the material thereof includes, for example, a nickel-containing metal layer, a zinc-containing metal layer, A silver-containing metal layer, a titanium-containing metal layer, a tantalum-containing metal layer, and a chromium-containing metal layer, and the second cover layer 6b may be an anti-oxidation layer, for example, an anti-oxidation layer containing a hexaoxidized material; The shape of the metal wire 6 in the figure is a square, and a second cover layer 6b is formed on the first cover layer 6a. The material of the second cover layer 6b is shown in the above description. The metal line in the figure 5h The shape of 6 is rectangular, and a second cover layer 6b is formed on the first cover layer 6a. For the material of the second cover layer 6b, please refer to the description above.

第6a圖至第6j圖揭露本發明第1種製作玻璃基板之製程,請參閱第6a圖所示,將金屬線6拉長至一合適的長度,長度例如小於5公尺、長度介於05公尺至1公尺之間、長度介於1公尺至3公尺之間,且在同一時間,將網線4向下移動至一適當的位置,此時Y軸線2a、X軸線2b、Y軸線4a及X軸線4b之間間隙t1長度或寬度是大於金屬線6的直徑(寬度)。 Figures 6a to 6j disclose the first process for making a glass substrate according to the present invention. Please refer to Figure 6a to stretch the metal wire 6 to a suitable length, such as less than 5 meters and a length of 05. Between 1 meter and 1 meter, and between 1 meter and 3 meters in length, and at the same time, the network cable 4 is moved down to an appropriate position. At this time, the Y axis 2a, the X axis 2b, The length or width of the gap t1 between the Y axis 4a and the X axis 4b is larger than the diameter (width) of the metal wire 6.

接著,如第6b圖所示,移動Y軸線2a、X軸線2b、Y軸線4a及X軸線4b,使間隙t1改變成t2,進而使複數金屬線6之間的間隙縮小變成間隙t3,其中間隙t3幾乎與Y軸線2a、X軸線2b、Y軸線4a及X軸線4b之直徑(寬度)相同,例如間隙t3係介於5微米至20微米之間、介於20微米至50微米之間、介於30微米至80微米之間、介於20微米至100微米之間、介 於5微米至20微米之間、介於40微米至150微米之間、介於50微米至200微米之間、介於200微米至1000微米之間、介於500微米至10000微米之間。同一時間,可施加一拉力在金屬線6,拉伸金屬線6使其保持一定強度,而予以固定間隙t3。 Next, as shown in FIG. 6b, the Y-axis 2a, X-axis 2b, Y-axis 4a, and X-axis 4b are moved to change the gap t1 to t2, and then the gap between the plurality of metal wires 6 is reduced to a gap t3, where the gap is t3 is almost the same as the diameter (width) of the Y axis 2a, the X axis 2b, the Y axis 4a, and the X axis 4b. For example, the gap t3 is between 5 and 20 microns, between 20 and 50 microns, and Between 30 microns and 80 microns, between 20 microns and 100 microns, between Between 5 microns to 20 microns, between 40 microns to 150 microns, between 50 microns to 200 microns, between 200 microns to 1000 microns, and between 500 microns to 10,000 microns. At the same time, a tensile force can be applied to the metal wire 6 to stretch the metal wire 6 to maintain a certain strength, and fix the gap t3.

接著如第6c圖所示,一熱阻層8形成在網線4表面上,其中此熱阻層8之材質包括一聚合物層,例如是一熱固性樹脂、酚醛樹脂、環氧樹脂、三聚氰胺-甲醛樹脂、聚矽氧烷樹脂或一水泥(抺灰)層,其中熱阻層8的熱變形溫度係介於400℃至900℃之間,當液態的熱阻層8形成在線網4上時,熱阻層8會穿過間隙5而覆蓋線網4,並且覆蓋Y軸線4a、X軸線4b及金屬線6之間的間隙5,接著加熱硬化該熱阻層8,其中硬化後的熱阻層8之厚度係介於0.05公尺至1公尺之間、介於2公分至10公分之間或介於3公分至20公分之間。 Next, as shown in FIG. 6c, a thermal resistance layer 8 is formed on the surface of the network cable 4, and the material of the thermal resistance layer 8 includes a polymer layer, such as a thermosetting resin, a phenol resin, an epoxy resin, and a melamine- Formaldehyde resin, polysiloxane resin or a cement (powder ash) layer, wherein the thermal deformation temperature of the thermal resistance layer 8 is between 400 ° C and 900 ° C. When the liquid thermal resistance layer 8 is formed on the wire mesh 4 The thermal resistance layer 8 passes through the gap 5 to cover the wire mesh 4 and covers the gap 5 between the Y-axis 4a, the X-axis 4b and the metal wire 6, and then heat-hardens the thermal resistance layer 8, wherein the hardened thermal resistance The thickness of layer 8 is between 0.05 m and 1 m, between 2 cm and 10 cm, or between 3 cm and 20 cm.

接著如第6d圖所示,提供一模具10設置在網線2及網線4之間,其中模具10環繞著金屬線6及熱阻層8,此模具10係由一機器或一裝置撐起或移動,此模具10可以是一金屬模具、一陶瓷模具或一聚合物模具,其中模具10若是聚合物模具時,該模具10應具有介於400℃至900℃之間的熱變形溫度或具有介於800℃至1300℃之間的熱變形溫度。 Next, as shown in FIG. 6d, a mold 10 is provided between the network cable 2 and the network cable 4. The mold 10 surrounds the metal wire 6 and the thermal resistance layer 8. The mold 10 is supported by a machine or a device. Or moving, the mold 10 may be a metal mold, a ceramic mold or a polymer mold, and if the mold 10 is a polymer mold, the mold 10 should have a heat distortion temperature between 400 ° C and 900 ° C or have Thermal deformation temperature between 800 ° C and 1300 ° C.

如第6e圖所示,在熱阻層8上形成一固定層12,其中此固定層12可以係一玻璃層或一聚合物層。當固定層12為一玻璃時,此固定層12係以高溫液體狀態形成在熱阻層8上,當固定層12的溫度降至一適當溫度時則會變成固體狀態,進而固定金屬線之底端部分,其中固定層12之厚度係介於0.01至1公尺之間。 As shown in FIG. 6e, a fixed layer 12 is formed on the thermal resistance layer 8, wherein the fixed layer 12 can be a glass layer or a polymer layer. When the fixed layer 12 is a glass, the fixed layer 12 is formed on the thermal resistance layer 8 in a high-temperature liquid state. When the temperature of the fixed layer 12 drops to an appropriate temperature, it becomes a solid state, and the bottom of the fixed metal wire is fixed. The end portion, wherein the thickness of the fixing layer 12 is between 0.01 and 1 meter.

如第6f圖所示,將位於網線4下方的金屬線6切除,並將模具10、網線4、固定層12及網線2移動至一容置槽14內。 As shown in FIG. 6f, the metal wire 6 located under the network wire 4 is cut off, and the mold 10, the network wire 4, the fixing layer 12 and the network wire 2 are moved into an accommodation groove 14.

如第6g圖所示,將一高溫液態的玻璃層16置入在容置槽14內並位在固定層12上,接著此液態的玻璃層16之溫度降低至一適當溫度(玻璃轉化溫度)之下時會變成固態,此玻璃層16之玻璃轉化溫度係介於300℃至900℃之間、介於500℃至800℃之間、介於900℃至1200℃之間、介於1000℃至1800℃之間,玻璃層16之材質可為一低熔點的玻璃材料,例如該熔點係介於300℃至900℃之間、介於800℃至1300℃之間、介於900℃至1600℃之間、介於1000℃至1850℃之間或介於1000℃至2000℃之間,或是熔點小於1500℃,且此玻璃層16之厚度大於0.5公尺或大於0.1公尺,此外在玻璃層16之中具有少許的氣泡(或沒有氣泡),例如在一立方公尺的玻璃層16中具有1至10個氣泡、具有5至30個氣泡或具有20至60個氣泡,而且每一氣泡之直徑係介於0.0001公分至0.01公分、介於0.001公分至0.05公分、介於0.05公分至0.1公分、介於0.05公分至0.5公分,此玻璃層16可事先使用多次的熱壓方式,將玻璃層16中的氣泡擠壓移除。 As shown in FIG. 6g, a high-temperature liquid glass layer 16 is placed in the receiving tank 14 and positioned on the fixed layer 12, and then the temperature of the liquid glass layer 16 is reduced to an appropriate temperature (glass transition temperature). It will become solid when it is below. The glass transition temperature of this glass layer 16 is between 300 ° C and 900 ° C, between 500 ° C and 800 ° C, between 900 ° C and 1200 ° C, and between 1000 ° C. The material of the glass layer 16 may be a low-melting glass material between 1800 and 1800 ° C. For example, the melting point is between 300 ° C and 900 ° C, between 800 ° C and 1300 ° C, and between 900 ° C and 1600. ℃, between 1000 ℃ to 1850 ℃, or between 1000 ℃ to 2000 ℃, or the melting point is less than 1500 ℃, and the thickness of this glass layer 16 is greater than 0.5 meters or greater than 0.1 meters. There are a few bubbles (or no bubbles) in the glass layer 16, such as 1 to 10 bubbles, 5 to 30 bubbles, or 20 to 60 bubbles in a cubic meter of glass layer 16, and each The diameter of the bubble is between 0.0001 cm and 0.01 cm, between 0.001 cm and 0.05 cm, between 0.05 cm and 0.1 cm, Between 0.05 cm and 0.5 cm, the glass layer 16 can be hot-pressed multiple times in advance to squeeze and remove the bubbles in the glass layer 16.

玻璃層16為一無定形的固體混合物,其材質包括一鹼石灰玻璃、硼矽酸玻璃、鋁矽玻璃、磷酸鹽玻璃、硫化玻璃,其中鹼石灰玻璃之材質組成包括含有二氧化矽(SiO2)74%、氧化鈉(Na2O)13%、氧化鈣(CaO)10.5%、氧化鋁(Al2O3)1.3%、氧化鉀(K2O)0.3%、氧化硫(SO3)、氧化鎂(MgO)0.2%、氧化鐵(Fe2O3)0.04%、二氧化鈦(TiO2),另外,硼矽酸鹽玻璃之材質組成包括含有二氧化矽(SiO2)81%、三氧化二硼(B2O3)12%、氧化鈉(Na2O)4.5%、氧化鋁(Al2O3)2%,或是磷酸鹽玻璃之材質組成包括3%至10%之間的五氧化二磷(P2O5)或是含有5%至20%之間的五氧化二磷(P2O5)。 The glass layer 16 is an amorphous solid mixture, and its material includes a soda-lime glass, borosilicate glass, alumino-silica glass, phosphate glass, and sulfide glass. The material composition of the soda-lime glass includes silicon dioxide (SiO 2 ) 74%, sodium oxide (Na 2 O) 13%, calcium oxide (CaO) 10.5%, aluminum oxide (Al 2 O 3 ) 1.3%, potassium oxide (K 2 O) 0.3%, sulfur oxide (SO 3 ), Magnesium oxide (MgO) 0.2%, iron oxide (Fe2O3) 0.04%, titanium dioxide (TiO 2 ), and the material composition of borosilicate glass includes 81% containing silicon dioxide (SiO 2 ), boron trioxide (B 2 O 3) 12%, sodium oxide (Na 2 O) 4.5%, alumina (Al 2 O 3 ) 2%, or the composition of phosphate glass includes 3% to 10% phosphorus pentoxide ( P 2 O 5 ) or contains phosphorus pentoxide (P 2 O 5 ) between 5% and 20%.

當玻璃的溫度低於玻璃轉化溫度時將變成固體,而玻璃的溫度高於玻璃轉化溫度時將變成液體,其中當玻璃變成液態時,使用可改變此玻璃的形狀,然後將溫度降至玻璃轉化溫度之下,使玻璃變成固體,並且以一退火程序去除熱應力,並且可進行一表面處理,例如塗層或塗料,使玻璃提高化學耐久性、強度(例如是強化玻璃、防彈玻璃或擋風玻璃)、或具有一光學特性(例如是隔熱玻璃或抗反射玻璃)。 When the temperature of the glass is lower than the glass transition temperature, it will become a solid, and when the temperature of the glass is higher than the glass transition temperature, it will become a liquid. When the glass becomes a liquid, the shape of the glass can be changed by using, and then the temperature is lowered to the glass transition. Under temperature, make the glass solid, and remove thermal stress by an annealing process, and a surface treatment, such as coating or paint, can be used to improve the chemical durability and strength of the glass (such as strengthened glass, bulletproof glass or windshield) Glass), or have an optical characteristic (such as heat-insulating glass or anti-reflective glass).

另外,玻璃層16可被一聚合物層取代,其中當聚合物硬化變成固體後,其熱膨脹係數係介於3ppm/℃至10ppm/℃之間。 In addition, the glass layer 16 may be replaced by a polymer layer, wherein when the polymer is hardened into a solid, its thermal expansion coefficient is between 3 ppm / ° C and 10 ppm / ° C.

如第6h圖所示,將模具10及容置槽14移除並且從網線2處切除金屬線6。 As shown in FIG. 6h, the mold 10 and the receiving groove 14 are removed and the metal wire 6 is cut off from the network wire 2.

如第6i圖所示,將網線4及熱阻層8切除,而產生一玻璃柱體25。 As shown in FIG. 6i, the network cable 4 and the thermal resistance layer 8 are cut away, and a glass pillar 25 is generated.

如第6j圖所示,將外露在玻璃柱體25之外的部分金屬線6移除,接著切割該玻璃柱體25產生複數玻璃材質的第一基板20,此第一基板20之厚度係介於20微米至100微米之間、介於50微米至150微米之間、介於50微米至150微米之間、介於100微米至300微米之間、介於150微米至2000微米之間或大於1000微米。此第一基板20可經由一平坦化製程,使表面平坦化,例如使用化學機械研磨(chemical mechanical polishing,CMP)、機械研磨或雷射切割等製程。 As shown in FIG. 6j, a part of the metal wires 6 exposed outside the glass pillar 25 is removed, and then the glass pillar 25 is cut to produce a plurality of first substrates 20 made of glass. The thickness of the first substrate 20 is between Between 20 microns and 100 microns, between 50 microns and 150 microns, between 50 microns and 150 microns, between 100 microns and 300 microns, between 150 microns and 2000 microns, or greater 1000 microns. The first substrate 20 can be subjected to a planarization process to planarize the surface, for example, a process such as chemical mechanical polishing (CMP), mechanical polishing, or laser cutting is used.

如第6k圖所示,此第一基板20包括複數第二基板22,每一第二基板22皆井然有序排列在第一基板20中,每一第二基板22內具有複數金屬栓塞(metal plug)21,其中這些金屬栓塞21係由原本的金屬線6所形成,其材質及結構與金屬線6相同,在第二基板22之中金屬栓塞21為一實心之柱體。 As shown in FIG. 6k, the first substrate 20 includes a plurality of second substrates 22, each of the second substrates 22 is arranged in an orderly manner in the first substrate 20, and each of the second substrates 22 has a plurality of metal plugs (metal plug) 21, in which the metal plugs 21 are formed by the original metal wires 6 with the same material and structure as the metal wires 6, and the metal plugs 21 in the second substrate 22 are solid pillars.

如第6l圖至第6n圖所示,該些金屬栓塞21在第二基板22中可排列不同形狀,如第61圖所示,該些金屬栓塞21排列在第二基板22之四個側邊,如第6m圖所示,該些金屬栓塞21排列在第二基板22之四個側邊及排列在第二基板22之中心位置,如第6n圖所示,在第二基板22某些特定區域沒有設置該些金屬栓塞21。 As shown in FIGS. 61 to 6n, the metal plugs 21 may be arranged in different shapes in the second substrate 22. As shown in FIG. 61, the metal plugs 21 are arranged on the four sides of the second substrate 22. As shown in FIG. 6m, the metal plugs 21 are arranged on the four sides of the second substrate 22 and at the center of the second substrate 22. As shown in FIG. 6n, in some specific aspects of the second substrate 22 These metal plugs 21 are not provided in the area.

第7a圖至第7m圖揭露本發明第2種製作玻璃基板之製程,如第7a圖及第7b圖所示,提供一第一金屬片7,該金屬片7之厚度係介於20微米至1000微米之間、介於5微米至20微米之間、介於10微米至50微米之間、介於20微米至250微米之間或介於30微米至400微米之間,此第一金屬片7具第一部分71、一第二部分73及一第三部分75,其中第三部分75位在第一部分71與第二部分75之間,其中第三部分75包括複數非圓形的的金屬線752連接第一部分71與第二部分75,其中金屬線752之剖面形狀包括正方形或長方形,另外,金屬線752之寬度是大於金屬線752之厚度,金屬線752之寬度例如係介於20微米至1000微米之間、介於5微米至20微米之間、介於10微米至50微米之間、介於20微米至250微米之間、介於300微米至1500微米之間、介於200微米至800微米之間、介於100微米至500微米之間或介於150微米至3000微米之間,每二金屬線752之間具有一間隙754,該間隙754係介於20微米至1000微米之間、介於5微米至20微米之間、介於10微米至50微米之間、介於20微米至250微米之間、介於300微米至1500微米之間、介於200微米至800微米之間、介於100微米至500微米之間或介於150微米至3000微米之間,另外第一金屬片7之材質包括一銅金屬、銅-金合金金屬、銅-金-鈀合金金屬、銅-金-銀合金金屬、銅-白金合金金屬、銅-鐵合金金屬、銅-鎳合金金屬、銅-鎢合金金屬、鎢金屬、黃銅金屬、鋅鍍黃銅金屬、不銹鋼金屬、鎳鍍不銹鋼金屬、磷青銅金屬線、鍍銅鋁金屬、鋁金屬。另外在第一部分71中設有二穿孔71a及第二部分73中設有二穿孔73a,穿孔71a及穿孔73a具 有一直徑係介於600微米至2000微米之間、介於1000微米至3000微米之間或介於2000微米至5000微米之間,其中二穿孔71a之間的距離與二穿孔73a之間的距離幾乎相同。 Figures 7a to 7m disclose the second process of making a glass substrate according to the present invention. As shown in Figures 7a and 7b, a first metal sheet 7 is provided, and the thickness of the metal sheet 7 is between 20 microns and The first metal sheet is between 1000 microns, between 5 microns and 20 microns, between 10 microns and 50 microns, between 20 microns and 250 microns, or between 30 microns and 400 microns. 7 first portions 71, a second portion 73, and a third portion 75, wherein the third portion 75 is located between the first portion 71 and the second portion 75, and the third portion 75 includes a plurality of non-circular metal wires 752 connects the first portion 71 and the second portion 75. The cross-sectional shape of the metal wire 752 includes a square or a rectangle. In addition, the width of the metal wire 752 is greater than the thickness of the metal wire 752. The width of the metal wire 752 is, for example, between 20 microns and 1000 micrometers, 5 micrometers to 20 micrometers, 10 micrometers to 50 micrometers, 20 micrometers to 250 micrometers, 300 micrometers to 1500 micrometers, and 200 micrometers to 800 microns, between 100 microns and 500 microns, or between 150 microns and 3000 microns There is a gap 754 between every two metal wires 752, the gap 754 is between 20 microns to 1000 microns, between 5 microns to 20 microns, between 10 microns to 50 microns, Between 20 microns and 250 microns, between 300 microns and 1500 microns, between 200 microns and 800 microns, between 100 microns and 500 microns, or between 150 microns and 3000 microns, In addition, the material of the first metal sheet 7 includes a copper metal, a copper-gold alloy metal, a copper-gold-palladium alloy metal, a copper-gold-silver alloy metal, a copper-platinum alloy metal, a copper-iron alloy metal, and a copper-nickel alloy. Metal, copper-tungsten alloy metal, tungsten metal, brass metal, zinc-plated brass metal, stainless steel metal, nickel-plated stainless steel metal, phosphor bronze metal wire, copper-plated aluminum metal, aluminum metal. In addition, two perforations 71a are provided in the first portion 71 and two perforations 73a are provided in the second portion 73. The perforations 71a and 73a are provided with There is a diameter between 600 micrometers and 2000 micrometers, between 1000 micrometers and 3000 micrometers, or between 2000 micrometers and 5000 micrometers, wherein the distance between the two perforations 71a and the distance between the two perforations 73a is almost the same.

如第7c圖至第7d圖所示,提供複數第二金屬片9,每一第二金屬片9之厚度係介於25微至600微米之間、介於20微米至300微米之間、介於30微米至250微米之間、介於25微米至180微米之間,且在第二金屬片9上設有二穿孔90a,穿孔90a具有一直徑係介於600微米至2000微米之間、介於1000微米至3000微米之間或介於2000微米至5000微米之間,且穿孔90a之間的距離與二穿孔71a之間的距離及二穿孔73a之間的距離幾乎相同,第二金屬片9之材質包括一銅金屬、銅-金合金金屬、銅-金-鈀合金金屬、銅-金-銀合金金屬、銅-白金合金金屬、銅-鐵合金金屬、銅-鎳合金金屬、銅-鎢合金金屬、鎢金屬、黃銅金屬、鋅鍍黃銅金屬、不銹鋼金屬、鎳鍍不銹鋼金屬、磷青銅金屬線、鍍銅鋁金屬、鋁金屬。 As shown in FIGS. 7c to 7d, a plurality of second metal pieces 9 are provided, and the thickness of each second metal piece 9 is between 25 micrometers and 600 micrometers, between 20 micrometers and 300 micrometers, and Between 30 microns and 250 microns, between 25 microns and 180 microns, and two perforations 90a are provided on the second metal sheet 9, the perforations 90a have a diameter between 600 microns and 2000 microns, between Between 1000 microns and 3000 microns or between 2000 microns and 5000 microns, and the distance between the perforations 90a and the distance between the two perforations 71a and the distance between the two perforations 73a are almost the same, the second metal sheet 9 The material includes a copper metal, copper-gold alloy metal, copper-gold-palladium alloy metal, copper-gold-silver alloy metal, copper-platinum alloy metal, copper-iron alloy metal, copper-nickel alloy metal, copper-tungsten alloy Metal, tungsten metal, brass metal, zinc-plated brass metal, stainless steel metal, nickel-plated stainless steel metal, phosphor bronze metal wire, copper-plated aluminum metal, aluminum metal.

如第7e圖所示,提供一第三金屬片11,其厚度係介於25微米至600微米之間、介於20微米至300微米之間、介於30微米至250微米之間、介於25微米至180微米之間,且在第三金屬片11上設有四穿孔110a、穿孔112a、穿孔114a及穿孔116a,其中穿孔110a、112a、114a及116a具有一直徑係介於600微米至2000微米之間、介於1000微米至3000微米之間或介於2000微米至5000微米之間,其中穿孔110a與穿孔112a之間的距離與穿孔114a與穿孔116a之間的距離幾乎相同,並且與上述二穿孔71a之間的距離及二穿孔73a之間的距離相同,第三金屬片11之材質包括一銅金屬、銅-金合金金屬、銅-金-鈀合金金屬、銅-金-銀合金金屬、銅-白金合金金屬、銅-鐵合金金屬、銅-鎳合金金屬、銅-鎢合金金屬、鎢金屬、黃銅金屬、鋅鍍黃銅金屬、不銹鋼金屬、鎳鍍不銹鋼金屬、磷青銅金屬線、鍍銅鋁金屬、鋁金屬。 As shown in FIG. 7e, a third metal sheet 11 is provided, the thickness of which is between 25 micrometers and 600 micrometers, between 20 micrometers and 300 micrometers, between 30 micrometers and 250 micrometers, and between 25 micrometers to 180 micrometers, and four perforations 110a, 112a, 114a, and 116a are provided on the third metal sheet 11, wherein the perforations 110a, 112a, 114a, and 116a have a diameter ranging from 600 micrometers to 2000 Between micrometers, between 1000 micrometers and 3000 micrometers, or between 2000 micrometers and 5000 micrometers, wherein the distance between the perforations 110a and 112a is almost the same as the distance between the perforations 114a and 116a, and is the same as above The distance between the two perforations 71a and the distance between the two perforations 73a are the same. The material of the third metal sheet 11 includes a copper metal, a copper-gold alloy metal, a copper-gold-palladium alloy metal, a copper-gold-silver alloy metal , Copper-platinum alloy metal, copper-iron alloy metal, copper-nickel alloy metal, copper-tungsten alloy metal, tungsten metal, brass metal, zinc-plated brass metal, stainless steel metal, nickel-plated stainless steel metal, phosphor bronze metal wire, Copper-plated aluminum metal, aluminum metal.

如第7f圖至第7i圖所示,提供一螺栓130及一螺栓132,將螺栓130及螺栓132分別穿過一金屬固定片150上之二穿孔150a,以及提供一螺栓134及一螺栓136,將螺栓134及螺栓136分別穿過一金屬固定片152上之二穿孔152a,接著螺栓130、螺栓132、螺栓134及螺栓136分別穿過第三金屬片11中的穿孔110a、112a、114a及116a,使第三金屬片11設置在金屬固定片150上,接著螺栓130、螺栓132穿過第二金屬片9上之二穿孔90a,以及螺栓134、螺栓136穿過第二金屬片9上之二穿孔90a,使二第二金屬片9分別設置在第三金屬片11上,接著螺栓130、螺栓132、螺栓134及螺栓136分別穿過第一金屬片7中的穿孔71a及穿孔73a,使第一金屬片7設置在第二金屬片9上,接著重覆使另一第二金屬片9及第一金屬片7依序穿過螺栓130、螺栓132、螺栓134及螺栓136相互疊設,直到剩下螺栓130、螺栓132、螺栓134及螺栓136之頂部,接著設置另一第三金屬片11在最頂端的第二金屬片9上,再設置另二金屬固定片152在頂端的第三金屬片11上,最後在螺栓130、螺栓132、螺栓134及螺栓136分別鎖上螺帽17,使這些金屬固定片150、第一金屬片7、第二金屬片9及第三金屬片11固定成一金屬線方塊19。 As shown in FIGS. 7f to 7i, a bolt 130 and a bolt 132 are provided, the bolt 130 and the bolt 132 are respectively passed through two perforations 150a on a metal fixing piece 150, and a bolt 134 and a bolt 136 are provided, Pass the bolts 134 and 136 through the two holes 152a on a metal fixing piece 152, and then bolts 130, 132, 134, and 136 through the holes 110a, 112a, 114a, and 116a in the third metal piece 11, respectively. The third metal piece 11 is set on the metal fixing piece 150, and then the bolt 130 and the bolt 132 pass through the two holes 90a on the second metal piece 9 and the bolt 134 and the bolt 136 pass through the two on the second metal piece 9 The perforation 90a enables the two second metal pieces 9 to be respectively disposed on the third metal piece 11, and then the bolts 130, 132, 134, and 136 pass through the perforations 71a and 73a in the first metal piece 7, respectively, so that the first A metal sheet 7 is disposed on the second metal sheet 9, and then the other second metal sheet 9 and the first metal sheet 7 are sequentially passed through the bolt 130, the bolt 132, the bolt 134, and the bolt 136 and overlap each other until The tops of the bolts 130, 132, 134, and 136 are left. Another third metal sheet 11 is provided on the top second metal sheet 9, and another two metal fixing sheets 152 are provided on the top third metal sheet 11. Finally, the bolt 130, the bolt 132, the bolt 134 and the bolt 136 are provided. The nuts 17 are respectively locked, so that these metal fixing pieces 150, the first metal piece 7, the second metal piece 9 and the third metal piece 11 are fixed into a metal wire block 19.

如第7j圖所示,將金屬線方塊19置入一模具23之中,此模具23可包括一金屬模具、一陶瓷模具或一聚合物模具,其中若模具23為一聚合物模具時,則熱變形溫度係介於400℃至900℃之間或介於800℃至1300℃之間,另外此模具23包括進氣口23a及一液體輸入口23b,其中此進氣口23a可用於輸入氮氣、氦氣等惰性氣體,而液體輸入口23b則可用於輸入高溫液態的玻璃層。 As shown in FIG. 7j, the wire block 19 is placed in a mold 23, and the mold 23 may include a metal mold, a ceramic mold, or a polymer mold. If the mold 23 is a polymer mold, then The heat distortion temperature is between 400 ° C and 900 ° C or between 800 ° C and 1300 ° C. In addition, the mold 23 includes an air inlet 23a and a liquid input port 23b. The air inlet 23a can be used for inputting nitrogen. And helium, and the liquid input port 23b can be used to input a high-temperature liquid glass layer.

如第7k圖所示,一高溫液態的玻璃層16置入在模具23內,接著此液態的玻璃層16之溫度降低至一適當溫度(玻璃轉化溫度)之下時會變成固態,此玻璃層16之玻璃轉化溫度 係介於300℃至900℃之間、介於500℃至800℃之間、介於900℃至1200℃之間、介於1000℃至1800℃之間,玻璃層16之材質可為一低熔點的玻璃材料,例如該熔點係介於300℃至900℃之間、介於800℃至1300℃之間、介於900℃至1600℃之間、介於1000℃至1850℃之間或介於1000℃至2000℃之間,或是熔點小於1500℃,且此玻璃層16之厚度大於0.5公尺或大於0.1公尺,此外在玻璃層16之中具有少許的氣泡(或沒有氣泡),例如在一立方公尺的玻璃層16中具有1至10個氣泡、具有5至30個氣泡或具有20至60個氣泡,而且每一氣泡之直徑係介於0.0001公分至0.01公分、介於0.001公分至0.05公分、介於0.05公分至0.1公分、介於0.05公分至0.5公分,此玻璃層16可事先使用多次的熱壓方式,將玻璃層16中的氣泡擠壓移除。 As shown in FIG. 7k, a high-temperature liquid glass layer 16 is placed in the mold 23, and then the temperature of the liquid glass layer 16 decreases to a suitable temperature (glass transition temperature) and becomes a solid state. This glass layer Glass transition temperature of 16 Between 300 ° C and 900 ° C, between 500 ° C and 800 ° C, between 900 ° C and 1200 ° C, between 1000 ° C and 1800 ° C, the material of the glass layer 16 can be a low Glass materials with melting points, for example, the melting point is between 300 ° C and 900 ° C, between 800 ° C and 1300 ° C, between 900 ° C and 1600 ° C, between 1000 ° C and 1850 ° C or between Between 1000 ° C and 2000 ° C, or a melting point of less than 1500 ° C, and the thickness of the glass layer 16 is greater than 0.5 meters or greater than 0.1 meters, and there are a few bubbles (or no bubbles) in the glass layer 16, For example, there are 1 to 10 bubbles, 5 to 30 bubbles, or 20 to 60 bubbles in a cubic meter of glass layer 16, and the diameter of each bubble is between 0.0001 cm to 0.01 cm and between 0.001 The glass layer 16 can be removed by pressing and extruding the air bubbles in the glass layer 16 in advance by using multiple heat pressing methods in advance, from cm to 0.05 cm, between 0.05 cm to 0.1 cm, and between 0.05 cm to 0.5 cm.

如第7l圖所示,將模具23移除,並且沿著切割線16a將金屬固定片150、金屬固定片152、第一金屬片7的第一部分71及第二部分73及二第三金屬片11切除,完成後產生一玻璃柱體25,如第7m圖所示。 As shown in FIG. 71, the mold 23 is removed, and the metal fixing piece 150, the metal fixing piece 152, the first portion 71, the second portion 73, and the second and third metal pieces of the first metal piece 7 are cut along the cutting line 16a. 11 is cut off, and a glass cylinder 25 is generated after completion, as shown in FIG. 7m.

如第7n圖所示,將外露在玻璃柱體25之外的部分金屬線6移除,接著切割該玻璃柱體25產生複數玻璃材質的第一基板20,此第一基板20之厚度係介於20微米至100微米之間、介於50微米至150微米之間、介於50微米至150微米之間、介於100微米至300微米之間、介於150微米至2000微米之間或大於1000微米。此第一基板20可經由一平坦化製程,使表面平坦化,例如使用化學機械研磨(chemical mechanical polishing,CMP)、機械研磨或雷射切割等製程。 As shown in FIG. 7n, a part of the metal wires 6 exposed outside the glass pillar 25 is removed, and then the glass pillar 25 is cut to produce a plurality of first substrates 20 made of glass. The thickness of the first substrate 20 is between Between 20 microns and 100 microns, between 50 microns and 150 microns, between 50 microns and 150 microns, between 100 microns and 300 microns, between 150 microns and 2000 microns, or greater 1000 microns. The first substrate 20 can be subjected to a planarization process to planarize the surface, for example, a process such as chemical mechanical polishing (CMP), mechanical polishing, or laser cutting is used.

如第7o圖所示,此第一基板20包括複數第二基板22,每一第二基板22皆井然有序排列在第一基板20中,每一第二基板22內具有複數金屬栓塞(metal plug)21,其中這些金屬栓塞21係由原本的金屬線752所形成,其材質及結構與金屬線752相同。另外,金屬栓塞21之間之第一間隙21a距離係由原本的金屬線752之間的間隙754距離所控制或與其相同距離,而金屬栓塞21之間之第二間隙21b則係由二片相鄰第一金屬片7之中的金屬線752之間的距離所控制或與其相同距離。 As shown in FIG. 7o, the first substrate 20 includes a plurality of second substrates 22, each of the second substrates 22 is arranged in an orderly manner in the first substrate 20, and each of the second substrates 22 has a plurality of metal plugs (metal plug) 21, wherein these metal plugs 21 are formed by the original metal wire 752, and the material and structure are the same as the metal wire 752. In addition, the distance between the first gap 21a between the metal plugs 21 is controlled by the same distance as the original gap 754 between the metal wires 752, and the second gap 21b between the metal plugs 21 is formed by two pieces of phase. The distance between the metal lines 752 in the adjacent first metal sheet 7 is controlled or the same distance therefrom.

如第7p圖至第7r圖所示,該些金屬栓塞21在第二基板22中可排列不同形狀,如第7p圖所示,該些金屬栓塞21排列在第二基板22之四個側邊,如第7q圖所示,該些金屬栓塞21排列在第二基板22之四個側邊及排列在第二基板22之中心位置,如第7r圖所示,在第二基板22某些特定區域沒有設置該些金屬栓塞21。 As shown in FIG. 7p to FIG. 7r, the metal plugs 21 can be arranged in different shapes in the second substrate 22. As shown in FIG. 7p, the metal plugs 21 are arranged on the four sides of the second substrate 22. As shown in FIG. 7q, the metal plugs 21 are arranged on the four sides of the second substrate 22 and at the center position of the second substrate 22, as shown in FIG. 7r, in some specific aspects of the second substrate 22 These metal plugs 21 are not provided in the area.

如第8a圖所示,第二基板22之剖示圖中顯示金屬栓塞21結構,第二基板22包括己固定形狀的玻璃層(或體)16及複數金屬栓塞21,其中該玻璃層16具有上表面及相對的一下表面,金屬栓塞21從上表面穿過至下表面,而設置在玻璃層16中,其中金屬栓塞21之上表面之面積與金屬栓塞21之下表面相同。 As shown in FIG. 8a, the cross-sectional view of the second substrate 22 shows the structure of the metal plug 21, and the second substrate 22 includes a fixed glass layer (or body) 16 and a plurality of metal plugs 21, wherein the glass layer 16 has On the upper surface and the opposite lower surface, the metal plug 21 passes from the upper surface to the lower surface and is disposed in the glass layer 16, wherein the area of the upper surface of the metal plug 21 is the same as that of the lower surface of the metal plug 21.

如第8b圖所示,金屬栓塞21的上表面與玻璃層16之上表面(幾乎)共平面,而金屬栓塞21的下表面與玻璃層16之下表面(幾乎)共平面。 As shown in FIG. 8b, the upper surface of the metal plug 21 is (almost) coplanar with the upper surface of the glass layer 16, and the lower surface of the metal plug 21 is (almost) coplanar with the lower surface of the glass layer 16.

如第8c圖所示,金屬線6或金屬線752之表面若覆蓋該第一覆蓋層6a時,該第一覆蓋層6a之上表面與玻璃層16之上表面共平面,而第一覆蓋層6a之下表面與玻璃層16之下表面共平面。 As shown in FIG. 8c, if the surface of the metal wire 6 or the metal wire 752 covers the first cover layer 6a, the upper surface of the first cover layer 6a is coplanar with the upper surface of the glass layer 16, and the first cover layer The lower surface of 6a is coplanar with the lower surface of the glass layer 16.

如第8d圖所示,金屬線6或金屬線752之表面若覆蓋該第一覆蓋層6a及第二覆蓋層6b時,該第一覆蓋層6a及第二覆蓋層6b之上表面與玻璃層16之上表面共平面,而第一覆蓋 層6a及第二覆蓋層6b之下表面與玻璃層16之下表面共平面。 As shown in FIG. 8d, if the surface of the metal wire 6 or the metal wire 752 covers the first covering layer 6a and the second covering layer 6b, the upper surfaces of the first covering layer 6a and the second covering layer 6b and the glass layer 16 the upper surface is coplanar, while the first cover The lower surfaces of the layer 6a and the second cover layer 6b are coplanar with the lower surface of the glass layer 16.

如第9a圖至第9r圖所示,此一系列之圖示揭露形成複數線路在第一基板20之上表面及下表面。 As shown in FIG. 9a to FIG. 9r, this series of illustrations reveals that a plurality of lines are formed on the upper surface and the lower surface of the first substrate 20.

如第9a圖所示,形成一第一介電層24在第一基板20的上表面,其中該第一介電層24包括一氧化矽層(SiO2)、一氮化矽層(Si3N4)、一氮氧化矽層(SiON)、一低介電常數之介電層(例如介電常數介於0.5至3之間)、一聚合物層(例如是聚酰亞胺(polyimide)、苯並環丁烯(benzocyclobutene(BCB)、聚苯并恶唑(polybenzoxazole(PBO))、聚氧二甲苯(poly-phenylene oxide(PPO)、環氧樹脂(epoxy)、矽氧烷(silosane)),此第一介電層24係利用化學沉積的方式而形成,此第一介電層24之厚度係介於0.3微米至5微米之間、介於2微米至10微米之間、介於1微米至30微米之間或大於30微米。 As shown in FIG. 9a of forming a silicon oxide layer (SiO 2), a silicon nitride layer is a first dielectric layer 24 on the surface of the first substrate 20, wherein the first dielectric layer 24 comprises (Si 3 N 4 ), a silicon oxynitride layer (SiON), a low dielectric constant dielectric layer (for example, a dielectric constant between 0.5 and 3), a polymer layer (for example, polyimide) , Benzocyclobutene (BCB), polybenzoxazole (PBO), poly-phenylene oxide (PPO), epoxy resin, epoxy, silosane ), The first dielectric layer 24 is formed by chemical deposition, and the thickness of the first dielectric layer 24 is between 0.3 micrometers and 5 micrometers, between 2 micrometers and 10 micrometers, and between Between 1 and 30 microns or greater.

如第9B圖所示,形成複數開口24a在第一介電層24上,以曝露出金屬栓塞21上表面,其中形成開口24a之方法可利用一蝕刻製程進行,且開口24a具有一寬度介於0.3微米至3微米之間、介於0.5微米至8微米之間、介於2微米至20微米之間或介於2微米至50微米之間。 As shown in FIG. 9B, a plurality of openings 24a are formed on the first dielectric layer 24 to expose the upper surface of the metal plug 21. The method of forming the openings 24a can be performed by an etching process, and the openings 24a have a width between Between 0.3 microns and 3 microns, between 0.5 microns and 8 microns, between 2 microns and 20 microns, or between 2 microns and 50 microns.

如第9c圖所示,形成一第一金屬層26位在該第一介電層24、金屬栓塞21及開口24a的表面上,此第一金屬層26包括一金屬黏著層/阻障層,例如一鈦金屬、一鈦-鎢合金、一氮化鈦、一鉻金屬、一鉭金屬、一氮化鉭、一鎳金屬或一鎳釩金屬,並以一適當之方式形成第一金屬層26,例如以一真空沉積方式、一物理氣相沉積方式(PVD)、一電漿輔助化學氣相沉積(PECVD)、一濺鍍方式或一電鍍方式形成該第一金屬層26,該第一金屬層26之厚度係介於1納米至2微米之間、介於0.3微米至3微米之間或介於0.5微米至10微米之間。 As shown in FIG. 9c, a first metal layer 26 is formed on the surface of the first dielectric layer 24, the metal plug 21, and the opening 24a. The first metal layer 26 includes a metal adhesion layer / barrier layer. For example, a titanium metal, a titanium-tungsten alloy, a titanium nitride, a chromium metal, a tantalum metal, a tantalum nitride, a nickel metal, or a nickel vanadium metal, and the first metal layer 26 is formed in an appropriate manner. For example, the first metal layer 26 is formed by a vacuum deposition method, a physical vapor deposition method (PVD), a plasma-assisted chemical vapor deposition (PECVD) method, a sputtering method, or an electroplating method. The thickness of the layer 26 is between 1 nanometer and 2 micrometers, between 0.3 micrometers and 3 micrometers, or between 0.5 micrometers and 10 micrometers.

如第9d圖所示,形成一第二金屬層28在該第一金屬層26上,此第二金屬層28包括銅金屬、金金屬或鋁金屬,並以一適當之方式形成第二金屬層28,例如以一真空沉積方式、一物理氣相沉積方式(PVD)、一電漿輔助化學氣相沉積(PECVD)、一濺鍍方式或一電鍍方式形成該第二金屬層28,該第二金屬層28之厚度係介於1納米至5微米之間、介於1微米至5微米之間或介於5微米至30微米之間。 As shown in FIG. 9d, a second metal layer 28 is formed on the first metal layer 26. The second metal layer 28 includes copper metal, gold metal or aluminum metal, and the second metal layer is formed in an appropriate manner. 28. For example, the second metal layer 28 is formed by a vacuum deposition method, a physical vapor deposition method (PVD), a plasma-assisted chemical vapor deposition (PECVD) method, a sputtering method, or a plating method. The thickness of the metal layer 28 is between 1 nanometer and 5 micrometers, between 1 micrometer and 5 micrometers, or between 5 micrometers and 30 micrometers.

如第9e圖所示,形成一光阻層30在該第二金屬層28上,其中形成的方法包括一旋轉塗佈(Spin Coating)方式或一壓合方式,接著透過一1x步進機及使用化學溶液進行一曝光程序形成複數開孔30a曝露出第二金屬層28,此光阻層30可包括一正型光敏性光阻層或一負型光敏性光阻層,該光阻層30之厚度係介於3微米至50微米之間。 As shown in FIG. 9e, a photoresist layer 30 is formed on the second metal layer 28. The forming method includes a spin coating method or a lamination method, and then passes through a 1x stepper and A chemical solution is used to perform an exposure process to form a plurality of openings 30a to expose the second metal layer 28. The photoresist layer 30 may include a positive type photoresist layer or a negative type photoresist layer. The photoresist layer 30 The thickness is between 3 microns and 50 microns.

如第9f圖所示,使用一蝕刻製程將在開孔30a內的第一金屬層26及第二金屬層28去除。 As shown in FIG. 9f, an etching process is used to remove the first metal layer 26 and the second metal layer 28 in the opening 30a.

如第9g圖所示,使用一清除程序將光阻層30去除,例如用清水清洗。 As shown in FIG. 9g, the photoresist layer 30 is removed using a cleaning process, such as washing with water.

如第9h圖所示,形成一第二介電層32形成在該第一介電層24及第二金屬層28上,其中第二介電層32包括一氧化矽層(SiO2)、一氮化矽層(Si3N4)、一氮氧化矽層(SiON)、一低介電常數之介電層(例如介電常數介於0.5至3之間)、一聚合物層(例如是聚酰亞胺(polyimide)、苯並環丁烯(benzocyclobutene(BCB)、聚苯并恶唑(polybenzoxazole(PBO))、聚氧二甲苯(poly-phenylene oxide(PPO)、環氧樹脂(epoxy)、矽氧烷(silosane)),此第二介電層32係利用化學沉積的方式而形成,此第二介電層32之厚度係介於0.3微米至5微米之間、介於2微米至10微米之間、介於1微米至30微米之間或大於30微米。 As shown in FIG. 9h, a second dielectric layer 32 is formed on the first dielectric layer 24 and the second metal layer 28. The second dielectric layer 32 includes a silicon oxide layer (SiO 2 ), a A silicon nitride layer (Si 3 N 4 ), a silicon oxynitride layer (SiON), a low dielectric constant dielectric layer (for example, a dielectric constant between 0.5 and 3), a polymer layer (for example, a Polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), poly-phenylene oxide (PPO), epoxy resin (epoxy) , Silosane), the second dielectric layer 32 is formed by chemical deposition, and the thickness of the second dielectric layer 32 is between 0.3 micrometers and 5 micrometers, and between 2 micrometers and 2 micrometers. Between 10 microns, between 1 and 30 microns, or greater than 30 microns.

如第9i圖所示,在第二介電層32上形成複數開口32a以曝露出第二金屬層28表面,其中形成開口32a之方法可利用一蝕刻製程進行,且開口32a具有一寬度介於0.3微米至3微米之間、介於0.5微米至8微米之間、介於2微米至20微米之間或介於2微米至50微米之間。 As shown in FIG. 9i, a plurality of openings 32a are formed on the second dielectric layer 32 to expose the surface of the second metal layer 28. The method of forming the openings 32a can be performed by an etching process, and the openings 32a have a width between Between 0.3 microns and 3 microns, between 0.5 microns and 8 microns, between 2 microns and 20 microns, or between 2 microns and 50 microns.

如第9j圖所示,形成一第三金屬層34位在該第二介電層32、第二金屬層28及開口32a的表面上,此第三金屬層34包括一金屬黏著層/阻障層,例如一鈦金屬、一鈦-鎢合金、一氮化鈦、一鉻金屬、一鉭金屬、一氮化鉭、一鎳金屬或一鎳釩金屬,並以一適當之方式形成第三金屬層34,例如以一真空沉積方式、一物理氣相沉積方式(PVD)、一電漿輔助化學氣相沉積(PECVD)、一濺鍍方式或一電鍍方式形成該第三金屬層34,該第三金屬層34之厚度係介於1納米至2微米之間、介於0.3微米至3微米之間或介於0.5微米至10微米之間。 As shown in FIG. 9j, a third metal layer 34 is formed on the surfaces of the second dielectric layer 32, the second metal layer 28, and the opening 32a. The third metal layer 34 includes a metal adhesion layer / barrier Layer, such as a titanium metal, a titanium-tungsten alloy, a titanium nitride, a chromium metal, a tantalum metal, a tantalum nitride, a nickel metal, or a nickel vanadium metal, and form a third metal in a suitable manner The third metal layer 34 is formed by, for example, a vacuum deposition method, a physical vapor deposition method (PVD), a plasma assisted chemical vapor deposition (PECVD) method, a sputtering method, or a plating method. The thickness of the tri-metal layer 34 is between 1 nanometer and 2 micrometers, between 0.3 micrometers and 3 micrometers, or between 0.5 micrometers and 10 micrometers.

如第9k圖所示,形成一第四金屬層36在該第三金屬層34上,此第四金屬層36包括銅金屬、金金屬或鋁金屬,並以一適當之方式形成第四金屬層36,例如以一真空沉積方式、一物理氣相沉積方式(PVD)、一電漿輔助化學氣相沉積(PECVD)、一濺鍍方式或一電鍍方式形成該第四金屬層36,該第四金屬層36之厚度係介於1納米至5微米之間、介於1微米至5微米之間或介於5微米至30微米之間。 As shown in FIG. 9k, a fourth metal layer 36 is formed on the third metal layer 34. The fourth metal layer 36 includes copper metal, gold metal, or aluminum metal, and the fourth metal layer is formed in an appropriate manner. 36. For example, the fourth metal layer 36 is formed by a vacuum deposition method, a physical vapor deposition method (PVD), a plasma assisted chemical vapor deposition (PECVD) method, a sputtering method, or a plating method. The thickness of the metal layer 36 is between 1 nanometer and 5 micrometers, between 1 micrometer and 5 micrometers, or between 5 micrometers and 30 micrometers.

如第9l圖所示,形成一光阻層38在該第四金屬層36上,其中形成的方法包括一旋轉塗佈(Spin Coating)方式或一壓合方式,接著透過一1x步進機及使用化學溶液進行一曝光程序形成複數開孔38a曝露出第四金屬層36,此光阻層38可包括一正型光敏性光阻層或一負型光敏性光阻層,該光阻層38之厚度係介於3微米至50微米之間。 As shown in FIG. 9l, a photoresist layer 38 is formed on the fourth metal layer 36, and the forming method includes a spin coating method or a lamination method, and then passes through a 1x stepper and A chemical solution is used to perform an exposure process to form a plurality of openings 38a to expose the fourth metal layer 36. The photoresist layer 38 may include a positive type photoresist layer or a negative type photoresist layer. The photoresist layer 38 The thickness is between 3 microns and 50 microns.

如第9m圖所示,使用一蝕刻製程將在開孔38a內的第四金屬層36及第三金屬層34去除。 As shown in FIG. 9m, an etching process is used to remove the fourth metal layer 36 and the third metal layer 34 in the opening 38a.

如第9n圖所示,使用一清除程序將光阻層38去除,例如用清水清洗。 As shown in FIG. 9n, the photoresist layer 38 is removed using a cleaning process, such as washing with water.

如第9o圖所示,形成一第三介電層40形成在該第二介電層32及第四金屬層36上,其中第三介電層40包括一氧化矽層(SiO2)、一氮化矽層(Si3N4)、一氮氧化矽層(SiON)、一低介電常數之介電層(例如介電常數介於0.5至3之間)、一聚合物層(例如是聚酰亞胺(polyimide)、苯並環丁烯(benzocyclobutene(BCB)、聚苯并恶唑(polybenzoxazole(PBO))、聚氧二甲苯(poly-phenylene oxide(PPO)、環氧樹脂(epoxy)、矽氧烷(silosane)),此第三介電層40係利用化學沉積的方式而形成,此第三介電層40之厚度係介於0.3微米至5微米之間、介於2微米至10微米之間、介於1微米至30微米之間或大於30微米。 As shown in FIG. 9o, a third dielectric layer 40 is formed on the second dielectric layer 32 and the fourth metal layer 36. The third dielectric layer 40 includes a silicon oxide layer (SiO 2 ), a A silicon nitride layer (Si 3 N 4 ), a silicon oxynitride layer (SiON), a low dielectric constant dielectric layer (for example, a dielectric constant between 0.5 and 3), a polymer layer (for example, a Polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), poly-phenylene oxide (PPO), epoxy resin (epoxy) , Silosane), the third dielectric layer 40 is formed by chemical deposition, and the thickness of the third dielectric layer 40 is between 0.3 micrometers and 5 micrometers, and between 2 micrometers and 2 micrometers. Between 10 microns, between 1 and 30 microns, or greater than 30 microns.

如第9p圖所示,在第三介電層40上形成複數開口40a以曝露出第四金屬層36表面,其中形成開口40a之方法可利用一蝕刻製程進行,且開口24a具有一寬度介於0.3微米至3微米之間、介於0.5微米至8微米之間、介於2微米至20微米之間或介於2微米至50微米之間。 As shown in FIG. 9p, a plurality of openings 40a are formed on the third dielectric layer 40 to expose the surface of the fourth metal layer 36. The method of forming the openings 40a can be performed by an etching process, and the opening 24a has a width between Between 0.3 microns and 3 microns, between 0.5 microns and 8 microns, between 2 microns and 20 microns, or between 2 microns and 50 microns.

如第9q圖所示,形成一保護層42在開口40a內並位在第四金屬層36及第三介電層40上,此保護層42可保護第四金屬層36及第三介電層40不受到損壞和氧化。 As shown in FIG. 9q, a protective layer 42 is formed in the opening 40a and is positioned on the fourth metal layer 36 and the third dielectric layer 40. This protective layer 42 can protect the fourth metal layer 36 and the third dielectric layer. 40 is not damaged and oxidized.

如第9r圖所示,重覆第9a圖至第9p圖的製程在第一基板20的下表面形成第一介電層24、第一金屬層26、第二金屬層28、第二介電層32、第三金屬層34、第四金屬層36及第三介電層40。 As shown in FIG. 9r, a process of repeating FIGS. 9a to 9p forms a first dielectric layer 24, a first metal layer 26, a second metal layer 28, and a second dielectric on the lower surface of the first substrate 20. The layer 32, the third metal layer 34, the fourth metal layer 36, and the third dielectric layer 40.

第9a圖至第9r圖己清楚揭露在第一基板20之上表面及下表面形成複數金屬線路。 FIGS. 9a to 9r have clearly revealed that a plurality of metal lines are formed on the upper surface and the lower surface of the first substrate 20.

如第9s圖所示,另外在形成第一金屬層26或第二金屬層28時,也可同時形成一被動元件44在第一介電層24或第二介電層32上,例如是一電感元件、一電容元件或一電阻元件。 As shown in FIG. 9S, in addition, when the first metal layer 26 or the second metal layer 28 is formed, a passive element 44 may also be formed on the first dielectric layer 24 or the second dielectric layer 32 at the same time. An inductive element, a capacitive element, or a resistive element.

如第9t圖所示,在第一基板20的第三介電層40上經由覆晶(Flip chip)或打線(wirebonding)的封裝製程設置複數的晶片46及晶片56,其中晶片46係經由覆晶封裝製程設置,而晶片56係經由打線封裝製程設置,其中晶片46及晶片56可包括一NAND快閃記憶體晶片、一快閃記憶體晶片、一動態隨機存取存儲晶片(DRAM)、一靜態隨機存取存儲晶片(SRAM)、一中央處理單元晶片(CPU)、一圖形處理單元晶片(GPU)、一數字信號處理晶片(DSP chip)、一整合之記憶體晶片(內含動態隨機存取存線路單元、靜態隨機存取存儲線路單元、快閃記憶體線路單元)、一基頻晶片(baseband chip)、一無線局域網絡(WLAN)晶片、一邏輯晶片、一類比晶片、一全球定位系統(GPS)晶片、一藍牙(Bluetooth)晶片、一微機電系統晶片、一CMOS影像感測晶片、一無線局域網路(WLAN)晶片或是整合數個線路單元在一晶片內,此線路單元包括中央處理單元、圖形處理單元、數字信號處理單元、記憶體單元、快閃記憶體單元其中之組合。 As shown in FIG. 9t, a plurality of wafers 46 and 56 are provided on the third dielectric layer 40 of the first substrate 20 through a flip chip or wire bonding packaging process. The wafer 46 is The chip packaging process is set, and the chip 56 is set through a wire packaging process. The chip 46 and the chip 56 may include a NAND flash memory chip, a flash memory chip, a dynamic random access memory chip (DRAM), a Static random access memory chip (SRAM), a central processing unit chip (CPU), a graphics processing unit chip (GPU), a digital signal processing chip (DSP chip), an integrated memory chip (containing dynamic random access memory) Fetch circuit unit, static random access memory circuit unit, flash memory circuit unit), a baseband chip, a wireless local area network (WLAN) chip, a logic chip, an analog chip, a global positioning System (GPS) chip, a Bluetooth chip, a micro-electro-mechanical system chip, a CMOS image sensor chip, a wireless local area network (WLAN) chip, or a plurality of circuit units integrated in a chip, the circuit unit Including a central processing unit, a graphics processing unit, a digital signal processing unit, a memory unit, and a flash memory unit.

晶片46係以覆晶封裝製程設置在第一基板20上,其中晶片46包括複數金屬凸塊50分別形成在複數金屬接墊48上,其中金屬接墊48包括一電鍍銅接墊、一鑲嵌銅接墊或一鋁金屬接墊,而金屬凸塊50包括一黏著層/阻障金屬層形成在金屬接墊48上、一電鍍金屬層或一無電電鍍層形成在黏著層/阻障金屬層上,其中黏著層/阻障金屬層包括一含鈦金屬層、一鈦-鎢合金層、一氮化鈦層、一含鉻金屬層、一含鉭金屬層、一氮化鉭層、一鎳金屬層,而電鍍金屬層包括一銅層、一金層、一鎳層、一含錫金屬層、一鎳層、一焊錫層、一焊錫層位在鎳層及銅層上,而無電電鍍層包括一銅層、一金層、一鎳層,此電鍍金屬層之厚度係介於2微米至5微米之間、介於5微米至30微米之間或介於10微米至50微米之間,此金屬凸塊50經由一焊錫層54連接至曝露在開口40a中的第四金屬層36,其中此焊錫層54係形成在開口40a中的第四金屬層36上或是此焊錫層54為金屬凸塊50的一部分。 The wafer 46 is disposed on the first substrate 20 by a flip-chip packaging process, wherein the wafer 46 includes a plurality of metal bumps 50 formed on a plurality of metal pads 48 respectively, wherein the metal pads 48 include an electroplated copper pad and a copper inlay Pad or an aluminum metal pad, and the metal bump 50 includes an adhesive layer / barrier metal layer formed on the metal pad 48, an electroplated metal layer or an electroless plated layer formed on the adhesive layer / barrier metal layer The adhesive layer / barrier metal layer includes a titanium-containing metal layer, a titanium-tungsten alloy layer, a titanium nitride layer, a chromium-containing metal layer, a tantalum-containing metal layer, a tantalum nitride layer, and a nickel metal. The electroplated metal layer includes a copper layer, a gold layer, a nickel layer, a tin-containing metal layer, a nickel layer, a solder layer, a solder layer on the nickel layer and the copper layer, and the electroless plating layer includes A copper layer, a gold layer, a nickel layer, and the thickness of the electroplated metal layer is between 2 microns and 5 microns, between 5 microns and 30 microns, or between 10 microns and 50 microns, and The metal bump 50 is connected to the fourth metal layer 36 exposed in the opening 40a via a solder layer 54. The fourth metal layer is a solder layer 54 in the opening 40a is formed based on the solder layer 36 or 54 this part of the metal bump 50.

一底部填充膠(Underfill)層52形成在晶片46與第三介電層40之間。 An underfill layer 52 is formed between the wafer 46 and the third dielectric layer 40.

晶片56係經由一聚合物黏著層60設置在第一基板20之第三介電層40上,其中晶片56包括複複金屬接墊58,此金屬接墊58包括一電鍍銅接墊、一鑲嵌銅接墊或一鋁金屬接墊,利用複數金屬線62分別連接該些金屬接墊58與在開口40a中的第四金屬層36,其中金屬線62包括一金線、一銅線、一合金線、一含銀之金屬線、一含鋁之金屬線、一金-銅合金線,一底部填充膠層64覆蓋在晶片56、金屬線62及金屬接墊58上。 The wafer 56 is disposed on the third dielectric layer 40 of the first substrate 20 via a polymer adhesive layer 60. The wafer 56 includes a composite metal pad 58 including an electroplated copper pad and an inlay. A copper pad or an aluminum metal pad is connected to the metal pads 58 and the fourth metal layer 36 in the opening 40a by a plurality of metal wires 62, wherein the metal wires 62 include a gold wire, a copper wire, and an alloy. Wire, a silver-containing metal wire, an aluminum-containing metal wire, a gold-copper alloy wire, and an underfill layer 64 covering the chip 56, the metal wire 62, and the metal pad 58.

複數離散式被動元件(discrete passive components)66可設置在在第一基板20之第三介電層40上,例如是一離散式電感元件、一離散式電容元件、一離散式電阻元件,其中複數離散式被動元件66包括複數金屬接墊68,離散式被動元件66可透過一焊錫層70連接至第四金屬層36並設置在第三介電層40上。 A plurality of discrete passive components 66 may be disposed on the third dielectric layer 40 of the first substrate 20, such as a discrete inductor element, a discrete capacitor element, and a discrete resistive element. The discrete passive component 66 includes a plurality of metal pads 68. The discrete passive component 66 may be connected to the fourth metal layer 36 through a solder layer 70 and disposed on the third dielectric layer 40.

如第9u圖所示,可在第一基板20的下表面的線路層上形成複數金屬凸塊72。 As shown in FIG. 9u, a plurality of metal bumps 72 may be formed on the wiring layer on the lower surface of the first substrate 20.

金屬凸塊72之結構如第9v圖所示。 The structure of the metal bump 72 is shown in FIG. 9v.

●第9v圖左邊的金屬凸塊72之結構: ● The structure of the metal bump 72 on the left of Fig. 9v:

第一種金屬凸塊72的結構包括一黏著/阻障金屬層61以濺鍍方式或無電電鍍方式形成在金屬接墊48上,一金屬種子層63濺鍍方式或無電電鍍方式形成在該黏著/阻障金屬層61上,一第 一電鍍金屬層65形成在該金屬種子層63上,一焊錫層67形成在該電鍍金屬層65上,其中該黏著/阻障金屬層61包括例如一鈦金屬、一鈦-鎢合金、一氮化鈦、一鉻金屬、一鉭金屬、一氮化鉭、一鎳金屬或一鎳釩金屬,而電鍍金屬層65包括一銅層、一金層或一鎳層,而焊錫層67形成的方式印刷方式(screen plating)、黏錫球(ball mounting)或一電鍍方式形成,焊錫層67之材質包括一金-錫合金層、一錫-銀合金層、一錫-銀-銅合金層、一銦層、錫-鉍合金層、一無铅合金層或一含铅合金層;金屬種子層63之厚度係介於0.05微米至2微米之間,第一電鍍金屬層65之厚度係介於1微米至5微米之間、介於2微米至8微米之間或介於5微米至20微米之間,而焊錫層67之厚度係介於30微米至80微米之間、介於50微米至100微米之間、介於80微米至150微米之間或介於120微米至350微米之間。 The structure of the first metal bump 72 includes an adhesion / barrier metal layer 61 formed on the metal pad 48 by sputtering or electroless plating, and a metal seed layer 63 formed by sputtering or electroless plating on the adhesion. / Barrier metal layer 61, a first An electroplated metal layer 65 is formed on the metal seed layer 63, and a solder layer 67 is formed on the electroplated metal layer 65, wherein the adhesion / barrier metal layer 61 includes, for example, a titanium metal, a titanium-tungsten alloy, a nitrogen Titanium, a chromium metal, a tantalum metal, a tantalum nitride, a nickel metal or a nickel vanadium metal, and the electroplated metal layer 65 includes a copper layer, a gold layer, or a nickel layer, and the solder layer 67 is formed. Formed by screen plating, ball mounting or a plating method, the material of the solder layer 67 includes a gold-tin alloy layer, a tin-silver alloy layer, a tin-silver-copper alloy layer, a An indium layer, a tin-bismuth alloy layer, a lead-free alloy layer, or a lead-containing alloy layer; the thickness of the metal seed layer 63 is between 0.05 μm and 2 μm, and the thickness of the first electroplated metal layer 65 is between 1 Micron to 5 micron, 2 micron to 8 micron, or 5 micron to 20 micron, and the thickness of the solder layer 67 is 30 micron to 80 micron, 50 micron to 100 micron Between micrometers, between 80 micrometers and 150 micrometers, or between 120 micrometers and 350 micrometers.

●第9v圖右邊的金屬凸塊72之結構: ● The structure of the metal bump 72 on the right side of Figure 9v:

第二種金屬凸塊72的結構包括一黏著/阻障金屬層61以濺鍍方式或無電電鍍方式形成在金屬接墊48上,一金屬種子層63濺鍍方式或無電電鍍方式形成在該黏著/阻障金屬層61上,一第一電鍍金屬層65形成在該金屬種子層63上,一第二電鍍金屬層69形成在該第一電鍍金屬層65上,其中該黏著/阻障金屬層61包括例如一鈦金屬、一鈦-鎢合金、一氮化鈦、一鉻金屬、一鉭金屬、一氮化鉭、一鎳金屬或一鎳釩金屬,而第一電鍍金屬層65及第二電鍍金屬層69包括一銅層、一金層或一鎳層,黏著/阻障金屬層61之厚度係介於0.05微米至2微米之間,金屬種子層63之厚度係介於0.05微米至2微米之間,第一電鍍金屬層65之厚度係介於1微米至5微米之間、介於2微米至8微米之間或介於5微米至20微米之間,第二電鍍金屬層69之厚度係介於1微米至5微米之間、介於2微米至4微米之間、介於10微米至30微米之間或介於20微米至60微米之間。 The structure of the second metal bump 72 includes an adhesion / barrier metal layer 61 formed on the metal pad 48 by sputtering or electroless plating, and a metal seed layer 63 formed by sputtering or electroless plating on the adhesion. On the / barrier metal layer 61, a first plated metal layer 65 is formed on the metal seed layer 63, and a second plated metal layer 69 is formed on the first plated metal layer 65, wherein the adhesion / barrier metal layer 61 includes, for example, a titanium metal, a titanium-tungsten alloy, a titanium nitride, a chromium metal, a tantalum metal, a tantalum nitride, a nickel metal, or a nickel vanadium metal, and the first electroplated metal layer 65 and the second The electroplated metal layer 69 includes a copper layer, a gold layer, or a nickel layer. The thickness of the adhesion / barrier metal layer 61 is between 0.05 μm and 2 μm, and the thickness of the metal seed layer 63 is between 0.05 μm and 2. The thickness of the first electroplated metal layer 65 is between 1 micrometer and 5 micrometers, between 2 micrometers and 8 micrometers, or between 5 micrometers and 20 micrometers. Thickness is between 1 μm and 5 μm, between 2 μm and 4 μm, and between 10 μm 30 microns or between 20 microns to 60 microns.

如第9w圖所示,晶片46也可設置在第一基板20的下表面,其設置的方式與上述設置晶片46及晶片56的方式相同,請參考上述說明所示。 As shown in FIG. 9w, the wafer 46 may also be disposed on the lower surface of the first substrate 20, and the manner of arrangement is the same as that of the wafer 46 and the wafer 56. Please refer to the description above.

如第9x圖所示,晶片46可被替換成一3D晶片封裝,其中晶片46具有複數金屬接墊48形成在上表面及下表面,上表面的金屬接墊48透過內埋在矽穿孔(through-silicon-via)內的金屬層連接至下表面的金屬接墊48,另一晶片47以覆晶方式設置在晶片46上,其中晶片47包括複數金屬接墊49,該些金屬接墊49透過一焊錫層51連接至晶片46上表面的金屬接墊48,該些金屬接墊49包括一電鍍銅接墊、一鑲嵌銅接墊或一鋁接墊。 As shown in FIG. 9x, the chip 46 can be replaced with a 3D chip package, wherein the chip 46 has a plurality of metal pads 48 formed on the upper and lower surfaces, and the metal pads 48 on the upper surface are embedded in through-silicon vias (through- The metal layer in the silicon-via) is connected to the metal pads 48 on the lower surface, and another wafer 47 is disposed on the wafer 46 in a flip-chip manner, wherein the wafer 47 includes a plurality of metal pads 49 which pass through a The solder layer 51 is connected to metal pads 48 on the upper surface of the chip 46. The metal pads 49 include an electroplated copper pad, an inlaid copper pad, or an aluminum pad.

如第9y圖所示,此圖為第一基板20之上視圖,第9u圖至第9w圖係揭露第9y圖中L-L’線的剖面圖,複數晶片46、晶片56及離散式被動元件66可設置在第一基板20上。 As shown in Figure 9y, this figure is a top view of the first substrate 20, and Figures 9u to 9w are cross-sectional views of the line L-L 'in Figure 9y. A plurality of wafers 46, 56 and discrete passives are shown. The element 66 may be disposed on the first substrate 20.

接著切割第一基板20而產生複數第二基板22。 Then, the first substrate 20 is cut to generate a plurality of second substrates 22.

第10a圖至第10j圖所揭露第一金屬層26、第二金屬層28、一第三金屬層34及第四金屬層36以一鑲嵌(damascene)製程形成在第一基板20的上表面及下表面上。 The first metal layer 26, the second metal layer 28, the third metal layer 34 and the fourth metal layer 36 disclosed in FIGS. 10a to 10j are formed on the upper surface of the first substrate 20 and On the lower surface.

如第10a圖所示,在第9a圖中的介電層24係包括一介電層80及一介電層82,此介電層80係使用化學氣相沉積(CVD)或一濺鍍方式形成在該介電層82上,其中介電層80及介電層82皆可包括一低介電常數層,其厚度係介於0.3微米至5微米之間或介於0.5微米至3微米之間,或是包括一低介電常數的氮氧化矽層形成在一低介電常數的氧化矽層,或是包括一低介電常數的聚合物層,其厚度係介於0.3微米至5微米之間或介於0.5微米至3微米之間,或是一氮化矽層形成在一低介電常數聚合物層、或是厚度介於0.3微米至5微米之間或介於0.5微米至3微米 之間的一低介電常數之介電層,以及一含氮化矽層形成在低介電常數之介電層上。接著如第10b圖所示,一光阻層84形成在該介電層82上,形成一開口84a在該光阻層84內曝露該介電層82,接著如第10c圖所示,使用乾蝕刻方式移除位在開口84a內的介電層82而形成一溝槽曝露出介電層80,如第10d圖所示,移除光阻層84,如第10e圖所示,形成一光阻層86形成在該介電層82及該介電層80上,形成一開口86a在該光阻層84內曝露位在該溝槽上之介電層80,如第10f圖所示,使用乾蝕刻方式移除位在開口86a內的介電層80而形成一穿孔80a曝露出金屬栓塞21,接著如第10g圖所示,移除光阻層86而露出開口88,此開口88包括溝槽及穿孔80a,如第10h圖所示,形成一黏著/阻障層90在開口88內之金屬栓塞21表面、在開口88之側壁及介電層82的上表面上,其中此黏著/阻障層90之厚度介於01微米至3微米之間,此黏著/阻障層90可透過一濺鍍方式或一化學氣相沉積(CVD)方式形成,此黏著/阻障層90包括一鈦金屬、一鈦-鎢合金、一氮化鈦、一鉻金屬、一鉭金屬或一氮化鉭,黏著/阻障層90例如係濺鍍一鉭金屬層在金屬栓塞21表面、在開口88之側壁及介電層82的上表面上、或是例如係化學氣相沉積(CVD)或濺鍍一氮化鉭層在金屬栓塞21表面、在開口88之側壁及介電層82的上表面上,如第10i圖所示,以濺鍍或化學氣相沉積(CVD)方式形成一銅金屬種子層92在該黏著/阻障層90上,此種子層92之厚度係介於0.1微米至3微米之間,接著電鍍形成一銅金屬層94在種子層92上,此銅金屬層94之厚度係介於0.5微米至5微米之間,最佳厚度係介於1微米至2微米之間,如第10j圖所示,位在開口88之外的銅金屬層94、種子層92、黏著/阻障層90利用一化學機械研磨方式(CMP)的方式移除,直到介電層82的上表面曝露於外界。 As shown in FIG. 10a, the dielectric layer 24 in FIG. 9a includes a dielectric layer 80 and a dielectric layer 82. The dielectric layer 80 is formed by chemical vapor deposition (CVD) or a sputtering method. The dielectric layer 82 is formed on the dielectric layer 82. The dielectric layer 80 and the dielectric layer 82 may both include a low dielectric constant layer, and the thickness is between 0.3 micrometer and 5 micrometers or between 0.5 micrometer and 3 micrometers. Either a low dielectric constant silicon oxynitride layer is formed on a low dielectric constant silicon oxide layer, or a low dielectric constant polymer layer having a thickness between 0.3 microns and 5 microns Between 0.5 microns and 3 microns, or a silicon nitride layer formed on a low dielectric constant polymer layer, or between 0.3 microns and 5 microns, or between 0.5 microns and 3 microns Micron A low-k dielectric layer and a silicon nitride-containing layer are formed on the low-k dielectric layer. Next, as shown in FIG. 10b, a photoresist layer 84 is formed on the dielectric layer 82, and an opening 84a is formed to expose the dielectric layer 82 in the photoresist layer 84. Then, as shown in FIG. 10c, a dry layer is used. The dielectric layer 82 located in the opening 84a is removed by etching to form a trench to expose the dielectric layer 80. As shown in FIG. 10d, the photoresist layer 84 is removed. As shown in FIG. 10e, a light is formed. A resist layer 86 is formed on the dielectric layer 82 and the dielectric layer 80, and an opening 86a is formed to expose the dielectric layer 80 on the trench in the photoresist layer 84. As shown in FIG. 10f, use The dry etching method removes the dielectric layer 80 located in the opening 86a to form a perforation 80a to expose the metal plug 21, and then as shown in FIG. 10g, the photoresist layer 86 is removed to expose the opening 88. The opening 88 includes a trench. The grooves and perforations 80a, as shown in FIG. 10h, form an adhesion / barrier layer 90 on the surface of the metal plug 21 in the opening 88, on the sidewall of the opening 88 and the upper surface of the dielectric layer 82, where this adhesion / resistance The thickness of the barrier layer 90 is between 01 μm and 3 μm. The adhesion / barrier layer 90 can be formed by a sputtering method or a chemical vapor deposition (CVD) method. The barrier / barrier layer 90 includes a titanium metal, a titanium-tungsten alloy, a titanium nitride, a chromium metal, a tantalum metal, or a tantalum nitride. The adhesion / barrier layer 90 is, for example, sputtered with a tantalum metal layer on the metal. The surface of the plug 21, the sidewalls of the opening 88 and the upper surface of the dielectric layer 82, or, for example, chemical vapor deposition (CVD) or sputtering of a tantalum nitride layer on the surface of the metal plug 21, the sidewalls of the opening 88, and On the upper surface of the dielectric layer 82, as shown in FIG. 10i, a copper metal seed layer 92 is formed on the adhesion / barrier layer 90 by sputtering or chemical vapor deposition (CVD). The thickness is between 0.1 μm and 3 μm, and then a copper metal layer 94 is formed on the seed layer 92 by electroplating. The thickness of the copper metal layer 94 is between 0.5 μm and 5 μm, and the optimal thickness is between Between 1 μm and 2 μm, as shown in FIG. 10j, the copper metal layer 94, the seed layer 92, and the adhesion / barrier layer 90 located outside the opening 88 are removed by a chemical mechanical polishing (CMP) method. Until the upper surface of the dielectric layer 82 is exposed to the outside world.

第11a圖至第11i圖所揭露第一金屬層26、第二金屬層28、一第三金屬層34及第四金屬層36以一浮凸(embossing)製程製程形成在第一基板20的上表面及下表面上。 The first metal layer 26, the second metal layer 28, the third metal layer 34, and the fourth metal layer 36 disclosed in FIGS. 11a to 11i are formed on the first substrate 20 by an embossing process. On the surface and the lower surface.

如第11a圖所示,一開口96a位在第一基板20表面之介電層96上,曝露出金屬栓塞21,一聚合物層98形成在介電層96及金屬栓塞21上。 As shown in FIG. 11 a, an opening 96 a is located on the dielectric layer 96 on the surface of the first substrate 20, and the metal plug 21 is exposed. A polymer layer 98 is formed on the dielectric layer 96 and the metal plug 21.

如第11b圖及第11c圖所示,在聚合物層98形成一開口98a只曝露出金屬栓塞21的中心部分,或是如第11c圖所示,在聚合物層98形成一開口98a曝露出金屬栓塞21及介電層96,以下係以第11b圖所示之結構繼續進行說明;另外聚合物層98形成一開口98a時同時將聚合物層98圖案化,此聚合物層98包括一例如是聚酰亞胺(polyimide)、苯並環丁烯(benzocyclobutene(BCB)、聚苯并恶唑(polybenzoxazole(PBO))、聚氧二甲苯(poly-phenylene oxide(PPO)、環氧樹脂(epoxy)、矽氧烷(silosane)、彈性聚合物層、多孔性介電材料,此聚合物層98的厚度係介於3微米至25微米之間或介於5微米至50微米之間。此聚合物層98係以旋塗方式、印刷方式(screen plating)、層壓法(lamination process)方式形成在金屬栓塞21及介電層96上。 As shown in FIG. 11b and FIG. 11c, an opening 98a is formed in the polymer layer 98 to expose only the central portion of the metal plug 21, or as shown in FIG. 11c, an opening 98a is formed in the polymer layer 98 to be exposed The metal plug 21 and the dielectric layer 96 are described below with the structure shown in FIG. 11b. In addition, when the polymer layer 98 forms an opening 98a, the polymer layer 98 is simultaneously patterned. The polymer layer 98 includes, for example, an Polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), poly-phenylene oxide (PPO), epoxy resin (epoxy ), Silosane, elastic polymer layer, porous dielectric material, the thickness of this polymer layer 98 is between 3 microns to 25 microns or between 5 microns to 50 microns. This polymerization The physical layer 98 is formed on the metal plug 21 and the dielectric layer 96 by a spin coating method, a screen plating method, or a lamination process method.

如第11d圖所示,形成一黏著/阻障層100及一金屬種子層在聚合物層98及金屬栓塞21上,此黏著/阻障層100及金屬種子層之厚度係介於0.1微米至3微米之間或介於0.5微米至2微米之間,此黏著/阻障層100包括例如一鈦金屬、一鈦-鎢合金、一氮化鈦、一鉻金屬、一鉭金屬、一氮化鉭、一鎳金屬或一鎳釩金屬,此黏著/阻障層100係利用濺鍍方式、蒸鍍方式或化學氣相沉積(CVD)的方式形成。 As shown in FIG. 11d, an adhesion / barrier layer 100 and a metal seed layer are formed on the polymer layer 98 and the metal plug 21, and the thickness of the adhesion / barrier layer 100 and the metal seed layer is between 0.1 μm and Between 3 microns or between 0.5 microns and 2 microns, the adhesion / barrier layer 100 includes, for example, a titanium metal, a titanium-tungsten alloy, a titanium nitride, a chromium metal, a tantalum metal, a nitride Tantalum, a nickel metal, or a nickel vanadium metal. The adhesion / barrier layer 100 is formed by a sputtering method, a vapor deposition method, or a chemical vapor deposition (CVD) method.

如第11e圖所示,一光阻層102利用旋塗方式或壓合方式形成在黏著/阻障層100上,如第11f圖所示,圖案化該光阻層102形成開口102a在金屬栓塞21上的黏著/阻障層100上。 As shown in FIG. 11e, a photoresist layer 102 is formed on the adhesive / barrier layer 100 by a spin coating method or a lamination method. As shown in FIG. 11f, the photoresist layer 102 is patterned to form an opening 102a and a metal plug is formed. 21 on the adhesion / barrier layer 100.

如第11g圖所示,一電鍍金屬層104形成在開口102a內的黏著/阻障層100上,其中電鍍金屬層104包括一銅金屬層、一金金屬層或一鎳金屬層,該電鍍金屬層104之厚度係介於2微米至10微米之間、介於5微米至20微米之間或介於5微米至35微米之間。 As shown in FIG. 11g, a plated metal layer 104 is formed on the adhesion / barrier layer 100 in the opening 102a. The plated metal layer 104 includes a copper metal layer, a gold metal layer, or a nickel metal layer. The thickness of the layer 104 is between 2 microns and 10 microns, between 5 microns and 20 microns, or between 5 microns and 35 microns.

如第11h圖所示,移除光阻層102。 As shown in FIG. 11h, the photoresist layer 102 is removed.

如第11i圖所示,利用乾蝕刻或顯蝕刻的方式移除未在電鍍金屬層104下方的黏著/阻障層100及金屬種子層,例如是以反應性離子蝕刻方式移除黏著/阻障層100及金屬種子層,移除後則完成線路層。 As shown in FIG. 11i, the adhesion / barrier layer 100 and the metal seed layer that are not under the electroplated metal layer 104 are removed by dry etching or explicit etching. For example, the adhesion / barrier is removed by reactive ion etching. The layer 100 and the metal seed layer are removed, and the circuit layer is completed.

本發明的第一種應用:如第12圖所示,第二基板22利用晶片接合玻璃(Chip-On-Glass,COG)方式接合在一有機發光二極體(Organic Light-Emitting Diode,OLED)顯示面板上,此有機發光二極體顯示面板包括一第一玻璃基板106、一第二玻璃基板108及一有機發光二極體層110(高分子發光二極管層,PLED)及薄膜電晶體線路層位在該第一玻璃基板106及第二玻璃基板108之間,以及複數透明電極線路114位在第一玻璃基板106及第二玻璃基板108之間,第二基板22之金屬凸塊72透過一異方性導電層116連接至透明電極線路114,其中異方性導電層116內包括複數導電金屬粒子117,例如一鎳金屬粒子、一金金屬粒子、一鎳-金合金粒子、一銀-錫合金粒子、一銀金屬粒子、一鍍金粒子、一鍍銀粒子及一鍍鎳粒子。此有機發光二極體顯示面板基板包括複數有機發光二極體顯示面板,其中此有機發光二極體顯示面板可包括一觸控功能,金屬凸塊72之中心線與玻璃基板106邊界之間的一最小距離106a係介於3微米至10微米之間、介於5微米至15微米之間、介於10微米至25微米之間、介於20微米至40微米之間。 The first application of the present invention: as shown in FIG. 12, the second substrate 22 is bonded to an organic light-emitting diode (OLED) using a chip-on-glass (COG) method. On the display panel, the organic light emitting diode display panel includes a first glass substrate 106, a second glass substrate 108, an organic light emitting diode layer 110 (polymer light emitting diode layer, PLED) and a thin film transistor circuit layer. Between the first glass substrate 106 and the second glass substrate 108, and a plurality of transparent electrode lines 114 are located between the first glass substrate 106 and the second glass substrate 108, the metal bumps 72 of the second substrate 22 pass through a different The rectangular conductive layer 116 is connected to the transparent electrode line 114. The anisotropic conductive layer 116 includes a plurality of conductive metal particles 117, such as a nickel metal particle, a gold metal particle, a nickel-gold alloy particle, and a silver-tin alloy. Particles, a silver metal particle, a gold-plated particle, a silver-plated particle, and a nickel-plated particle. The organic light emitting diode display panel substrate includes a plurality of organic light emitting diode display panels, wherein the organic light emitting diode display panel may include a touch function, a distance between a center line of the metal bump 72 and a boundary of the glass substrate 106. A minimum distance 106a is between 3 microns and 10 microns, between 5 microns and 15 microns, between 10 microns and 25 microns, and between 20 microns and 40 microns.

接著切割第二基板22及有機發光二極體顯示面板基板,而產生複數封裝單元。 Then, the second substrate 22 and the organic light emitting diode display panel substrate are cut to generate a plurality of packaging units.

本發明的第二種應用:第一種應用的有機發光二極體顯示面板基板可被替換成微機電系統顯示面板(Micro Electro Mechanicah Systems,MEMS)基板,如第13圖所示,此微機電系統顯示面板包括一第一玻璃基板106、一第二玻璃基板108、一微機電系統顯示層109及薄膜電晶體線路層位在該第一玻璃基板106及第二玻璃基板108之間,以及複數透明電極線路114位在第一玻璃基板106及第二玻璃基板108之間,第二基板22之金屬凸塊72透過一異方性導電層116連接至透明電極線路114,其中異方性導電層116內包括複數導電金屬粒子117,例如一鎳金屬粒子、一金金屬粒子、一鎳-金合金粒子、一銀-錫合金粒子、一銀金屬粒子、一鍍金粒子、一鍍銀粒子及一鍍鎳粒子。此微機電系統顯示面板基板包括複數微機電系統顯示面板,其中此微機電系統顯示面板可包括一觸控功能,金屬凸塊72之中心線與玻璃基板106邊界之間的一最小距離106a係介於3微米至10微米之間、介於5微米至15微米之間、介於10微米至25微米之間、介於20微米至40微米之間。 The second application of the present invention: The organic light emitting diode display panel substrate of the first application can be replaced with a Micro Electro Mechanicah Systems (MEMS) substrate. As shown in FIG. 13, this micro electromechanical The system display panel includes a first glass substrate 106, a second glass substrate 108, a micro-electromechanical system display layer 109, and a thin film transistor circuit layer between the first glass substrate 106 and the second glass substrate 108, and a plurality of The transparent electrode circuit 114 is located between the first glass substrate 106 and the second glass substrate 108. The metal bump 72 of the second substrate 22 is connected to the transparent electrode circuit 114 through an anisotropic conductive layer 116, where the anisotropic conductive layer 116 includes a plurality of conductive metal particles 117, such as a nickel metal particle, a gold metal particle, a nickel-gold alloy particle, a silver-tin alloy particle, a silver metal particle, a gold-plated particle, a silver-plated particle, and a plating Nickel particles. The MEMS display panel substrate includes a plurality of MEMS display panels. The MEMS display panel may include a touch function. A minimum distance 106a between the center line of the metal bump 72 and the boundary of the glass substrate 106 is introduced. Between 3 microns to 10 microns, between 5 microns to 15 microns, between 10 microns to 25 microns, and between 20 microns to 40 microns.

接著切割第二基板22及微機電系統顯示面板基板,而產生複數封裝單元。 Then, the second substrate 22 and the MEMS display panel substrate are cut to generate a plurality of packaging units.

本發明的第三種應用:在第二基板22之下表面設置複數發光二極體元件122,第二基板22利用晶片接合玻璃(Chip-On-Glass,COG)方式接合在一液晶顯示面板上,此液晶顯示面板包括一第一玻璃基板106、一第二玻璃基板108、一液晶顯示層111及薄膜電晶體線路層位在該第一玻璃基板106及第二玻璃基板108之間,以及複數透明電極線路114位在第一玻璃基板106及第二玻璃基板108之間,第二基板22之金屬凸塊72透過一異方性導電層116連接至透明電極線路114,其中異方性導電層116內包括複數導電金屬粒子117,例如一鎳金屬粒 子、一金金屬粒子、一鎳-金合金粒子、一銀-錫合金粒子、一銀金屬粒子、一鍍金粒子、一鍍銀粒子及一鍍鎳粒子。此液晶顯示面板基板包括複數液晶顯示面板,其中此液晶顯示面板可包括一觸控功能,其中此液晶顯示面板可包括一觸控功能,其中此液晶顯示面板包括內嵌式觸控(in-cell TFT LCD)薄膜電晶體液晶顯示器,另外在第二基板22與液晶顯示面板基板之間具有複數光學層128,包括擴散片層、棱鏡片層、擴散層(或擴散板)及反射器層。另外,金屬凸塊72之中心線與玻璃基板106邊界之間的一最小距離106a係介於3微米至10微米之間、介於5微米至15微米之間、介於10微米至25微米之間、介於20微米至40微米之間。 A third application of the present invention: a plurality of light emitting diode elements 122 are provided on the lower surface of the second substrate 22, and the second substrate 22 is bonded to a liquid crystal display panel by using a chip-on-glass (COG) method The liquid crystal display panel includes a first glass substrate 106, a second glass substrate 108, a liquid crystal display layer 111, and a thin film transistor circuit layer between the first glass substrate 106 and the second glass substrate 108, and a plurality of The transparent electrode circuit 114 is located between the first glass substrate 106 and the second glass substrate 108. The metal bump 72 of the second substrate 22 is connected to the transparent electrode circuit 114 through an anisotropic conductive layer 116, where the anisotropic conductive layer 116 includes a plurality of conductive metal particles 117, such as a nickel metal particle Particles, a gold metal particle, a nickel-gold alloy particle, a silver-tin alloy particle, a silver metal particle, a gold-plated particle, a silver-plated particle, and a nickel-plated particle. The liquid crystal display panel substrate includes a plurality of liquid crystal display panels. The liquid crystal display panel may include a touch function. The liquid crystal display panel may include a touch function. The liquid crystal display panel includes an in-cell touch panel. TFT LCD) thin film transistor liquid crystal display. In addition, there is a plurality of optical layers 128 between the second substrate 22 and the liquid crystal display panel substrate, including a diffusion sheet layer, a prism sheet layer, a diffusion layer (or a diffusion plate), and a reflector layer. In addition, a minimum distance 106a between the center line of the metal bump 72 and the boundary of the glass substrate 106 is between 3 μm to 10 μm, between 5 μm to 15 μm, and between 10 μm to 25 μm. Between 20 and 40 microns.

接著切割第二基板22及液晶顯示面板基板,而產生複數封裝單元。 Then, the second substrate 22 and the liquid crystal display panel substrate are cut to generate a plurality of packaging units.

本發明的第四種應用:如第15圖所示,此應用的結構與第14圖所示之第三種應用結構相似,第二基板22利用覆晶接合方式接合在一液晶顯示面板上,此液晶顯示面板包括一第一玻璃基板106、一第二玻璃基板108、一非晶矽薄膜電晶體液晶顯示層111及薄膜電晶體線路層位在該第一玻璃基板106及第二玻璃基板108之間,其中更包括複數透明電極線路114位在第一玻璃基板106下方表面及複數金屬栓塞113在第一玻璃基板106之中,其中該些金屬栓塞113分別連接透明電極線路114,其中此第一玻璃基板106之結構可參考本發明第二基板22,第二基板22之金屬凸塊72透過一焊錫層107連接至金屬栓塞113,此焊錫層107之材質包括一金-錫合金層、一錫-銀合金層、一錫-銀-銅合金層、一銦層、錫-鉍合金層、一無铅合金層或一含铅合金層。另外,金屬栓塞113與透明電極線路114相互電性連接。此液晶顯示面板基板包括複數液晶顯示面板,其中此液晶顯示面板可包括一觸控功能,其中此液晶顯示面板包括內嵌式觸控(in-cell TFT LCD)薄膜電晶體液晶顯示器,另外在第二基板22與液晶顯示面板基板之間具有複數光學層128,包括擴散片層、棱鏡片層、擴散層(或擴散板)及反射器層。金屬凸塊72之中心線與玻璃基板106邊界之間的一最小距離106a係介於30微米至100微米之間、介於50微米至150微米之間、介於100微米至250微米之間、介於5微米至300微米之間。 The fourth application of the present invention: as shown in FIG. 15, the structure of this application is similar to the third application structure shown in FIG. 14. The second substrate 22 is bonded to a liquid crystal display panel by a flip-chip bonding method. The liquid crystal display panel includes a first glass substrate 106, a second glass substrate 108, an amorphous silicon thin film transistor liquid crystal display layer 111, and a thin film transistor circuit layer located on the first glass substrate 106 and the second glass substrate 108. Among them, a plurality of transparent electrode lines 114 are located on the lower surface of the first glass substrate 106 and a plurality of metal plugs 113 are in the first glass substrate 106. The metal plugs 113 are respectively connected to the transparent electrode lines 114. The structure of a glass substrate 106 can be referred to the second substrate 22 of the present invention. The metal bump 72 of the second substrate 22 is connected to the metal plug 113 through a solder layer 107. The material of the solder layer 107 includes a gold-tin alloy layer, a A tin-silver alloy layer, a tin-silver-copper alloy layer, an indium layer, a tin-bismuth alloy layer, a lead-free alloy layer, or a lead-containing alloy layer. In addition, the metal plug 113 and the transparent electrode line 114 are electrically connected to each other. The liquid crystal display panel substrate includes a plurality of liquid crystal display panels. The liquid crystal display panel may include a touch function. The liquid crystal display panel includes an in-cell TFT LCD thin film transistor liquid crystal display. There are a plurality of optical layers 128 between the two substrates 22 and the liquid crystal display panel substrate, including a diffusion sheet layer, a prism sheet layer, a diffusion layer (or a diffusion plate), and a reflector layer. A minimum distance 106a between the center line of the metal bump 72 and the boundary of the glass substrate 106 is between 30 microns and 100 microns, between 50 microns and 150 microns, between 100 microns and 250 microns, Between 5 microns and 300 microns.

本發明的第五種應用:如第16圖所示,此第五種應用與上述第四種應用相似,差異在於以一有機發光二極體層110(高分子發光二極管層,PLED)取代第四種應用結構中的非晶矽薄膜電晶體液晶顯示層111,此第二基板22利用覆晶接合方式接合至在一有機發光二極體顯示面板上,此有機發光二極體顯示面板包括一第一玻璃基板106、一第二玻璃基板108、一有機發光二極體層110及薄膜電晶體線路層位在該第一玻璃基板106及第二玻璃基板108之間,其中更包括複數透明電極線路114位在第一玻璃基板106下方表面及複數金屬栓塞113在第一玻璃基板106之中,其中該些金屬栓塞113分別連接透明電極線路114,其中此第一玻璃基板106之結構可參考本發明第二基板22,第二基板22之金屬凸塊72透過一焊錫層107連接至金屬栓塞113,此焊錫層107之材質包括一金-錫合金層、一錫-銀合金層、一錫-銀-銅合金層、一銦層、錫-鉍合金層、一無铅合金層或一含铅合金層。另外,金屬栓塞113與透明電極線路114相互電性連接。此有機發光二極體顯示面板基板包括複數有機發光二極體顯示面板,其中此有機發光二極體顯示面板可包括一觸控功能,其中此有機發光二極體顯示面板可包括內嵌式觸控(in-cell TFT LCD)薄膜電晶體液晶顯示器。金屬凸塊72之中心線與玻璃基板106邊界之間的一最小距離106a係介於30微米至100微米之間、介於50微米至150微米之間、介於100微米至250微米之間、介於5微米至300微米之間。 Fifth application of the present invention: As shown in FIG. 16, this fifth application is similar to the fourth application described above, except that an organic light emitting diode layer 110 (polymer light emitting diode layer, PLED) is used instead of the fourth An amorphous silicon thin film liquid crystal display layer 111 in an application structure. The second substrate 22 is bonded to an organic light emitting diode display panel by a flip-chip bonding method. The organic light emitting diode display panel includes a first A glass substrate 106, a second glass substrate 108, an organic light emitting diode layer 110, and a thin film transistor circuit layer are located between the first glass substrate 106 and the second glass substrate 108, and further include a plurality of transparent electrode circuits 114 A plurality of metal plugs 113 are located on a surface below the first glass substrate 106 and a plurality of metal plugs 113 are in the first glass substrate 106. The metal plugs 113 are respectively connected to the transparent electrode lines 114. The structure of the first glass substrate 106 can be referred to the first The two substrates 22 and the metal bumps 72 of the second substrate 22 are connected to the metal plug 113 through a solder layer 107. The material of the solder layer 107 includes a gold-tin alloy layer, a tin-silver alloy layer, Sn - Ag - Cu alloy layer, a layer of indium, tin - bismuth alloy layer, an alloy layer or a lead-free lead alloy layer. In addition, the metal plug 113 and the transparent electrode line 114 are electrically connected to each other. The organic light emitting diode display panel substrate includes a plurality of organic light emitting diode display panels. The organic light emitting diode display panel may include a touch function. The organic light emitting diode display panel may include an in-cell touch panel. Control (in-cell TFT LCD) thin film transistor liquid crystal display. A minimum distance 106a between the center line of the metal bump 72 and the boundary of the glass substrate 106 is between 30 microns and 100 microns, between 50 microns and 150 microns, between 100 microns and 250 microns, Between 5 microns and 300 microns.

本發明的第六種應用:如第17a圖所示,第二基板22可為有機發光二極體顯示面 板的一部分,此有機發光二極體顯示面板基板包括第二基板22及一第二玻璃基板108,以及一有機發光二極體層110及薄膜電晶體線路層位在該第二基板22及第二玻璃基板108之間,其中第二基板22內的金屬栓塞21可透過第一金屬層26連接至透明電極線路114,此有機發光二極體顯示面板基板包括複數有機發光二極體顯示面板,其中此有機發光二極體顯示面板可包括一觸控功能,其中此有機發光二極體顯示面板可包括內嵌式觸控(in-cell TFT LCD)薄膜電晶體液晶顯示器。 A sixth application of the present invention: as shown in FIG. 17a, the second substrate 22 may be an organic light emitting diode display surface A part of the board, the organic light emitting diode display panel substrate includes a second substrate 22 and a second glass substrate 108, and an organic light emitting diode layer 110 and a thin film transistor circuit layer are located on the second substrate 22 and the second substrate. Between the glass substrates 108, wherein the metal plug 21 in the second substrate 22 can be connected to the transparent electrode line 114 through the first metal layer 26, the organic light emitting diode display panel substrate includes a plurality of organic light emitting diode display panels, wherein The organic light emitting diode display panel may include a touch function. The organic light emitting diode display panel may include an in-cell TFT LCD thin film transistor liquid crystal display.

如第17b圖所示,第六種應用中的有機發光二極體顯示面板結構可包括複數薄膜電晶體線路層700及複數有機發光元件800在第二基板22及第二玻璃基板108之間,其中薄膜電晶體線路層700包括一緩衝層702形成在第二基板22上,一第一閘極電極層704a及一第一源極電極層704b形成在緩衝層702上,一第一絕緣層706形成在第一閘極電極層704a、第一源極電極層704b及緩衝層702上,一氧化半導體層710形成在第一絕緣層706、第一閘極電極層704a及第一源極電極層704b上,氧化半導體層710經第一絕緣層706的開口連接至第一源極電極層704b,一第二絕緣層712形成在氧化半導體層710及第一絕緣層706上,一第二閘極電極層714a及一第二源極電極層714b形成在第二絕緣層712上,第二源極電極層714b0經第二絕緣層712的開口連接至氧化半導體層710,一保護層716形成在第二閘極電極層714a、第二源極電極層714b及第二絕緣層712上,有機發光元件800形成在保護層716上,且經保護層716的開口連接至第二源極電極層714b。 As shown in FIG. 17b, the organic light emitting diode display panel structure in the sixth application may include a plurality of thin film transistor circuit layers 700 and a plurality of organic light emitting elements 800 between the second substrate 22 and the second glass substrate 108. The thin film transistor circuit layer 700 includes a buffer layer 702 formed on the second substrate 22, a first gate electrode layer 704a and a first source electrode layer 704b are formed on the buffer layer 702, and a first insulating layer 706 Formed on the first gate electrode layer 704a, the first source electrode layer 704b, and the buffer layer 702, and a semiconductor oxide layer 710 is formed on the first insulating layer 706, the first gate electrode layer 704a, and the first source electrode layer On 704b, the oxide semiconductor layer 710 is connected to the first source electrode layer 704b through the opening of the first insulating layer 706. A second insulating layer 712 is formed on the oxide semiconductor layer 710 and the first insulating layer 706, and a second gate An electrode layer 714a and a second source electrode layer 714b are formed on the second insulating layer 712. The second source electrode layer 714b0 is connected to the oxide semiconductor layer 710 through the opening of the second insulating layer 712, and a protective layer 716 is formed on the first Two gate electrode layers 714a, second source On the electrode layer 714b and the second insulating layer 712, the organic light emitting element 800 is formed on the protective layer 716, and is connected to the second source electrode layer 714b through the opening of the protective layer 716.

此氧化半導體層710之材質可包括氧化鋅(ZnO)。ZnO可摻雜有選自以下構成之群組的至少一種離子:鎵(Ga)、銦(In)、錫(Sn)、鋯(Zr)、鉿(Hf)、鎘(Cd)、鎂(Mg)、釩(V),而第一閘極電極層704a、第一源極電極層704b、第二閘極電極層714a及第二源極電極層714b之材質可包括鎢(W)、鈦(Ti)、鉬(Mo)、銀(Ag)、鉭(Ta)、鋁(Al)、銅(Cu)、金(Au)、鉻(Cr)、鈮(Nb)或其合金。 The material of the oxide semiconductor layer 710 may include zinc oxide (ZnO). ZnO may be doped with at least one ion selected from the group consisting of: gallium (Ga), indium (In), tin (Sn), zirconium (Zr), hafnium (Hf), cadmium (Cd), magnesium (Mg ), Vanadium (V), and the material of the first gate electrode layer 704a, the first source electrode layer 704b, the second gate electrode layer 714a, and the second source electrode layer 714b may include tungsten (W), titanium ( Ti), molybdenum (Mo), silver (Ag), tantalum (Ta), aluminum (Al), copper (Cu), gold (Au), chromium (Cr), niobium (Nb), or an alloy thereof.

有機發光元件800包括一陽極層802、一陰極層804及一有機發光層806,其中有機發光層806在陽極層802及陰極層804之間,其中陽極層802形成在保護層716上且連接至第二源極電極層714b,一第三絕緣層808形成在保護層716及陽極層802層,接著在第三絕緣層808形成一開口在陽極層802上,形成有機發光層806在第三絕緣層808的開口中,接著形成陰極層804在第三絕緣層808及有機發光層806上,其中可在緩衝層702、第一絕緣層70、第二絕緣層712及保護層716形成開口且形成一導電層,經由導電層使陽極層802連接至第二基板22內的金屬栓塞21,另外可在緩衝層702、第一絕緣層706、第二絕緣層712、保護層716及第三絕緣層808形成開口且形成導電層,經由導電層使陰極層804連接至第二基板22內的金屬栓塞21,另外,緩衝層702、第一絕緣層706、第二絕緣層712、保護層716及第三絕緣層808之材質可包括聚亞醯胺(polyimide)、聚醯胺(polyamide)、壓克力樹脂(acryl resin)、苯環丁烯(benzocyclobutene)、苯酚樹脂(phenol resin)、氧化矽層(緩衝層702材質)、氮氧化矽層(緩衝層702材質)。 The organic light emitting device 800 includes an anode layer 802, a cathode layer 804, and an organic light emitting layer 806. The organic light emitting layer 806 is between the anode layer 802 and the cathode layer 804. The anode layer 802 is formed on the protective layer 716 and is connected to A second source electrode layer 714b, a third insulating layer 808 is formed on the protective layer 716 and the anode layer 802, and then an opening is formed in the third insulating layer 808 on the anode layer 802, and an organic light emitting layer 806 is formed on the third insulating layer. In the opening of the layer 808, a cathode layer 804 is formed on the third insulating layer 808 and the organic light-emitting layer 806. The buffer layer 702, the first insulating layer 70, the second insulating layer 712, and the protective layer 716 can be formed and formed. A conductive layer is used to connect the anode layer 802 to the metal plug 21 in the second substrate 22 through the conductive layer. In addition, the buffer layer 702, the first insulating layer 706, the second insulating layer 712, the protective layer 716, and the third insulating layer can be used. 808 forms an opening and forms a conductive layer. The cathode layer 804 is connected to the metal plug 21 in the second substrate 22 through the conductive layer. In addition, the buffer layer 702, the first insulating layer 706, the second insulating layer 712, the protective layer 716, and the first Three insulation layer 808 May include polyimide, polyamide, acryl resin, benzocyclobutene, phenol resin, silicon oxide layer (buffer layer 702 material) Silicon oxynitride layer (material of buffer layer 702).

陽極層802之材質可包括氧化銦錫(indium tin oxide,ITO)、氧化銦鋅(indium zinc oxide,IZO)、氧化鋅(zinc oxide,ZnO)、三氧化二銦(indium oxide,In2O3)、氧化銦鎵(indium gallium oxide,IGO)、以及氧化鋁鋅(aluminum zinc oxide,AZO)所組成群組的至少一透明材料,或是鋁(Al)、鉑(Pt)、鈀(Pd)、銀(Ag)、鎂(Mg)、金(Au)、鎳(N)、釹(Nd)、銥(Ir)、鉻(Cr)、鋰(Li)、鈣(Ca)、鉬(Mo)、鈦(Ti)、鎢(W)、以及銅(Cu)所組成群組的至少一金屬,而陰極層804之材質可 包括氧化銦錫(indium tin oxide,ITO)、氧化銦鋅(indium zinc oxide,IZO)、氧化鋅(zinc oxide,ZnO)、三氧化二銦(indium oxide,In2O3)、氧化銦鎵(indium gallium oxide,IGO)、以及氧化鋁鋅(aluminum zinc oxide,AZO)所組成群組的至少一透明材料。 The material of the anode layer 802 may include indium tin oxide (ITO), indium zinc oxide (IZO), zinc oxide (ZnO), indium oxide (In 2 O 3 ), Indium gallium oxide (IGO), and aluminum zinc oxide (AZO), or at least one transparent material, or aluminum (Al), platinum (Pt), palladium (Pd) , Silver (Ag), magnesium (Mg), gold (Au), nickel (N), neodymium (Nd), iridium (Ir), chromium (Cr), lithium (Li), calcium (Ca), molybdenum (Mo) , Titanium (Ti), tungsten (W), and copper (Cu) at least one metal group, and the material of the cathode layer 804 may include indium tin oxide (ITO), indium zinc oxide (indium zinc oxide (IZO), zinc oxide (ZnO), indium oxide (In 2 O 3 ), indium gallium oxide (IGO), and aluminum zinc oxide (AZO) At least one transparent material in the group.

有機發光層806可進一步包括電洞注入層、電洞傳輸層、電子傳輸層、電子注入層。有機發光層806之材質可包含咔唑聯苯(carbazole biphenyl,CBP)或1,3-雙(咔唑-9-基)苯(mCP)之主體(host)材料,以及包含選自由二(1-苯基異喹啉)(乙醯丙酮)合銥(III)(bis(1-phenylisoquinoline)(acetylacetonate)iridium,PIQIr(acac))、二(1-苯基喹啉)(乙醯丙酮)合銥(III)(bis(1-phenylquinoline)(acetylacetonate)iridium,PQIr(acac))、三(1-苯基喹啉)合銥(III)(tris(1-phenylquinoline)iridium,PQIr)、以及八乙基卟吩鉑(octaethylporphyrin platinum,PtOEP)所組成群組的至少一磷光材料的摻雜劑材料、三(二苯甲醯基甲烷)(o-菲羅啉)銪(III))(tris(dibenzoylmethane)(o-phenanthroline)europium(III),PED:Eu(DBM)3(Phen))或苝(perylene)之螢光材料所形成。電洞傳輸層可由N,N'-二(萘-1-基)-N,N'-二苯基聯苯(N,N'-Di(naphthalene-1-yl)-N,N'-diphenylbenzidine,NPB)或聚(2,4-二氧乙基噻吩)(PEDOT)所形成。電洞注入層可由銅鈦菁(copper phthalocyanine,CuPc)或4,4',4"-三(N-(3-甲基苯基)-N-苯基氨基)三苯胺(4,4',4"-tris(N-(3-methylphenyl)-N-phenylamino)triphenylamine,MTDATA)所形成。 The organic light emitting layer 806 may further include a hole injection layer, a hole transport layer, an electron transport layer, and an electron injection layer. The material of the organic light emitting layer 806 may include a host material of carbazole biphenyl (CBP) or 1,3-bis (carbazole-9-yl) benzene (mCP), and a material selected from two (1) -Phenylisoquinoline) (acetylacetonate) and iridium (III) (bis (1-phenylisoquinoline) (acetylacetonate) iridium, PIQIr (acac)), bis (1-phenylquinoline) (acetamidoacetone) Iridium (III) (bis (1-phenylquinoline) (acetylacetonate) iridium, PQIr (acac)), tris (1-phenylquinoline) iridium (III) (tris (1-phenylquinoline) iridium, PQIr), and eight Octaethylporphyrin platinum (PtOEP), a dopant material of at least one phosphorescent material, tris (dibenzoylmethane) (o-phenanthroline) 铕 (III)) (tris ( Dibenzoylmethane) (o-phenanthroline) europium (III), PED: Eu (DBM) 3 (Phen)) or perylene. The hole transport layer can be made from N, N'-bis (naphthalene-1-yl) -N, N'-diphenylbiphenyl (N, N'-Di (naphthalene-1-yl) -N, N'-diphenylbenzidine) , NPB) or poly (2,4-dioxyethylthiophene) (PEDOT). The hole injection layer can be made of copper phthalocyanine (CuPc) or 4,4 ', 4 "-tris (N- (3-methylphenyl) -N-phenylamino) triphenylamine (4,4', 4 "-tris (N- (3-methylphenyl) -N-phenylamino) triphenylamine, MTDATA).

如第18圖及第19圖所示,上述第1種至第6種應用所產生之顯示面板封裝結構為一無外框顯示器面板119,此無外框顯示器119包括一顯示區119a,此顯示區119a具有四顯示邊界119b,其中顯示邊界119b與無外框顯示器面板119之側邊邊界之間的距離係小於15微米、小於20微米、小於30微米、小於50微米或小於100微米,此無外框顯示器面板119可設置在一顯示器裝置125之殼體123內,另外也可設置一些元件在此顯示器裝置125內(或是設置在第二基板22上),這些元件例如是一揚聲器元件、一電池元件、一麥克風元件、一信號接收器元件、一無線信號接收元件或一無線信號發送元件。 As shown in FIG. 18 and FIG. 19, the display panel packaging structure generated by the first to sixth applications described above is a frameless display panel 119. The frameless display 119 includes a display area 119a. The region 119a has four display borders 119b. The distance between the display border 119b and the side border of the frameless display panel 119 is less than 15 microns, less than 20 microns, less than 30 microns, less than 50 microns, or less than 100 microns. The frame display panel 119 may be disposed in the housing 123 of a display device 125, and some elements may also be disposed in the display device 125 (or disposed on the second substrate 22), such as a speaker element, A battery element, a microphone element, a signal receiver element, a wireless signal receiving element or a wireless signal transmitting element.

如第17圖所示,顯示器裝置125包括複數連接端127在殼體123上,其中該些連接端127包括訊號連接端、一電源連接端及一接地連接端,該顯示器裝置125可與另一顯示器裝置125b組裝結合成另一更大的顯示器裝置129,其中組裝時顯示器裝置125的連接端127與另一顯示器裝置125b的連接端127相互連接,本發明之複數顯示器裝置125可組裝結合成一大型的顯示器裝置,例如組裝成為一廣告招牌,或本發明之複數顯示器裝置125可作為在建築物之牆上的瓷磚,或是可作為一魔術方塊中表面的顯示元件。 As shown in FIG. 17, the display device 125 includes a plurality of connection terminals 127 on the housing 123. The connection terminals 127 include a signal connection terminal, a power connection terminal, and a ground connection terminal. The display device 125b is assembled and combined into another larger display device 129, wherein the connection end 127 of the display device 125 and the connection end 127 of the other display device 125b are connected to each other during assembly. The multiple display device 125 of the present invention can be assembled and combined into a large-scale display device. The display device, for example, assembled into an advertisement signboard, or the multiple display device 125 of the present invention can be used as a tile on the wall of a building, or can be used as a display element on the surface of a magic block.

已被討論之組件、步驟、特徵、利益及優點僅只是說明而已。其中均未而關於彼等之討論亦未意欲以任何方式限制保護之範圍。許多其他具體實施例亦意欲涵蓋在內。其包括具有較少、附加及/或不同組件、步驟、特徵、利益及優點之具體實施例。其亦包括其中組件及/或步驟係以不同方式排列及/或順序之具體實施例。 The components, steps, features, benefits, and advantages that have been discussed are merely illustrative. None of them are discussed about them, nor are they intended to limit the scope of protection in any way. Many other specific embodiments are also intended to be included. It includes specific embodiments with fewer, additional, and / or different components, steps, features, benefits, and advantages. It also includes specific embodiments in which components and / or steps are arranged and / or sequenced in different ways.

在閱讀本發明揭示內容時,熟諳此藝者將明瞭的是,本發明揭示內容之具體實施例可在電腦硬體、軟體、固件或其任何組合及在一或多個網路上施行或藉其幫助。適當軟體可包括電腦可讀取或機器可讀取之指令,關於進行設計及/或控制根據本發明揭示內容製造晶片結構之方法與技術(及其部份)。可利用任何適當軟體語言(機器依存性或機器無關)。再者,本發明揭示內容之具體實施例可被包含在各種訊號(signal)中或藉其進行,例如,如於無線RF或IR通信連結上傳輸或自網際網路下載。 When reading this disclosure, those skilled in the art will understand that the specific embodiments of this disclosure can be implemented or borrowed on computer hardware, software, firmware, or any combination thereof, and on one or more networks. help. Appropriate software may include computer-readable or machine-readable instructions on methods and techniques (and portions thereof) for designing and / or controlling the fabrication of wafer structures in accordance with the present disclosure. Any suitable software language can be used (machine-dependent or machine-independent). Furthermore, the specific embodiments of the present disclosure can be included in or performed by various signals, such as transmission over a wireless RF or IR communication link or downloading from the Internet.

除非另有述及,否則經敘述於本專利說明書中之所有度量值、數值、等級、位置、程度、大小及其他規格,包括在下文請求項中,係為近似或額定值,而未必精確;其係意欲具有合理範圍,其係與其有關聯之功能及與此項技藝中所習用與其相關者一致。 Unless otherwise mentioned, all measurements, values, values, grades, positions, degrees, sizes, and other specifications described in this patent specification, including those in the claims below, are approximate or rated values and are not necessarily accurate ; It is intended to have a reasonable scope, it is related to its functions and consistent with those related to this technique.

已被陳述或說明者之中全無意欲或應被解釋為會造成任何組件、步驟、特徵、目的、利益、優點或公開之相當事物之專用,而不管其是否被敘述於請求項中。 Nothing that has been stated or stated is intended or should be construed as exclusive use that would cause any component, step, feature, purpose, benefit, advantage, or equivalent of disclosure, whether or not it is described in a claim.

保護之範圍係僅被請求項所限制。當明白本專利說明書及下文之執行歷程加以解釋後,該範圍係意欲且應該被解釋為如與被使用於請求項中之語文之一般意義一致一樣寬廣,及涵蓋所有結構性與功能性相當事物。 The scope of protection is limited only by the request. After understanding this patent specification and the following implementation history to explain it, the scope is intended and should be interpreted as broad as consistent with the general meaning of the language used in the claim, and encompasses all structural and functional equivalents .

Claims (10)

一種封裝結構,包括:一第一玻璃基板具有一第一表面及一第二表面,該第二表面相對且平行於該第一表面,其中第一玻璃基板設有複數金屬栓塞且貫穿該玻璃基板,該些金屬栓塞之上表面與該第一表面共平面,該些金屬栓塞之下表面與該第二表面共平面;一金屬線路層,設置在該玻璃基板上並連接該些金屬栓塞其中之一,該金屬線路層具有一第一金屬層位在該第二表面下方及具有一最底層絕緣介電層位在該第一金屬層下方;一第一金屬凸塊,設置在該玻璃基板下並連接該金屬線路層,其中該第一金屬凸塊位在該最底層絕緣介電層及該第一金屬層下方,該第一金屬凸塊經由該最底層絕緣介電層之一開口及該第一金屬層連接至該些金屬栓塞其中之一,該第一金屬凸塊具有一第二金屬層及一第三金屬層在該最底層絕緣介電層之該開口內及位在最底層絕緣介電層之表面下;一第一晶片位在該第一表面上方且經由其中之一該些金屬栓塞及該第一金屬層連接至該第一金屬凸塊;以及一有機發光二極體(OLED)顯示面板具有一第二玻璃基板位在該第一玻璃基板及該第一晶片下方,其中該第一金屬凸塊位在該第一玻璃基板與該第二玻璃基板之間且經由一導電層層連接至該有機發光二極體(OLED)顯示面板之一電連接點,其中該導電層層位在該第一玻璃基板與該第二玻璃基板之間且直接連接該電連接點及該第一金屬凸塊。A packaging structure includes: a first glass substrate having a first surface and a second surface, the second surface is opposite and parallel to the first surface, wherein the first glass substrate is provided with a plurality of metal plugs and penetrates the glass substrate , The upper surfaces of the metal plugs are coplanar with the first surface, the lower surfaces of the metal plugs are coplanar with the second surface; a metal circuit layer is disposed on the glass substrate and connected to the metal plugs One, the metal circuit layer has a first metal layer under the second surface and a bottom insulating dielectric layer under the first metal layer; a first metal bump is disposed under the glass substrate And connected to the metal circuit layer, wherein the first metal bump is located under the lowermost insulating dielectric layer and the first metal layer, the first metal bump passes through an opening of the lowermost insulating dielectric layer and the The first metal layer is connected to one of the metal plugs, the first metal bump has a second metal layer and a third metal layer in the opening of the bottom insulating dielectric layer and located in the bottom layer of insulation Under the surface of the dielectric layer; a first chip is located above the first surface and connected to the first metal bump through one of the metal plugs and the first metal layer; and an organic light emitting diode ( (OLED) display panel has a second glass substrate located under the first glass substrate and the first wafer, wherein the first metal bump is located between the first glass substrate and the second glass substrate and is electrically conductive The layers are connected to an electrical connection point of the organic light emitting diode (OLED) display panel, wherein the conductive layer is located between the first glass substrate and the second glass substrate and directly connects the electrical connection point and the The first metal bump. 如申請範圍第1項所述之封裝結構,其中該金屬栓塞包括一銅層。The packaging structure as described in item 1 of the application scope, wherein the metal plug includes a copper layer. 如申請範圍第1項所述之封裝結構,其中該金屬凸塊包括一金層。The packaging structure as described in item 1 of the application scope, wherein the metal bump comprises a gold layer. 如申請範圍第1項所述之封裝結構,該第一金屬凸塊之中心線與第二玻璃基板的一邊界之間的一最小距離係介於5微米至300微米之間。According to the packaging structure described in item 1 of the application scope, a minimum distance between the center line of the first metal bump and a boundary of the second glass substrate is between 5 μm and 300 μm. 如申請範圍第1項所述之封裝結構,其中該金屬線路層包括一銅層。The packaging structure as described in item 1 of the application scope, wherein the metal circuit layer includes a copper layer. 如申請範圍第1項所述之封裝結構,其中該第一晶片包括一中央處理單元(CPU)晶片。The packaging structure as described in item 1 of the application scope, wherein the first chip includes a central processing unit (CPU) chip. 如申請範圍第1項所述之封裝結構,其中第一晶片包括一邏輯晶片。The packaging structure as described in item 1 of the application scope, wherein the first chip includes a logic chip. 如申請範圍第1項所述之封裝結構,更包括一第二晶片及複數被動元件位在該第一表面上,其中該第二晶片的一第一連接點電性連接至其中之一該些金屬栓塞,該被動元件的一第二連接點電性連接至其中之一該些金屬栓塞。The packaging structure as described in item 1 of the scope of application further includes a second chip and a plurality of passive components on the first surface, wherein a first connection point of the second chip is electrically connected to one of the ones A metal plug, a second connection point of the passive element is electrically connected to one of the metal plugs. 如申請範圍第1項所述之封裝結構,該金屬栓塞之上表面及下表面之寬度相同。As in the packaging structure described in item 1 of the application scope, the widths of the upper and lower surfaces of the metal plug are the same. 如申請範圍第1項所述之封裝結構,該金屬栓塞之上表面及下表面之面積相同。As in the packaging structure described in item 1 of the scope of application, the upper and lower surfaces of the metal plug have the same area.
TW105129681A 2015-09-16 2016-09-13 Method for fabricating glass substrate package TWI675440B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562219249P 2015-09-16 2015-09-16
US62/219,249 2015-09-16
US15/261,956 2016-09-11
US15/261,956 US10622310B2 (en) 2012-09-26 2016-09-11 Method for fabricating glass substrate package

Publications (2)

Publication Number Publication Date
TW201712812A TW201712812A (en) 2017-04-01
TWI675440B true TWI675440B (en) 2019-10-21

Family

ID=59256890

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129681A TWI675440B (en) 2015-09-16 2016-09-13 Method for fabricating glass substrate package

Country Status (2)

Country Link
CN (1) CN107046018B (en)
TW (1) TWI675440B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI653728B (en) * 2017-05-26 2019-03-11 南茂科技股份有限公司 Packaging structure of fingerprint identification wafer and manufacturing method thereof
US11864315B2 (en) * 2019-11-29 2024-01-02 Mediatek Inc. Vertical interconnection structure of a multi-layer substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045841A1 (en) * 2005-08-24 2007-03-01 Cho Won G Semiconductor chip, display panel using the same, and methods of manufacturing semiconductor chip and display panel using the same
US20120068271A1 (en) * 2004-11-26 2012-03-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
WO2013138452A1 (en) * 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4273551B2 (en) * 1999-01-14 2009-06-03 ソニー株式会社 Video apparatus and manufacturing method thereof
TW537498U (en) * 2002-02-08 2003-06-11 Ritdisplay Corp Package structure of organic light emitting diode panel
KR101329931B1 (en) * 2006-04-25 2013-11-28 니혼도꾸슈도교 가부시키가이샤 Wiring Board
JP4829998B2 (en) * 2009-05-01 2011-12-07 新光電気工業株式会社 Capacitor-mounted wiring board
US8207453B2 (en) * 2009-12-17 2012-06-26 Intel Corporation Glass core substrate for integrated circuit devices and methods of making the same
KR20110112128A (en) * 2010-04-06 2011-10-12 삼성전자주식회사 Method and apparatus for parasitic capacitance compensation in touch panel
CN103154188B (en) * 2010-10-06 2015-05-20 夏普株式会社 Luminescent material

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120068271A1 (en) * 2004-11-26 2012-03-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20070045841A1 (en) * 2005-08-24 2007-03-01 Cho Won G Semiconductor chip, display panel using the same, and methods of manufacturing semiconductor chip and display panel using the same
WO2013138452A1 (en) * 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes

Also Published As

Publication number Publication date
CN107046018B (en) 2020-06-02
TW201712812A (en) 2017-04-01
CN107046018A (en) 2017-08-15

Similar Documents

Publication Publication Date Title
US11894306B2 (en) Chip package
US20240071884A1 (en) Alternative surfaces for conductive pad layers of silicon bridges for semiconductor packages
US10453819B2 (en) Method for fabricating glass substrate package
CN102332435B (en) Electronic component and manufacturing method of same
US8866258B2 (en) Interposer structure with passive component and method for fabricating same
CN102148203B (en) Semiconductor chip and method for forming conductive pillar
US8476759B2 (en) Electrical connection structure
CN102915983A (en) Package substrate embedded with interposer and method for fabricating the same
CN102222647A (en) Semiconductor die and method of manufacturing semiconductor feature
CN104637901A (en) Semiconductor devices having through-electrodes and methods for fabricating the same
CN105448755B (en) A kind of encapsulating method and structure of copper pillar bump
TWI675440B (en) Method for fabricating glass substrate package
CN102280440A (en) Laminated packaging structure and manufacturing method thereof
US9673125B2 (en) Interconnection structure
TWI409933B (en) Chip stacked package structure and its fabrication method
CN205488041U (en) Packaging structure of copper post bump
US20240178186A1 (en) Packaging structure and packaging method
TWI473242B (en) Chip package structure
US20240178203A1 (en) Packaging structure and packaging method
CN102339810B (en) Silicon based substrate and fabrication method thereof
KR101758617B1 (en) Method for fabricating a semiconductor devices
WO2019044857A1 (en) Wiring substrate, component mounting wiring substrate, semiconductor device, and method for manufacturing wiring substrate
KR20140088756A (en) High integrated multi layer interposer and method for manufacturing the same