TWI669591B - 最佳功率效率的適應性電壓頻率調整 - Google Patents

最佳功率效率的適應性電壓頻率調整 Download PDF

Info

Publication number
TWI669591B
TWI669591B TW106137217A TW106137217A TWI669591B TW I669591 B TWI669591 B TW I669591B TW 106137217 A TW106137217 A TW 106137217A TW 106137217 A TW106137217 A TW 106137217A TW I669591 B TWI669591 B TW I669591B
Authority
TW
Taiwan
Prior art keywords
frequency
voltage
request
clock signal
new
Prior art date
Application number
TW106137217A
Other languages
English (en)
Other versions
TW201826068A (zh
Inventor
泰扎絲維 拉賈
班 福克納
蒂維雅 拉瑪克里斯南
斯利尼瓦桑 拉古拉母
陶 劉
維拉馬尼 夫
阿雍 戴伊
賈維德 阿齊茲
Original Assignee
美商輝達公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商輝達公司 filed Critical 美商輝達公司
Publication of TW201826068A publication Critical patent/TW201826068A/zh
Application granted granted Critical
Publication of TWI669591B publication Critical patent/TWI669591B/zh

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L1/00Stabilisation of generator output against variations of physical values, e.g. power supply
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L5/00Automatic control of voltage, current, or power
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L2207/00Indexing scheme relating to automatic control of frequency or phase and to synchronisation
    • H03L2207/05Compensating for non-linear characteristics of the controlled oscillator

Abstract

本發明的態樣係針對用於改善供電模式效率的技術,即時基於所測量到的條件連續且適應性地調整積體電路中的電壓和頻率位準,而無需依靠慣用模式常見的過度預先運算餘裕。本發明的具體實施例採用針對該積體電路中的組件設定該頻率的自調諧動態電壓控制振盪器(或其他類似的時脈信號產生器)。所請求的頻率超過針對給定電壓位準(考量其他年齡和溫度相關條件)的最大容許頻率時,動態地參照查找表以判定足以安全和有效地產生該所請求頻率的新電壓位準。該查找表連續地接收有關該等操作條件的更新,而且新電壓請求可基於該系統的現有需求依所需動態地產生。

Description

最佳功率效率的適應性電壓頻率調整
本發明的具體實施例一般指稱用於改善積體電路的功率最佳化的技術。更具體而言,本發明的具體實施例係針對用於在製程變動過程中連續地調適操作參數的解決方案。
在電腦架構中,動態電壓頻率調整(Dynamic Voltage and Frequency Scaling,DVFS)是一種功率最佳化技術,其中可依即時性能及/或功率要求而定,使處理器或其他積體電路組件在不同的頻率和電壓設定下運作。慣用DVFS解決方案的特徵在於能夠動態地調整處理器或微處理器的頻率和電壓,以節省或最佳化功率或減少該晶片所產生的熱量之能力。在傳統DVFS模式中,製造商經常會對操作過程中的一項或多項設定施加安全限制。舉例來說,對任何給定電壓位準而言,可能施加對應於安全操作範圍的最大頻率以限制組件故障的可能性,及/或防止由於例如過熱而引起的過早故障風險。
通常,這些限制會用內建餘裕進行計算,其考量可能由多種不同來源所引起的製程變動,例如積體電路製程變動、隨機雜訊(例如電壓波動)、溫度變動、年齡相關劣化及/或電壓調節器容差等。儘管相當有效地防止因過度電壓或操作頻率所引起的過熱,但由於該等餘裕經常超出預算以考量最糟情境,因此這些餘裕也降低該晶片的整體功率效率。舉例來說,軟體可能針對微處理器請求特定時脈頻率,以及分配比在該頻率操作該微處理器最佳所需更大的電壓以考量該餘裕。
近來,已引入試圖解決這個問題的新解決方案。一種如此提議的解決方案使用雜訊感知相位鎖定迴路(Noise-aware phase locked loop,NAPLL),其考量積體電路的雜訊和電壓調節器餘裕,而未考量潛在導致無 效率的任何其他因素。如此,仍必須內建餘裕以考量降低該積體電路的功率效率的那些因素,或者讓使用者暴露於本質上過熱的風險。另一種所提議的解決方案係使用將數位環振盪器用作該時脈產生器的封閉迴路DVFS模式。然而,數位環振盪器自身會受到製程變動影響,並需要附加餘裕。由於相同電壓軌的一部分的時脈域數量本質上有限,因此這些模式之每一者也受到缺乏可擴充性影響。
提供本發明內容以簡化形式介紹以下實施方式中進一步所說明的概念選擇。本發明內容並非旨在識別本發明的關鍵特徵或基本特徵,也並非旨在用於限制本發明的範疇。
本發明的具體實施例係針對用於改善積體電路功耗模式效率的技術,即時基於所測量到的條件連續且適應性地調整積體電路中的電壓和頻率位準,而無需依靠慣用模式常見的過度預先運算餘裕。本發明的具體實施例採用基於電壓輸入針對該積體電路中的組件設定該頻率的自調諧動態電壓控制振盪器(或其他類似的時脈信號產生器)。在用於設定電壓位準的其他輸入之中,使用即時老化和溫度感測器。
所請求的頻率超過針對給定電壓位準(考量其他年齡和溫度相關條件)的最大容許頻率時,動態地參照查找表以判定該現有電壓能夠安全地支援的新頻率。依據一個或多個具體實施例,該查找表連續地接收有關該等操作條件的更新(包括由於雜訊和製程變動所引起的後續電壓波動),而且新電壓請求可基於該系統的現有需求依所需動態地產生。該查找表會接收即時更新的溫度和老化輸入。
依據本發明的一個具體實施例,提供一種系統,包括一時脈產生器或一雜訊認知頻率鎖定迴路(Noise-aware frequency locked loop,NAFLL),其透過自調諧一環振盪器而產生一時脈。此時脈產生器透過在雜訊的情況下減少該頻率而提供雜訊抗擾性。也包括一硬體查找表,其基於來自即時電壓、溫度和老化感測器的反饋判定該積體電路(或其他組件)的最大頻率。由於操作過程中的頻率係基於即時感測器測量,因此排除對預先內建餘裕的需求。依據一個或多個具體實施例,也包括一電壓請求器,其 運算介於該現有電壓所容許的最大頻率與所請求的頻率(例如來自軟體應用程式)之間的誤差,並將該差異轉換成新的電壓請求。重複地用該頻率循環(looping)該電壓,直到該頻率誤差接近零,確保該積體電路中的電壓係達成該所請求頻率所需的最小電壓,由此排除管理和最佳化功率的無效率。
依據另一具體實施例,提供一種針對積體電路中的最佳功率效率適應性地調整電壓和頻率的方法。在一個或多個具體實施例中,軟體應用程式基於性能要求請求操作的頻率。若該軟體所請求的頻率與該最大容許頻率(如從查找表所參照)不同,則該電壓係由電壓調節器控制,直到該積體電路中的頻率與該軟體應用程式所請求的頻率相同。該積體電路中的電壓正在改變時,該查找表不斷地更新來自該時脈產生器的頻率,以確定該系統始終在針對該電壓的最大容許頻率操作。
依據本發明的又另一具體實施例,提供一種產生該頻率請求的方法。在一個或多個具體實施例中,在從軟體應用程式接收頻率請求之後,將該所請求的頻率與對應於該系統中的現有電壓的最大容許頻率相比(例如在比較器中)。若判定出差異,則使用預定函數將該頻率差異轉換成電壓差異。其後,產生新電壓請求,以將該電壓位準升高(或降低)至足以在該所請求的頻率為該系統供電的適合位準。連續地進行此頻率驅動電壓調整,直到該頻率誤差為零或接近零。
透過提供讀取電壓作為輸入的自調諧時脈產生器,可適應性和即時地調節電壓波動(由於製程變動和軟體請求)。因此,可避免無效率餘裕,以提高積體電路的功率效率。
100‧‧‧示例性積體電路的圖示
101‧‧‧電壓請求器
101a‧‧‧新電壓請求
103‧‧‧多工器
105‧‧‧脈衝寬度調變器
107‧‧‧電壓調節器
109‧‧‧感測器;類比數位轉換器(ADC)
111‧‧‧查找表(LUT)
113‧‧‧特定硬體組件查找表;硬體查找表;查找表
115‧‧‧時脈信號產生器
117‧‧‧第二比較器/比例積分微分(PID)控制器;第二比較器和比例積分微分(PID)控制器
119‧‧‧動態電壓控制振盪器(DVCO)
121‧‧‧脈衝略過器
A、B、C、D‧‧‧輸入
200‧‧‧流程圖;製程
201-211‧‧‧步驟
300‧‧‧流程圖;製程
301-305‧‧‧步驟
400‧‧‧運算系統;電腦系統
401‧‧‧處理單元;處理器;中央處理器
402‧‧‧隨機存取記憶體(RAM);記憶體
403‧‧‧唯讀記憶體(ROM)
404‧‧‧資料儲存裝置
405‧‧‧圖形次級系統
406‧‧‧文數字輸入裝置
407‧‧‧游標控制或指向裝置
408‧‧‧信號通訊介面(輸入/輸出裝置)
409‧‧‧位址/資料匯流排;匯流排
410‧‧‧顯示裝置
411‧‧‧視訊電纜
413‧‧‧快取
414‧‧‧所請求的頻率
415‧‧‧軟體應用程式
將所附圖式併入並形成本說明書的一部分。所附圖式例示具體實施例。連同說明,所附圖式用於解說該等具體實施例的原理:第一圖依據本發明的各種具體實施例描繪出示例性積體電路的示意圖。
第二圖依據本發明的各種具體實施例描繪出用於在積體電路中進行適應性動態電壓頻率調整的方法的流程圖。
第三圖依據本發明的各種具體實施例描繪出用於調整針對 適應性電壓和頻率調整的頻率請求的方法的流程圖。
第四圖依據本發明的各種具體實施例描繪出於其上可能實行本發明的具體實施例的示例性運算系統。
現在將詳細參照本發明的該等較佳具體實施例、一種用於使用具有改良式熱管理功能的行動運算元件的屏蔽罐(shield can)的方法和系統,其範例在所附圖式中例示。儘管將搭配該等較佳具體實施例說明本發明,但應可理解,其並非旨在限制在這些具體實施例。反之,本發明旨在涵蓋可能包括在如所附諸申請專利範圍所界定出的精神與範疇內的替代例、修飾例和相等物。
再者,在下列本發明的具體實施例的實施方式中,闡述眾多具體細節以提供對本發明的周密理解。然而,此領域一般技術者將認可,本發明可能實作而無需這些具體細節。在其他實例中,已習知的方法、程序、組件和電路並未詳細說明,以免不必要地模糊本發明的態樣。
接下來的實施方式的一些部分在程序、步驟、邏輯區塊、處理,以及可在電腦記憶體上進行的資料位元上的操作的其他符號表示方面進行描述。這些描述和表示係熟習資料處理領域技術者用於最有效地將其工作的實質傳達給此領域其他技術者的方法。程序、電腦所產生的步驟、邏輯區塊、製程等在此且一般來說,係設想為導致所需結果的步驟或指令的自相一致序列。該等步驟係需求物理量的實體操控的步驟。通常,但並非必然,這些量具有能在電腦系統中儲存、傳輸、組合、比較,以及用其他方式操控的電或磁信號形式。經驗證,將這些信號指稱為位元、數值、要素、符號、字元、用語、數字或其類似物有時很方便,主要是為了通用的原因。
然而應記住,所有這些和類似的用語皆與該等適當的物理量相關聯,而且僅僅係適用於這些量的方便標記。除非如從下列討論顯而易見另外具體陳述,否則應可瞭解在整個本發明中,利用例如「儲存」、「創建」、「保護」、「接收」、「加密」、「解密」、「破壞」或其類似物的用語的討論,指稱電腦系統或積體電路或類似的電子運算元件的動作和程序,其中, 類似的電子運算元件包括一嵌入式系統,其將表示為該電腦系統的暫存器和記憶體內的物理(電子)量的資料,操控和變換成同樣地表示為該等電腦系統記憶體或暫存器或其他這樣的資訊儲存、傳輸或顯示裝置內的物理量的其他資料。
本發明的具體實施例係針對用於改善積體電路的動態和適應性電壓和頻率調整中的功率最佳化的新穎解決方案。
適應性電壓頻率調整
第一圖依據本發明的各種具體實施例描繪出具有適應性動態電壓和頻率調整的示例性積體電路的圖示100。如第一圖所描繪出,該積體電路包括一電壓請求器101、一脈衝寬度調變器105、一電壓調節器107、一台或多台感測器109、一查找表111和一時脈信號產生器115。在一個或多個具體實施例中,該積體電路可能實行為例如運算元件的視訊卡或主機板中的一個或多個微處理器或處理核心。於其中可能實行本發明的積體電路的其他範例包括特定應用積體電路(Application-specific integrated circuits,ASICs)、記憶體晶片、電池供電元件,以及一般於其中所需可能係適應性地進行電壓和頻率的動態調整的任何元件或積體電路。
在一個或多個具體實施例中,電壓請求器101可能實行為比較器和比例積分微分(Proportional-integral-derivative,PID)控制器,其伴隨輸入(A)採取來自時脈信號產生器115在給定頻率的時脈信號作為輸入。在一個或多個具體實施例中,輸入A包含有頻率請求,例如由該積體電路至少部分地執行的軟體應用程式所產生的頻率請求。該比較器將來自時脈信號產生器115的頻率(該現有操作頻率)與輸入A的所請求的頻率相比,以判定該等頻率是否不同。存在差異時,該PID控制器會計算差異的程度。電壓請求器101隨後產生對應於該所計算出的頻率差異的新電壓請求101a。
在一個或多個具體實施例中,該現有操作電壓係考量製程中的變動,針對安全操作限制內的現有操作頻率足以為該時脈信號產生器供電的最小電壓。因此,所請求的頻率〔例如透過元件的基本輸入輸出系統(Basic Input/Output System,BIOS)等軟體應用程式〕小於該現有操作頻率時,會產生低於該現有操作電壓的新電壓請求101a,而且再次考量製程變 動因素並在安全操作限制內,將係達成該所需頻率所需的最小電壓。同樣地,所請求的頻率高於該現有操作頻率時,會產生對應地高於該現有操作電壓的新電壓請求101a。
電壓請求器101所輸出的電壓請求,在控制電壓調節器107所接收的該等電壓請求的脈衝寬度調變器105中接收為輸入。在一個或多個具體實施例中,脈衝寬度調變器105也包含有定位於脈衝寬度調變器105與電壓請求器101之間的多工器103。多工器103接收來自電壓請求器101的電壓請求,而且如有必要,會接收第二電壓請求作為輸入(例如輸入B)。在一個或多個具體實施例中,該第二電壓請求可能透過軟體應用程式提供。脈衝寬度調變器105測量該等兩個所請求的電壓,並將具有最高電壓的請求轉送到電壓調節器107。
在一個或多個具體實施例中,電壓調節器107可能用電源管理積體電路(Power management integrated circuit,PMIC)實行,其集體地控制該積體電路中的電力流動。電壓調節器107接收來自電壓請求器101的電壓請求(透過脈衝寬度調變器105),並調整電力流動以提供該所請求的電壓。在一個或多個具體實施例中,來自該電壓調節器的供應電壓係直接地提供給時脈信號產生器115。依據又進一步具體實施例,電力流動係在動態電壓控制振盪器(Dynamic voltage control oscillator,DVCO)119中接收,其自動地產生具有對應於該輸入電壓的頻率的時脈信號。
電力流動也從類比數位轉換器(Analog to digital converter,ADC)109中的電壓調節器107接收,類比數位轉換器(ADC)109過濾低頻率電壓雜訊、測量所提供的電壓位準,並將該測量資料輸出到查找表111中。在一個或多個具體實施例中,該ADC配置成不斷地測量該現有操作電壓,以偵測由於雜訊所引起的小電壓變化,並將該所測量到的電壓輸出到查找表111。如第一圖所描繪出,查找表(Look-up table,LUT)111包括一特定硬體組件查找表113。除了ADC 109所提供的電壓測量資料之外,LUT 111也可能接收一台或多台感測器所測量到的製程變動和現有操作條件資料作為輸入。該LUT具優勢地基於來自電壓、溫度和老化感測器的即時反饋,判定該晶片的最大頻率。由於該頻率係基於感測器的即時測量,因此排除 對內建餘裕的需求。
舉例來說,溫度感測器可能提供即時溫度資料作為輸入C,而且專用老化感測器可能提供即時年齡及/或年齡相關劣化資料作為輸入D。在一個或多個具體實施例中,硬體查找表113包括一條或多條電壓頻率(Voltage-frequency,VF)曲線,其考量特定製程變動和操作條件(例如溫度、年齡)。來自一台或多台該等感測器的資料(例如電壓、溫度和年齡)係用作硬體查找表113中的輸入,以參照在該電壓下所容許的最大頻率,對應的頻率請求隨後係判定並轉送到時脈信號產生器115。
在一個或多個具體實施例中,時脈信號產生器115可能實行為包括一第二比較器/PID控制器117和一DVCO 119的雜訊感知頻率鎖定迴路(NAFLL)。第二比較器和PID控制器117接收來自LUT 111的頻率請求,其對應於調整為考量溫度、年齡和其他製程變動的電壓調節器107所供應的電壓,並將所調整的頻率請求輸出到變成該後續操作頻率的DVCO 119。在一個或多個具體實施例中,DVCO 119可能實行為自調諧環振盪器。如此,該電壓降低時,該DVCO立即減速。同樣地,該電壓升高時,該DVCO在更高的頻率產生信號。
由於該DVCO直接地連接到該供應電壓,因此對雜訊事件的抗擾性係透過減少該頻率以回應那些事件而提供。從時脈信號產生器115輸出的後生頻率(ensuing frequency)係用於操作該積體電路,直到請求(例如透過軟體)判定為與該操作頻率不同的後續頻率,或直到製程變動和操作條件改變達到該電壓位準妥協或更改的程度之頻率。
依據一個或多個具體實施例,該積體電路中的電壓改變時,LUT 111不斷地更新來自時脈信號產生器115的頻率,以確保該晶片或組件始終在針對該電壓的最大容許頻率操作。在電壓有限(例如透過Vmin或Vmax)或透過與該積體電路共用電壓軌的其他單元的情況下,可能接合一台或多台脈衝略過器121以調整該有效頻率以近似軟體應用程式所請求的頻率,因此該積體電路從不在高於所需的頻率操作。
第二圖依據本發明的各種具體實施例描繪出用於在積體電路(Integrated circuit,IC)中進行適應性動態電壓頻率調整的示例性製程的流 程圖200。步驟201至209說明依據文中所說明的各種具體實施例的流程圖200的示例性步驟。
在步驟201,產生時脈信號。在一個或多個具體實施例中,該時脈信號可能在時脈信號產生器或雜訊感知頻率鎖定迴路中產生,例如以上關於第一圖所說明的時脈信號產生器115。在一個或多個具體實施例中,該時脈信號的頻率係自調諧,並基於電壓調節器(例如第一圖的電壓調節器107)所提供的輸入電壓自動地調整。
在步驟203,接收新頻率請求。在一個或多個具體實施例中,該新頻率請求係在實行為例如比較器和比例積分微分(PID)控制器的電壓請求器中接收,其採取該所接收的頻率請求和在步驟201所產生信號的現有操作頻率作為輸入。若該新頻率請求與該現有操作頻率不同,則在步驟205產生新電壓請求。在一個或多個具體實施例中,該新電壓請求係針對足以為該微晶片或積體電路元件供電的特定電壓所產生。
在步驟207,將該微晶片或積體電路元件中的電壓調整為步驟205中所請求的電壓。在一個或多個具體實施例中,該調整係在電壓調節器中進行,例如以上關於第一圖所說明的電壓調節器。在又進一步具體實施例中,該電壓調節器也可能包括一電源管理積體電路。取樣該所調整的電壓(例如在類比數位轉換器中),而且所調整的頻率請求係基於該新電壓產生並在步驟209具體地調整,以考量該晶片或積體電路中的即時所測量到的操作條件(例如溫度和年齡)。調整也可針對該晶片的製程變動進行。最後,該晶片或積體電路中的頻率其後在步驟211調整(例如在數位環振盪器中)為該所調整的頻率請求。
第三圖依據本發明的各種具體實施例描繪出用於產生新頻率請求的示例性製程的流程圖300。步驟301至305說明依據文中所說明的各種具體實施例的流程圖300的示例性步驟。
在步驟301,測量晶片或其他積體電路元件中的主要操作條件。在一個或多個具體實施例中,該等操作條件可能包括例如該晶片或IC元件中的一電壓、一溫度及/或一年齡,而且可能透過一台或多台硬體或軟體感測器進行測量。在一個或多個具體實施例中,該等操作條件可能包 括一類比數位轉換器,其測量來自電壓調節器的所供應的電壓。
在步驟303,參照查找表以判定對應於該等主要操作條件的最大容許頻率。在一個或多個具體實施例中,該查找表可能實行為硬體查找表,例如以上關於第一圖所說明的查找表113。判定該最大容許頻率可能包括例如參照一條或多條電壓頻率曲線,其進行調整以考量該晶片的等效操作條件(例如溫度、年齡)和製程變動。
最後,所調整的頻率請求係基於步驟303中所判定的最大容許頻率產生。在一個或多個具體實施例中,該所調整的頻率請求係供應給時脈信號產生器(例如雜訊感知頻率鎖定迴路),其用等同於該所調整的頻率請求的頻率產生時脈信號。
示例性運算元件
如第四圖所呈現,於其上可能實行本發明的具體實施例的示例性系統包括一通用運算系統,其具有一個或多個積體電路,例如運算系統400。在其最基本的配置中,運算系統400通常包括至少一個處理單元401和記憶體;以及一位址/資料匯流排409(或其他介面),其用於通訊資訊。依運算系統環境的確切配置和類型而定,記憶體可能係揮發性〔例如隨機存取記憶體(Random Access Memory,RAM)402〕、〔非揮發性例如唯讀記憶體(Read-only Memory,ROM)403、快閃記憶體等)〕或該等兩者的某種組合。在一個或多個具體實施例中,處理單元401的頻率可能例如動態和適應性地進行調整,以對應於如以上關於第一圖至第三圖所說明的電源(未描繪出)的雜訊和其他製程變動。在一個或多個具體實施例中,處理單元401的頻率也可能使用處理單元401的快取413中所儲存的查找表動態和適應性地進行調整,並回應來自在該記憶體(例如RAM 402)中執行的軟體應用程式415的所請求的頻率414。
電腦系統400也可能包括一視需要的圖形次級系統405,其用於向該電腦使用者呈現資訊,例如透過在視訊電纜411所連接的附接的顯示裝置410上顯示資訊。依據所主張發明的具體實施例,圖形次級系統405可能經由視訊電纜411直接地耦合到顯示裝置410。在電腦系統400中執行的影像觀看軟體應用程式的圖形使用者介面可能在例如圖形次級系統 405中產生,並在顯示裝置410中向該使用者顯示。在替代具體實施例中,顯示裝置410可能整合到運算系統(例如膝上型電腦或輕省筆電顯示面板)中,而且無需視訊電纜411。在一個具體實施例中,製程200和300可能搭配處理器401和記憶體402透過圖形次級系統405而全部或部分地進行,其中任何所得到的輸出皆在附接的顯示裝置410中顯示。
此外,運算系統400也可能具有附加特徵/功能。舉例來說,運算系統400也可能包括附加儲存體(可拆卸及/或不可拆卸),包括但不限於磁碟或光碟或磁帶。這樣的附加儲存體係由資料儲存裝置407在第四圖中例示。電腦儲存媒體包括揮發性和非揮發性、可拆卸和不可拆卸媒體,其以用於儲存資訊(例如電腦可讀取指令、資料結構、程式模組或其他資料)的任何方法或技術實行。RAM 402、ROM 403和資料儲存裝置407全部皆係電腦儲存媒體的範例。
電腦系統400也包括一視需要的文數字輸入裝置406、一視需要的游標控制或指向裝置407,以及一個或多個信號通訊介面(輸入/輸出裝置,例如網路介面卡)408。視需要的文數字輸入裝置406可向中央處理器401通訊資訊和命令選擇。視需要的游標控制或指向裝置407係耦合到用於向中央處理器401通訊使用者輸入資訊和命令選擇的匯流排409。也耦合到匯流排409的信號通訊介面(輸入/輸出裝置)408可為串列埠。通訊介面409也可能包括無線通訊機制。使用通訊介面409,電腦系統400可透過如網際網路或內部網路(例如區域網路)之通訊網路而通訊地耦合到其他電腦系統,或可接收資料(例如數位電視信號)。
儘管標的已用結構特徵及/或方法動作特有的語言進行說明,但應可理解,所附諸申請專利範圍中所界定出之標的不必然限於以上所說明的該等具體特徵或動作。而是,以上所說明的該等具體特徵和動作係揭示為實行諸申請專利範圍的範例形式。
在前述說明書中,具體實施例已參照可能隨著實作而異的眾多具體細節進行說明。因此,指示本發明內容的唯一和專有指標,以及申請人所意圖作為本發明者,係以於其中這樣的諸申請專利範圍發出的具體形式(包括任何後續校正)從本申請案發出的諸申請專利範圍的集合。因此, 未在申請專利範圍中明確地陳述的任何限制、要素、性質、特徵、優勢或屬性,皆不應以任何方式限制此申請專利範圍的範疇。據此,本說明書和所附圖式應以例示性而非限制性意義看待。

Claims (20)

  1. 一種適應性電壓和頻率調整(Adaptive voltage and frequency scaling,AVFS)系統,包括:一時脈信號產生器,其配置成在一積體電路(IC)中產生一頻率;一電壓調節器,其配置成控制該IC中的一現有電壓位準;一查找表(LUT),其配置成判定在該IC中的現有電壓位準下可用的一最大頻率;以及一電壓請求器,其配置成比較一軟體請求頻率和該最大頻率,以判定該軟體請求頻率與該最大頻率之間的一差異,並基於該差異產生一新電壓請求,其中該電壓調節器更配置成調整該現有電壓位準,以實質上近似該新電壓請求以回應該新電壓請求,又其中,該時脈信號產生器配置成調整該IC中的頻率,以實質上近似該軟體請求頻率以回應該現有電壓位準中的一調整。
  2. 如申請專利範圍第1項之系統,其中該LUT配置成基於複數現有操作條件判定該最大頻率,該等複數現有操作條件係使用由一群感測器構成的複數感測器所測量到,該群感測器包括:一類比數位電壓轉換器;一溫度感測器;以及一老化感測器。
  3. 如申請專利範圍第2項之系統,其中複數感測器配置成即時測量和更新該等複數現有操作條件。
  4. 如申請專利範圍第3項之系統,又其中該LUT配置成基於由該等複數感測器提供的所更新的現有操作條件更新該最大頻率。
  5. 如申請專利範圍第2項之系統,其中該LUT包括複數電壓頻率(VF)曲線,每條VF曲線皆對應於該IC中的一溫度和該IC的一年齡中至少一者。
  6. 如申請專利範圍第5項之系統,其中每條VF曲線皆包括一VF操作餘裕,其具體地針對該IC中的溫度和該IC的年齡中至少一者進行計算。
  7. 如申請專利範圍第1項之系統,其中該時脈信號產生器包括以下中至少一者:一時脈產生器;以及一雜訊感知頻率鎖定迴路。
  8. 如申請專利範圍第1項之系統,其中該時脈信號產生器配置成透過自調諧一動態電壓控制振盪器而產生一時脈信號。
  9. 如申請專利範圍第1項之系統,其中該電壓請求器所產生的新電壓請求包括一最小電壓,其係達成從該應用所請求的實際頻率所需。
  10. 如申請專利範圍第1項之系統,更包括複數脈衝略過器,其配置成調變該時脈信號的一頻率,其中該時脈信號產生器所產生的頻率係透過控制該等複數脈衝略過器的一操作而調整。
  11. 如申請專利範圍第8項之系統,其中該時脈信號產生器所產生的時脈信號係防止超過從該LUT參照的最大頻率。
  12. 一種用於在一積體電路(IC)中進行適應性動態電壓頻率調整的方法,該方法包括:在一IC中產生具有一現有頻率和一現有電壓位準的一時脈信號;接收一新頻率請求,該新頻率請求包括一差異,其介於對應於該現有電壓位準的一最大容許頻率與一軟體請求頻率之間;基於該新頻率請求產生一新電壓請求,該新電壓請求對應於足以維持該新頻率請求的一電壓位準;將該IC中的一現有電壓調整為近似該新電壓請求的一新電壓;基於該IC中的操作條件將該新頻率請求調整為一所調整的頻率請求;以及將一時脈信號產生器中的一頻率調整為該所調整的頻率請求,其中該最大容許頻率係透過參照基於該IC中的複數操作條件的一查找表而判定。
  13. 如申請專利範圍第12項之方法,其中該接收該新頻率請求包括:從在包括該IC的一運算元件中執行的一軟體應用程式接收一頻率請求;將來自該軟體應用程式的該頻率請求與該最大容許頻率相比;以及判定來自該軟體應用程式的該頻率請求與該最大容許頻率之間的一差異。
  14. 如申請專利範圍第12項之方法,其中該產生該時脈信號包括在一雜訊感知頻率鎖定迴路中產生該時脈信號。
  15. 如申請專利範圍第12項之方法,其中該調整一時脈信號產生器中的頻率包括使用一動態電壓控制振盪器調整一頻率。
  16. 如申請專利範圍第12項之方法,其中該調整該時脈信號產生器中的頻率包括將該頻率調整為不超過對應於該IC中的一電壓位準的最大頻率的一新頻率。
  17. 一種用於調整針對適應性電壓和頻率調整的頻率請求的方法,該方法包括:測量該IC中的複數現有操作條件;基於該等複數現有操作條件參照該IC所容許的一最大容許頻率,以回應接收該新頻率請求;以及基於該頻率差異產生一新頻率請求。
  18. 如申請專利範圍第17項之方法,其中該參照一最大容許頻率包括使用複數感測器測量該IC中的複數現有操作條件,該等感測器係由一群感測器構成,該群感測器包括:一類比數位電壓轉換器;一溫度感測器;以及一老化感測器。
  19. 如申請專利範圍第18項之方法,其中該參照該最大容許頻率包括從一查找表參照該最大容許頻率,該查找表包括複數電壓頻率(VF)曲線。
  20. 如申請專利範圍第19項之方法,其中該參照來自一查找表每條VF曲線的最大容許頻率包括具體地針對該IC中的複數現有操作條件計算一VF操作餘裕。
TW106137217A 2016-11-01 2017-10-27 最佳功率效率的適應性電壓頻率調整 TWI669591B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/340,901 US10659063B2 (en) 2016-11-01 2016-11-01 Adaptive voltage frequency scaling for optimal power efficiency
US15/340,901 2016-11-01

Publications (2)

Publication Number Publication Date
TW201826068A TW201826068A (zh) 2018-07-16
TWI669591B true TWI669591B (zh) 2019-08-21

Family

ID=61912360

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106137217A TWI669591B (zh) 2016-11-01 2017-10-27 最佳功率效率的適應性電壓頻率調整

Country Status (3)

Country Link
US (1) US10659063B2 (zh)
DE (1) DE102017124997A1 (zh)
TW (1) TWI669591B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10739846B2 (en) 2018-12-11 2020-08-11 Nxp B.V. Closed-loop adaptive voltage, body-biasing and frequency scaling
US11487308B2 (en) 2019-09-17 2022-11-01 International Business Machines Corporation Ensuring IoT device functionality in the presence of multiple temperature dependencies
US10990732B1 (en) 2020-01-30 2021-04-27 Nvidia Corporation System frequency margin recovery via distributed critical path monitors (CPM)
US20240094793A1 (en) * 2022-06-23 2024-03-21 Nvidia Corporation Techniques to modify processor performance

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1549961A (zh) * 2001-08-29 2004-11-24 ģ���豸��˾ 动态电压控制方法与设备
TW201209581A (en) * 2010-08-27 2012-03-01 Htc Corp Electronic device having operation mode dynamic adjusting mechanism and method of the same
TW201342242A (zh) * 2011-12-21 2013-10-16 Intel Corp 用於反向溫度相依性之適應性補償的裝置、方法及系統
TW201432444A (zh) * 2012-09-05 2014-08-16 Nvidia Corp 解釋計算器中老化效應的系統與程序

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3763492A (en) * 1970-10-08 1973-10-02 Us Navy Apparatus and method for improving sensitivity of navigation system using earth satellites
US4387347A (en) * 1979-03-16 1983-06-07 Thomson-Csf Delay line frequency discriminator for stabilizing an oscillator
US4890071A (en) * 1988-10-26 1989-12-26 Hewlett-Packard Company Signal generator utilizing a combined phase locked and frequency locked loop
US5032800A (en) * 1990-06-15 1991-07-16 Raytheon Company Tunable oscillator with noise degeneration
US5150080A (en) * 1991-12-12 1992-09-22 Raytheon Company Frequency agile switched resonator oscillator with noise degeneration
US6311287B1 (en) * 1994-10-11 2001-10-30 Compaq Computer Corporation Variable frequency clock control for microprocessor-based computer systems
US7100061B2 (en) * 2000-01-18 2006-08-29 Transmeta Corporation Adaptive power control
US7698583B2 (en) * 2002-10-03 2010-04-13 Via Technologies, Inc. Microprocessor capable of dynamically reducing its power consumption in response to varying operating temperature
US7120804B2 (en) 2002-12-23 2006-10-10 Intel Corporation Method and apparatus for reducing power consumption through dynamic control of supply voltage and body bias including maintaining a substantially constant operating frequency
US7228242B2 (en) 2002-12-31 2007-06-05 Transmeta Corporation Adaptive power control based on pre package characterization of integrated circuits
US7129771B1 (en) 2003-12-23 2006-10-31 Transmeta Corporation Servo loop for well bias voltage source
US7119604B2 (en) 2004-06-17 2006-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Back-bias voltage regulator having temperature and process variation compensation and related method of regulating a back-bias voltage
US7562233B1 (en) * 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7774625B1 (en) * 2004-06-22 2010-08-10 Eric Chien-Li Sheng Adaptive voltage control by accessing information stored within and specific to a microprocessor
US7263457B2 (en) * 2006-01-03 2007-08-28 Advanced Micro Devices, Inc. System and method for operating components of an integrated circuit at independent frequencies and/or voltages
US8472278B2 (en) * 2010-04-09 2013-06-25 Qualcomm Incorporated Circuits, systems and methods for adjusting clock signals based on measured performance characteristics
US8996330B2 (en) * 2011-01-06 2015-03-31 Qualcomm Incorporated Method and system for managing thermal policies of a portable computing device
CN102931655B (zh) * 2011-08-12 2014-12-10 珠海全志科技股份有限公司 一种动态调节电压和频率的电路控制系统和方法
US8909961B2 (en) * 2011-11-29 2014-12-09 Ati Technologies Ulc Method and apparatus for adjusting power consumption level of an integrated circuit
CN105683846B (zh) * 2013-08-29 2018-11-16 格罗方德半导体公司 用于电压调节器的通栅强度校准技术
US9652026B2 (en) * 2014-12-21 2017-05-16 Qualcomm Incorporated System and method for peak dynamic power management in a portable computing device
US9811389B2 (en) * 2015-09-23 2017-11-07 Intel Corporation Task assignment for processor cores based on a statistical power and frequency model

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1549961A (zh) * 2001-08-29 2004-11-24 ģ���豸��˾ 动态电压控制方法与设备
TW201209581A (en) * 2010-08-27 2012-03-01 Htc Corp Electronic device having operation mode dynamic adjusting mechanism and method of the same
TW201342242A (zh) * 2011-12-21 2013-10-16 Intel Corp 用於反向溫度相依性之適應性補償的裝置、方法及系統
TW201432444A (zh) * 2012-09-05 2014-08-16 Nvidia Corp 解釋計算器中老化效應的系統與程序

Also Published As

Publication number Publication date
DE102017124997A1 (de) 2018-05-03
US10659063B2 (en) 2020-05-19
TW201826068A (zh) 2018-07-16
US20180123604A1 (en) 2018-05-03

Similar Documents

Publication Publication Date Title
TWI669591B (zh) 最佳功率效率的適應性電壓頻率調整
US8442697B2 (en) Method and apparatus for on-demand power management
EP2929409B1 (en) System and method for estimating ambient temperature from a portable computing device
US5451892A (en) Clock control technique and system for a microprocessor including a thermal sensor
US8924752B1 (en) Power management for a graphics processing unit or other circuit
US8694811B2 (en) Power management for digital devices
US9703336B2 (en) System and method for thermal management in a multi-functional portable computing device
US20120054503A1 (en) Systems, apparatuses and methods for dynamic voltage and frequency control of components used in a computer system
US8265799B2 (en) Circuit for controlling dynamic rotation speed of fan, method of controlling dynamic rotation speed of fan, and program for controlling dynamic rotation speed of fan
JP2009522688A (ja) 独立周波数及び/又は電圧で集積回路の構成要素を動作させるシステムならびに方法
US9563254B2 (en) System, method and apparatus for energy efficiency and energy conservation by configuring power management parameters during run time
KR100818474B1 (ko) 중앙처리장치의 발열 제어 장치 및 방법
US20180232033A1 (en) System and method for dynamically adjusting voltage frequency
KR20130108021A (ko) 직렬 인터페이스를 사용한 적응형 전압 스케일링
TW201823922A (zh) 使用溫度及功率感測器之預測性熱控制管理
US20090037752A1 (en) Power Supply Apparatus with System Controller
US9213381B2 (en) Voltage regulator dynamically determining whether requested power transition can be supported
US20230071918A1 (en) Apparatus and method for dynamic thermal management using frequency clamping and idle injection
US20150186157A1 (en) Techniques for workload scalability-based processor performance state control
US11630003B2 (en) Temperature control system for central processing unit and temperature control method thereof
US11860702B2 (en) Current consumption controller
WO2012030329A1 (en) Systems, apparatuses and methods for dynamic voltage and frequency control of components used in a computer system
KR20160085029A (ko) 컴퓨터 시스템 전력 제어 장치 및 그 방법
JP2021525936A (ja) プロセッサに適応可能な電圧マージン
US20230195207A1 (en) Electronic device and method of controlling temperature in same