TWI665529B - 量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法 - Google Patents

量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法 Download PDF

Info

Publication number
TWI665529B
TWI665529B TW106138705A TW106138705A TWI665529B TW I665529 B TWI665529 B TW I665529B TW 106138705 A TW106138705 A TW 106138705A TW 106138705 A TW106138705 A TW 106138705A TW I665529 B TWI665529 B TW I665529B
Authority
TW
Taiwan
Prior art keywords
periodic component
target
radiation
pitch
periodic
Prior art date
Application number
TW106138705A
Other languages
English (en)
Other versions
TW201830160A (zh
Inventor
艾納諾斯堤斯 柴特瑪司
艾羅克 沃馬
伯特 凡斯崔登
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201830160A publication Critical patent/TW201830160A/zh
Application granted granted Critical
Publication of TWI665529B publication Critical patent/TWI665529B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本發明揭示一種量測一器件製程之一參數之方法。該方法包含藉由運用量測輻射照明一基板上之一目標且使用一光學設備以偵測由該目標散射之該量測輻射來量測該目標。該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構。該光學設備接收由該量測輻射自該目標結構繞射產生的輻射。該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階。

Description

量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法
本發明係關於一種量測器件製程之參數之方法、一種度量衡設備、一種基板、一種目標、一種器件製造系統,及一種器件製造方法。
微影設備為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於例如器件製程中,諸如用於積體電路(IC)之製造中。在彼情況下,圖案化器件(其替代地被稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如矽晶圓)上之目標部分(例如包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影設備包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而 同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。
為了監視諸如微影程序之器件製程,量測經圖案化基板之參數(且因此量測器件製程之影響經圖案化基板的任何態樣之參數)。舉例而言,參數可包括形成於經圖案化基板中或上之順次層之間的疊對誤差,及經顯影感光性抗蝕劑及/或經蝕刻產品特徵之臨界尺寸(通常為線寬)。參數可包括特徵高度及/或特徵節距。可對產品基板及/或對專用度量衡目標執行此等量測。存在用於對在微影程序中形成之微觀結構進行量測的各種技術,包括使用掃描電子顯微鏡及各種特殊化工具。快速且非侵入之形式的特殊化檢測工具為散射計,其中將輻射光束導向至基板之表面上之目標上,且量測散射光束或反射光束之屬性。藉由比較光束在其已由基板反射或散射之前與之後的屬性,可判定基板之屬性。舉例而言,可藉由比較反射光束與儲存於與已知基板屬性相關聯之已知量測庫中的資料或自散射結構之模型即時計算的資料來進行此判定。兩種主要類型之散射計係已知的。光譜散射計將寬頻帶輻射光束導向至基板上且量測散射至特定窄角度範圍中之輻射之光譜(依據波長而變化的強度)。角度解析散射計使用單色輻射光束且量測依據角度而變化的散射輻射之強度。
多重圖案化係用以增大特徵密度之一類技術。舉例而言,在雙重圖案化中,增強微影程序以使單獨特徵之間的最小間隔減半。在四重圖案化中,增強微影程序以將最小間隔縮減為原先的四分之一。
亦可被稱作間隔程序技術(SPT)之間隔圖案化為多個層形成於經預圖案化特徵之側壁上的多重圖案化技術。隨後移除經預圖案化特徵,以針對每一經預圖案化特徵留下兩個殘餘側壁特徵。在經預圖案化特徵之寬度確 切等於經預圖案化特徵之間的分離度的情況下,使用殘餘側壁特徵而形成之特徵將以單個共同的分離距離彼此隔開。然而,間隔圖案化程序中之誤差可造成鄰近特徵之間的分離度發生變化。在雙重圖案化之狀況下,變化可包含交替之分離距離。交替之分離距離可被稱作節距遊動(pitch walking)。舉例而言,可在微影程序中之誤差使得經預圖案化特徵之寬度不同於經預圖案化特徵之間的分離度時發生節距遊動。節距遊動亦可在其他形式之多重圖案化中產生,例如在諸如微影-蝕刻-微影-蝕刻(LELE)之非間隔技術中產生。
器件製程之屬性之準確量測(包括由多重圖案化程序產生之節距遊動之量測)可為困難的、耗時的,或既困難又耗時的。
需要提供用於量測一器件製程之一參數且用於執行該器件製程之改良之方法及設備。
根據本發明之一態樣,提供一種量測一器件製程之一參數之方法,該方法包含:藉由運用量測輻射照明一基板上之一目標且使用一光學設備以偵測由該目標散射之該量測輻射來量測該目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;且該光學設備接收由該量測輻射自該目標結構繞射產生的輻射,該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階。
根據本發明之另一態樣,提供一種包含一目標的用於量測一器件製程之一參數之基板,該量測係藉由運用量測輻射照明該目標且偵測由該目標散射之量測輻射來進行,其中:該目標包含具有一第一週期性組件及一 第二週期性組件之一目標結構;該第一週期性組件提供於一第一層中且該第二週期性組件提供於一第二層中,該第二層在該第一層上方或下方;且該第二週期性組件經組態使得該第一週期性組件與該第二週期性組件之一組合之節距長於該第一週期性組件單獨之一節距且長於該第二週期性組件單獨之一節距。
根據本發明之另一態樣,提供一種用於一量測程序中之器件製造中之目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;該第一週期性組件提供於一第一層中且該第二週期性組件提供於一第二層中,該第二層在該第一層上方或下方;且該第二週期性組件經組態使得該第一週期性組件與該第二週期性組件之一組合之節距長於該第一週期性組件單獨之一節距且長於該第二週期性組件單獨之一節距。
根據本發明之另一態樣,提供一種用於量測一器件製程之一參數之度量衡設備,該度量衡設備包含:一基板,其包含一目標;及一光學設備,其用於藉由運用量測輻射照明該目標且偵測由該目標散射之該量測輻射來量測該目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;且該光學設備經組態以接收由該量測輻射自該目標結構繞射產生的輻射,該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階。
11‧‧‧源
12‧‧‧透鏡
13‧‧‧孔徑板
13N‧‧‧孔徑板
13S‧‧‧孔徑板
14‧‧‧透鏡
15‧‧‧光束分裂器
16‧‧‧物鏡
17‧‧‧第二光束分裂器
18‧‧‧光學系統
19‧‧‧第一感測器
20‧‧‧光學系統
21‧‧‧孔徑光闌
22‧‧‧光學系統
23‧‧‧感測器
30‧‧‧經預圖案化特徵
32‧‧‧基底層
34‧‧‧基板
35‧‧‧膜層
36‧‧‧層
38‧‧‧特徵
40‧‧‧目標
42‧‧‧第一週期性組件
44‧‧‧第二週期性組件
46‧‧‧組合之節距
50‧‧‧點
51‧‧‧點
52‧‧‧點
54‧‧‧第一週期
56‧‧‧差
AD‧‧‧調整器
B‧‧‧輻射光束
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
C‧‧‧目標部分
CH‧‧‧冷卻板
CO‧‧‧積光器
DE‧‧‧顯影器
FOS‧‧‧一階信號
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
I/O1‧‧‧輸入/輸出埠
I/O2‧‧‧輸入/輸出埠
LA‧‧‧微影設備
LACU‧‧‧微影控制單元
LB‧‧‧裝載匣
LC‧‧‧微影製造單元
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧圖案化器件/光罩
MT‧‧‧支撐結構/光罩台
O‧‧‧光軸
p‧‧‧節距
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PU‧‧‧影像處理器及控制器
PW‧‧‧第二定位器
RO‧‧‧基板處置器或機器人
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
S1‧‧‧第一分離距離
S2‧‧‧第二分離距離
TCU‧‧‧塗佈顯影系統控制單元
W‧‧‧基板
WT‧‧‧基板台
現在將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中:圖1描繪微影設備; 圖2描繪微影製造單元或叢集;圖3描繪用於度量衡中之散射計;圖4至圖9描繪使用間隔圖案化之實例雙重圖案化程序中的階段;圖10以示意性側剖面描繪藉由雙重圖案化而形成之週期性目標結構,其具有零節距遊動;圖11以示意性側剖面描繪藉由雙重圖案化而形成之週期性目標結構,其具有非零節距遊動;圖12以示意性側剖面描繪實例目標,其包含具有第一層中之第一週期性組件及第二層中之第二週期性組件之目標結構,其中第一週期性組件包含零節距遊動;圖13描繪在其中第一週期性組件包含非零節距遊動之狀況下的圖12之目標;圖14之(a)為具有零節距遊動之目標結構的示意性俯視圖;圖14之(b)描繪來自圖14之(a)之目標結構的落在至光學設備之輸入之外部的一階繞射;圖15之(a)為其中在節距遊動為非零的狀況下圖14之(a)之目標結構的示意性俯視圖;圖15之(b)描繪來自圖15之(a)之目標結構的仍落在至光學設備之輸入之外部的一階繞射;圖16之(a)為具有第一週期性組件及第二週期性組件之目標結構的示意性俯視圖,該第一週期性組件包含具有零俯仰遊動之光柵且該第二週期性組件包含光柵之一部分被移除所在的週期性區;圖16之(b)描繪來自圖16之(a)之目標結構的仍落在至光學設備之輸入 之外部的一階繞射;圖17之(a)為具有第一週期性組件及第二週期性組件之目標結構的示意性俯視圖,該第一週期性組件包含具有非零俯仰遊動之光柵且該第二週期性組件包含光柵之一部分被移除所在的週期性區;圖17之(b)描繪來自圖17之(a)之目標結構的落在至光學設備之輸入內的一階繞射;圖18之(a)為目標之第一層中之第一週期性組件的示意性俯視圖;圖18之(b)描繪來自圖18之(a)之第一週期性組件的落在至光學設備之輸入之外部的一階繞射;圖19之(a)為包含圖18之(a)之第一週期性組件及形成於高於或低於第一層之第二層中的第二週期性組件之目標的示意性俯視圖,該第二週期性組件之空間週期為該第一週期性組件之空間週期的兩倍;圖19之(b)描繪來自圖19之(a)之目標的落在至光學設備之輸入外部的一階繞射;圖20之(a)為圖19之(a)之目標的示意性俯視圖,惟第二週期性組件之空間週期為第一週期性組件之空間週期的三倍且在第一週期性組件與第二週期性組件之間存在疊對誤差從而導致不對稱繞射除外;圖20之(b)描繪來自圖20之(a)之目標的落在至光學設備之輸入內的不對稱一階繞射;圖21之(a)為圖20之(a)之目標的示意性俯視圖,惟在第一週期性組件與第二週期性組件之間存在不同的疊對誤差除外;圖21之(b)描繪來自圖21之(a)之目標的不對稱一階繞射,該不對稱性不同於圖20之(b)之一階繞射中之不對稱性; 圖22為描繪一階信號(FOS)依據分離偏差S1-S2及用於三個不同目標之值之變化的曲線圖;圖23為描繪一階信號(FOS)依據疊對偏移(OV)及分離偏差S1-S2之變化的曲線圖;圖24及圖25分別展示一階信號之導數(DFOS)(與敏感度成比例)及一階信號(FOS)依據分離偏差S1-S2之變化;圖26為描繪一階信號(FOS)依據分離偏差S1-S2及兩個相對偏置之目標結構之值之變化的曲線圖;圖27為描繪二階差分信號(SODS)依據疊對偏移(OV)及分離偏差S1-S2之變化的曲線圖。
本說明書揭示併有本發明之特徵的一或多個實施例。所揭示實施例僅僅例示本發明。本發明之範疇不限於所揭示實施例。本發明係由附加於此處之申請專利範圍界定。
所描述之實施例及本說明書中對「一個實施例」、「一實施例」、「一實例實施例」等之參考指示所描述之實施例可包括一特定特徵、結構或特性,但每一實施例可未必包括該特定特徵、結構或特性。此外,此等片語未必係指相同實施例。另外,當結合一實施例描述一特定特徵、結構或特性時,應理解,無論是否予以明確描述,結合其他實施例來實現此類特徵、結構或特性皆係在熟習此項技術者之認識範圍內。
然而,在更詳細地描述此類實施例之前,有指導性的是呈現可供實施本發明之實施例之實例環境。
圖1示意性地描繪微影設備LA。該設備包括:照明系統(照明器) IL,其經組態以調節輻射光束B(例如UV輻射或DUV輻射);支撐結構(例如光罩台)MT,其經建構以支撐圖案化器件(例如光罩)MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM;基板台(例如晶圓台)WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數來準確地定位該基板之第二定位器PW;及投影系統(例如折射投影透鏡系統)PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如包含一或多個晶粒)上。
照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構支撐圖案化器件,亦即,承載圖案化器件之重量。支撐結構以取決於圖案化器件之定向、微影設備之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)之方式來固持圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術來固持圖案化器件。支撐結構可為例如框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化器件例如相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用皆與更一般之術語「圖案化器件」同義。
本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中產生之 器件(諸如積體電路)中之特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中係熟知的,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
在此實施例中,舉例而言,設備屬於透射類型(例如使用透射光罩)。替代地,設備可屬於反射類型(例如使用如上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。
微影設備可屬於具有兩個(雙載物台)或多於兩個基板台及例如兩個或多於兩個光罩台之類型。在此類「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。
微影設備亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影設備中之其他空間,例如光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增大投影系統之數值孔徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而 是僅意謂液體在曝光期間位於投影系統與基板之間。
參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當源為準分子雷射時,源及微影設備可為單獨實體。在此類狀況下,不認為源形成微影設備之部分,且輻射光束係憑藉包含例如合適導向鏡及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,舉例而言,當源為水銀燈時,源可為微影設備之整體部分。源SO及照明器IL連同光束遞送系統BD在需要時可被稱作輻射系統。
照明器IL可包含用於調整輻射光束之角度強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(其通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如光罩台MT)上之圖案化器件(例如光罩MA)上,且係由該圖案化器件而圖案化。在已橫穿光罩MA的情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如干涉器件、線性編碼器、2D編碼器或電容性感測器),可準確地移動基板台WT,例如以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以例如在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位光罩MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現光罩台MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台 WT之移動。在步進器(相對於掃描器)之狀況下,光罩台MT可僅連接至短衝程致動器,或可固定。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準光罩MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等基板對準標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒提供於光罩MA上之情形中,光罩對準標記可位於該等晶粒之間。
所描繪設備可用於以下模式中之至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像之目標部分C之大小。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描光罩台MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於光罩台MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使光罩台MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件 (諸如上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
亦可使用上文所描述之使用模式之組合及/或變化或完全不同的使用模式。
如圖2中所展示,微影設備LA形成微影製造單元LC(有時亦被稱作叢集)之部件,微影製造單元LC亦包括用以對基板執行曝光前程序及曝光後程序之設備。通常,此等設備包括用以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、冷卻板CH及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板、在不同程序設備之間移動基板,且接著將基板遞送至微影設備之裝載匣LB。常常被集體地稱作塗佈顯影系統之此等器件係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU來控制微影設備。因此,不同設備可經操作以最大化產出率及處理效率。
為了正確地且一致地曝光由微影設備曝光之基板,需要檢測經曝光基板以量測屬性,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等。若偵測到誤差,則可對後續基板之曝光進行例如調整,尤其在檢測可足夠迅速地且快速地進行而使得同一批量之其他基板仍待曝光的情況下。並且,已經曝光之基板可被剝離及重工以改良產率或可能被捨棄,藉此避免對已知有缺陷之基板執行曝光。在基板之僅一些目標部分有缺陷之狀況下,可僅對被認為無缺陷的彼等目標部分執行進一步曝光。
檢測設備(其亦可被稱作度量衡設備)係用以判定基板之屬性,且尤其判定不同基板或同一基板之不同層之屬性如何在層與層之間變化。檢測設備可整合至微影設備LA或微影製造單元LC中,或可為單機器件。為了實 現最快速量測,需要使檢測設備緊接在曝光之後量測經曝光抗蝕劑層中之屬性。然而,抗蝕劑中之潛影具有極低對比度,此係由於在已曝光至輻射的抗蝕劑之部分與尚未曝光至輻射的抗蝕劑之部分之間僅存在極小折射率差-且並非所有檢測設備皆具有足夠敏感度來進行潛影之有用量測。因此,可在曝光後烘烤步驟(PEB)之後採取量測,曝光後烘烤步驟(PEB)通常為對經曝光基板進行之第一步驟且增大抗蝕劑之經曝光部分與未經曝光部分之間的對比度。在此階段,抗蝕劑中之影像可被稱作半潛像(semi-latent)。亦有可能對經顯影抗蝕劑影像進行量測,此時,抗蝕劑之經曝光部分抑或未經曝光部分已被移除-或在諸如蝕刻之圖案轉印步驟之後對經顯影抗蝕劑影像進行量測。後者可能性限制重工有缺陷基板之可能性,但仍可提供有用資訊。
圖3為呈散射計之形式的光學設備之示意圖,該散射計適於結合圖2之微影製造單元一起來執行度量衡。該設備可用於量測藉由微影形成之特徵之臨界尺寸,量測層之間的疊對,及其類似者。產品特徵或專用度量衡目標形成於基板W上。該設備可為單機器件,或併入於例如量測站處之微影設備LA中或併入於微影製造單元LC中。貫穿設備具有若干分支之光軸係由點線O表示。在此設備中,由源11發射之光係由包含透鏡12、14及物鏡16之光學系統經由光束分裂器15而導向至基板W上。此等透鏡係以4F配置之雙重序列進行配置。可使用不同透鏡配置,其限制條件為:其仍將源之影像提供於基板上,且同時地允許接取中間光瞳平面以用於空間頻率濾光。因此,可藉由定義在呈現基板平面之空間光譜之平面(此處被稱作(共軛)光瞳平面)中的空間強度分佈來選擇輻射入射於基板上之角度範圍。詳言之,可藉由在為物鏡光瞳平面之背向投影式影像之平面中在透鏡 12與14之間插入合適形式之孔徑板13來進行此選擇。舉例而言,如所說明,孔徑板13可採取不同形式,該等形式中之兩者被標註為13N及13S,從而允許選擇不同照明模式。所說明實例中之照明系統形成離軸照明模式。在第一照明模式中,孔徑板13N提供自僅出於描述起見被指明為「北」之方向之離軸。在第二照明模式中,孔徑板13S係用以提供相似照明,但提供來自被標註為「南」之相對方向之照明。藉由使用不同孔徑,其他照明模式係可能的。其餘光瞳平面理想地暗,此係因為所要照明模式之外之任何不必要光將干涉所要量測信號。
由基板W上之目標繞射之至少0階以及-1階及+1階中之一者係由物鏡16收集且經返回導向通過光束分裂器15。第二光束分裂器17將繞射光束劃分成兩個量測分支。在第一量測分支中,光學系統18使用零階繞射光束及一階繞射光束在第一感測器19(例如CCD或CMOS感測器)上形成目標之繞射光譜(光瞳平面影像)。每一繞射階射中感測器上之一不同點,使得影像處理可比較及對比若干階。由感測器19捕捉之光瞳平面影像可用於聚焦度量衡設備及/或正規化一階光束之強度量測。光瞳平面影像可用於諸如重新建構之許多量測目的。
在第二量測分支中,光學系統20、22在感測器23(例如CCD或CMOS感測器)上形成基板W上之目標之影像。在第二量測分支中,在與光瞳平面共軛之平面中提供孔徑光闌21。孔徑光闌21用以阻擋零階繞射光束,使得形成於感測器23上之目標之影像係僅自-1或+1一階光束而形成。由感測器23偵測到之影像因此被稱作「暗場」影像。應注意,此處在廣泛意義上使用術語「影像」。因而,若存在-1階及+1階中之僅一者,則將不形成光柵線之影像。
將由感測器19及23捕捉之影像輸出至影像處理器及控制器PU,影像處理器及控制器PU之功能將取決於正被執行之量測的特定類型。
可在專利申請案US 2006/066855 A1、WO 2009/078708、WO 2009/106279及US 2011/0027704 A中發現散射計及技術之實例,該等專利申請案之全文係皆以引用方式併入本文中。
在下文中,描述根據實施例量測器件製程(特別是微影程序)之參數的方法。該等方法特別適用於量測包含多重圖案化(例如雙重圖案化或四重圖案化)之微影程序之參數。下文參看圖4至圖9描述使用間隔圖案化之雙重圖案化程序之實例。本發明之實施例亦可在使用多重圖案化之其他形式(間隔或非間隔)的情況下適用且甚至在並不涉及多重圖案化之程序中適用。
圖4描繪基板34。基底層32形成於基板34上。包含複數個經預圖案化特徵30(例如線)之經圖案化層形成於基底層32上,該圖案化層形成第一圖案。
在後續步驟中,如圖5中所描繪,膜層35沈積至經圖案化層上。
在後續步驟中,如圖6中所描繪,在水平表面上執行蝕刻以自膜層35移除材料。留下了形成於經預圖案化特徵30之側壁上之層36。層36可被稱作間隔物。
在後續步驟中,如圖7中所描繪,移除經預圖案化特徵30,從而留下層(間隔物)36,該等層36形成密度為經預圖案化特徵30之原始圖案之密度兩倍的圖案(此係因為經預圖案化特徵30中之每一者具有兩個側壁且每一側壁產生該等層(間隔物)36中之一者)。
在後續步驟中,如圖8中所描繪,將層(間隔物)36用作光罩以界定基 底層之選擇性蝕刻。
在後續步驟中,如圖9中所描繪,移除層(間隔物)36,從而留下由基底層之剩餘材料形成之特徵38之第二圖案。第二圖案(圖9中所展示)包含多達第一圖案(圖4中所展示)之特徵兩倍的特徵。
上文考圖4至圖9所描述之程序有時被稱作自對準雙重圖案化(SADP)。可基於第二圖案之特徵而非第一圖案之特徵來重複該程序,藉此再次將特徵密度加倍。此類型之程序有時被稱作自對準四重圖案化(SAQP)。原則上可進一步重複該程序以產生特徵密度之進一步增大。
參看圖9,分離距離S1係由經預圖案化特徵30之寬度判定。分離距離S2係由鄰近對之經預圖案化特徵30之間的分離距離判定。S1與S2之間的差將引起奇數特徵與偶數特徵之間的有效疊對誤差。針對非零的S1-S2,鄰近特徵之間的分離距離因此將交替。交替之分離距離可被稱作節距遊動。圖10描繪具有零節距遊動(S1=S2)之結構之一部分。圖11描繪具有非零節距遊動(S1≠S2)之結構之一部分。需要監視及控制S1與S2之間的任何差(例如以確保該差不超過預定臨限值)。
用於量測S1-S2之先前技術之技術具有各種缺點。
掃描電子顯微法(CD-SEM)已用以量測S1-S2。然而,掃描電子顯微法相對較慢(通常需要幾秒來進行量測)。CD-SEM藉由器件上之高局域化進行量測,從而意謂需要大量檢測點來檢測聚集目標效能。此外,難以區別S1與S2。
散射量測技術提供改良之速度,但可具有低敏感度,特別針對小的S1-S2值而言。
下文參看圖12至圖21描述根據一實施例量測器件製程(例如微影程 序)之參數的方法。圖12、圖13、圖16、圖17、圖20及圖21展示用於該方法中之實例目標。本文中所使用之術語「目標」應被廣泛地解譯為係指在量測程序中使用或能夠使用之任何結構。目標可包含專用度量衡目標,或目標可形成部分地或完全地出於其他目的而提供之結構之部分。目標可例如由產品特徵形成。方法包含藉由運用量測輻射照明基板W上之目標40且偵測由該目標40散射之量測輻射來量測該目標40。所揭示之方法中之任一者可使用經適當組態之度量衡設備來實施。度量衡設備可包含如上文參考圖3所論述之光學設備。可提供包含器件製造設備及度量衡設備之器件製造系統。器件製造系統可包含微影系統,微影系統包含微影設備及度量衡設備。器件製造設備(例如微影設備)對基板執行器件製程(例如微影程序)。度量衡設備量測器件製程(例如微影程序)之參數。器件製造設備在後續器件製程(例如微影程序)中使用由度量衡設備量測之參數。在參數表示器件製程(例如微影程序)中之誤差的情況下,器件製造設備(例如微影設備)可使用該參數以縮減誤差之大小。
目標40包含具有第一週期性組件42及第二週期性組件44之目標結構。在各種實施例中,第一週期性組件及第二週期性組件在不同時間形成、使用不同微影程序而形成,或既在不同時間形成又使用不同微影程序而形成。在各種實施例中,第一週期性組件42提供於第一層中且第二週期性組件44提供於第二層中,該第二層在該第一層上方或下方。在實例展示於圖12、圖13、圖20及圖21中的此類實施例中,提供有第一週期性組件42之結構可被稱作第一層目標子結構。提供有第二週期性組件44之結構可被稱作第二層目標子結構。在其他實施例中,第一週期性組件42及第二週期性組件44提供於同一層中,或提供於多個層中,該第一週期性組件 42與該第二週期性組件44在垂直於該等層中之每一者之平面的方向上彼此重疊。下文參看圖16及圖17論述此目標40之實例。
圖12及圖13描繪其中第一週期性組件42及第二週期性組件44提供於不同層中的實施例。在此實施例中,第二週期性組件44提供於第一週期性組件42之上側上。上側被界定為面向入射量測輻射之側。在其他實施例中,第二週期性組件44提供於第一週期性組件42之下方,但其方式為使得量測輻射仍與第一週期性組件42及第二週期性組件44兩者相互作用。第二週期性組件44可經特定提供以輔助監視器件製造(例如微影)程序。替代地,可出於其他原因提供第二週期性組件44,例如作為形成產品製造中之程序鏈之部分的層。在後者狀況下,根據實施例之方法利用所存在的出於其他原因而提供之第二週期性組件44,以改良關於與第二週期性組件44相異的第一週期性組件42進行之微影程序之量測。
在其中第一週期性組件42及第二週期性組件相對於彼此提供於不同層中的實施例中,第二週期性組件44可直接鄰近於第一週期性組件42、與第一週期性組件42重疊(在垂直於第二週期性組件之平面之方向上),或與第一週期性組件42達一或多個介入層。
在一實施例中,第一週期性組件42係藉由待監視之器件製造(例如微影)程序而形成。方法藉由量測第一週期性組件42之一或多個屬性來量測此程序之參數。第二週期性組件44以一方式修改自第一週期性組件42及第二週期性組件44之組合散射之量測輻射,使得相對於並不提供第二週期性組件44且僅自第一週期性組件散射量測輻射之狀況,關於第一週期性組件42之資訊可較容易提取或以改良之準確度來提取。在一實施例中,此係藉由如下操作來達成:配置第二週期性組件44使得所接收之起因於量測輻 射自目標結構之繞射之輻射包含將自量測輻射自第一週期性組件42單獨繞射未接收到且較佳自量測輻射自第二週期性組件44單獨繞射亦未接收到的至少一個繞射階。在各種實施例中,此係藉由如下操作來達成:安排第一週期性組件42與第二週期性組件44之組合之節距使其長於第一週期性組件42單獨之節距,較佳亦長於第二週期性組件42單獨之節距。每一節距可表示二維週期性或三維週期性。提供長於第一週期性組件42單獨(及/或第二週期性組件44單獨)之節距的組合之節距意謂相對於並不提供第二週期性組件44之狀況,來自目標之繞射圖案將較小地散開。提供較小地散開之繞射圖案使得有可能較容易偵測繞射圖案之高階分量。與例如自繞射圖案之低階分量提取關於一或多個所關注參數之資訊相比,可較容易自繞射圖案之高階分量提取關於該一或多個所關注參數之資訊,此係因為存在來自雜訊或未關注之幾何因素的較小影響。在一實施例中,高階分量包含一階繞射分量。有利地,一階繞射分量相對於諸如第二週期性組件44與第一週期性組件42之間的空間偏移之幾何變化或第二週期性組件44圖案之線寬變化不變。零階繞射分量將受到此類因素較大影響,藉此縮減信雜比。與高階繞射分量相比,零階繞射分量亦可對所關注參數較不敏感。
一階繞射分量對疊對偏移(OV)(亦即,第二週期性組件44與第一週期性組件42之間的偏移)之不變性在圖23之曲線圖中加以說明。該曲線圖展示疊對偏移(OV)之相對大變化對一階繞射分量(一階信號FOS)如何依據S1-S2而變化具有可忽略的影響。
圖14至圖17說明在使用具有同一層中之第一週期性組件42及第二週期性組件44之目標來量測節距遊動的狀況下途徑之應用。圖18至圖21說明在使用具有不同層中之第一週期性組件42及第二週期性組件44之目標 來量測疊對誤差的狀況下途徑之應用。
在圖14之(a)之配置中,提供包含具有節距p之光柵的目標40。節距p相對較小。小節距p意謂自入射量測輻射產生之一階繞射光束因此係相對散開的,如圖14之(b)中所展示。一階繞射光束以過大而未能進入用以量測散射輻射之光學設備之輸入(例如物鏡16)之角度繞射,且因此係實際上損耗的。
如圖15之(a)及圖15之(b)中所展示,將節距遊動引入至圖14之(a)之光柵中會造成光柵之節距增大至2p。增大之節距造成一階繞射較小地散開,但關於一階輻射之角度仍過大而未能進入物鏡16(如圖15之(b)中所展示)。
圖16之(a)描繪具有第一週期性組件42及第二週期性組件44之目標40。該第一週期性組件相同於圖14之(a)之目標40之光柵。第一週期性組件之節距因此為p。第二週期性組件44包含光柵之一部分被移除所在的週期性區。該等週期性區係由圖16之(a)中之虛線框描繪。第二週期性組件44之節距(由週期性區之分離度界定)為3p。第一週期性組件42與第二週期性組件44之組合之節距46亦等於3p。相對於圖14及圖15之配置之增大之節距造成一階繞射更小地散開,但關於一階輻射之角度仍過大而未能進入物鏡16(如圖16之(b)中所展示)。
圖17之(a)描繪其中在形成第一週期性組件42之光柵中存在節距遊動之狀況下的圖16之(a)之目標40。節距遊動將第一週期性組件42之節距增大至2p(與在圖15中一樣)。節距遊動亦導致第一週期性組件42與第二週期性組件44之組合之節距46增大(自3p增大至6p)。因此,此實施例為如下類實施例之實例:其中第一週期性組件與第二週期性組件之組合之節距在 存在節距遊動(其可由標稱地彼此隔開共同分離距離的特徵之間的分離距離之偏差指示,如上文參看圖10至圖13所論述)時比不存在節距遊動(亦即,分離距離之偏差)時長。較長節距最後引起第一繞射光束之繞射角為足夠低值使得第一繞射光束進入物鏡16。修改目標40以併有第二週期性組件44藉此使得有可能捕捉一階繞射光束且使一階繞射光束貢獻於器件製程之參數(例如節距遊動之大小)之量測。
在圖18之(a)之配置中,提供包含具有節距p之光柵的目標40。光柵提供第一週期性組件42。與在圖14之(a)之配置中一樣,節距p相對較小且來自第一週期性組件42之一階繞射光束並不進入物鏡16(圖18之(b))。
圖19之(a)及圖19之(b)展示圖18之目標40,其中添加第二週期性組件44。第二週期性組件44係由具有週期2p之光柵提供。第一週期性組件42及第二週期性組件44提供於相對於彼此之不同層中。第一週期性組件42與第二週期性組件44之組合之節距亦等於2p。相對於圖18之配置之增大之節距造成一階繞射較小地散開,但關於一階輻射之角度仍過大而未能進入物鏡16(如圖19之(b)中所展示)。
圖20之(a)描繪一目標40,其中第一週期性組件42具有與圖19之第一週期性組件42相同的節距p,但第二週期性組件44具有比圖19之第二週期性組件44之節距大的節距3p。組合之節距46(等於6p)足夠大以造成一階繞射進入物鏡16(如圖20之(b)中所描繪)。因此可捕捉一階繞射且一階繞射用以貢獻於器件製程之參數(例如疊對誤差)之量測。在所展示之實例中,一階繞射用以量測表示目標結構中之不對稱性程度之參數。在此實例中不對稱性係歸因於一個層中之第一週期性組件42與不同層中之第二週期性組件44之間的疊對誤差而產生。不對稱性引起相對或不同繞射階之間的 差。在此實例中,該差係介於-1繞射階與+1繞射階之間。
圖21之(a)描繪圖20之目標40,惟在第一週期性組件42與第二週期性組件44之間存在不同的疊對誤差除外。不同疊對誤差引起相對或不同繞射階之間的不同不對稱性(如藉由比較圖20之(b)與圖21之(b)可看到)。
在一實施例中,第一週期性組件42係藉由多重圖案化而形成。在一實施例中,第一週期性組件42係使用間隔圖案化程序(諸如上文參看圖4至圖9所描述之程序)而形成。在此實施例中,第一週期性組件42係藉由如下各項之一或多次反覆而形成:在特徵之第一圖案中之每一特徵30的側壁上形成層36,且在後續步驟中,移除特徵之第一圖案之每一特徵30以形成特徵38多達第一圖案中之特徵兩倍的第二圖案。在其他實施例中,第一週期性組件42係使用諸如LELE之非間隔多重圖案化程序而形成。
在一實施例中,第一週期性組件42係藉由微影程序而形成,微影程序經組態以形成標稱地彼此隔開共同分離距離(S1=S2)之三個或多於三個特徵。因此,在微影程序中不存在任何誤差的情況下,每個對之鄰近特徵之間的分離度將相同。實務上,微影程序中之一或多個誤差將造成分離距離偏差(使得S1≠S2)。方法包含量測目標40以便量測由微影程序中之一或多個誤差(包括例如蝕刻處理中之誤差)造成的分離距離之偏差。
在一實施例中,分離距離之偏差包含不同分離距離之重複序列。舉例而言,間隔圖案化程序將產生此類型之分離距離之偏差。在雙重圖案化之狀況下,不同分離距離之重複序列包含第一分離距離S1與第二分離距離S2之交替序列。此被稱作節距遊動。圖12描繪包含藉由雙重圖案化而形成的具有零節距遊動(S1=S2)之第一週期性組件42之目標40。圖13描繪包含藉由雙重圖案化而形成的具有非零節距遊動(S1≠S2)之第一週期性 組件42之目標40。每一分離距離S1可被稱作第一分離距離S1。每一分離距離S2可被稱作第二分離距離。
在一實施例中,第二週期性組件44包含具有節距p之週期性結構,該節距p經選擇為使得第一週期性組件42與第二週期性組件44之組合之節距在存在分離距離之偏差時比不存在分離距離之偏差時長。由偏差造成的節距之改變允許以高敏感度偵測偏差。舉例而言,節距之改變可用以使得在節距之改變發生時偵測到新高階繞射分量(亦即,在節距改變之前過度散開而未能由光學系統偵測到之高階繞射分量)。圖12、圖13、圖16及圖17描繪此類型之實施例。在圖12(零節距遊動)中,組合之節距46等於第二週期性組件44之節距p的兩倍。在圖13(非零節距遊動)中,組合之節距46加倍至第二週期性組件44之節距p的四倍。在圖16(零節距遊動)中,組合之節距46等於第二週期性組件44之節距。在圖17中,組合之節距46等於第二週期性組件44之節距的兩倍。
圖12及圖13之第二週期性組件44為一類第二週期性組件44之實例,其中提供具有節距p之週期性結構,該節距p係由以下表達式給出:p=(n+1/2)d,其中d=(S1+S2)/2,且n係正整數。安排節距p滿足以上表達式會確保第一週期性組件42與第二週期性組件44之組合之節距46在存在分離距離之偏差時比在不存在分離距離之偏差時長。在圖12及圖13之特定實例中,n=2。
圖22中展示一階信號(FOS)相對於S1-S2之預期變化之實例。一階信號為自目標40散射之一階繞射分量之量測。點50對應於具有具零節距遊動的第一週期性組件42之目標40(參見插圖)。點51對應於具有具中間非零節距遊動的第一週期性組件42之目標40(參見插圖)。點52對應於具有 具相對高非零節距遊動的第一週期性組件42之目標40(參見插圖)。
圖22展示針對S1-S2之較大值達成相對高敏感度(由曲線中之相對高陡度所指示)。然而,針對S1-S2之較小值,曲線變得扁平,從而指示較低敏感度。在一實施例中,藉由使用兩個相對偏置之目標結構以產生差分信號從而改良敏感度。偏置經選擇為使得對由微影程序中之誤差造成的S1-S2之小差之平均敏感度針對兩個目標結構比針對無偏置之單一目標結構更高。在一實施例中,提供一方法,其包含兩個步驟。
第一步驟包含量測包含第一週期性組件42之第一目標結構,該第一週期性組件42係藉由經組態以形成標稱地彼此隔開共同分離距離的三個或多於三個特徵之程序而形成。該程序在第一指向上偏置以便標稱地形成第一分離距離S1與第二分離距離S2之交替序列,其中S1-S2=△d。因此,微影程序在經完美執行時將形成節距遊動確切等於正偏置△d的圖案。微影程序中之偏差將使得節距遊動等於該偏置加與由微影程序引入之節距遊動相關聯之誤差。
第二步驟包含量測包含第一週期性組件42之第二目標結構,該第一週期性組件42係藉由與用以形成第一目標結構之第一週期性組件42之程序相同的程序而形成,惟該程序在與第一指向相對之第二指向上偏置除外。該程序因此經組態以標稱地形成第一分離距離S1與第二分離距離S2之交替序列,其中S1-S2=△d。因此,微影程序在經完美執行時將形成節距遊動確切等於負偏置△d的圖案。微影程序中之偏差將使得節距遊動等於該負偏置加與由微影程序引入之節距遊動相關聯之誤差。
依據S1-S2而變化的一階信號之導數(DFOS)相對於S1-S2(其與敏感度成比例)之變化展示於圖24中,其中依據S1-S2而變化的一階信號(FOS) 之變化展示於圖25中。該等曲線圖展示:對於處於第一週期54中之偏置△d,針對由微影程序中之誤差造成的節距遊動之小值(亦即,在偏置之前的S1-S2),敏感度將得以增大。
自第一步驟與第二步驟之輸出形成差分信號會抵消故意施加之偏置+△d及-△d,且允許以高敏感度獲得與由微影程序引入之節距遊動相關聯的誤差。在典型實施例中,來自兩個相對偏置之目標中的每一者之信號之間的差將為S1-S2(在偏置之前)之平均值之線性函數,但亦有可能安排變化為非線性的。圖26描繪用於使用微影程序對具有小節距遊動誤差之兩個相對偏置之目標結構執行之量測的實例點。兩個信號位於FOS相對於S1-S2之曲線之相對較陡部分上,且因此該兩個信號對由微影程序中之一或多個誤差造成的S1-S2之任何改變相對敏感。該兩個信號之間的差56係與由微影程序造成之S1-S2成比例(在無偏置的情況下)。
在一實施例中,方法適於允許同時地量測1)第二週期性組件44與第一週期性組件42之間的疊對偏移,及2)目標結構中標稱相等地隔開之特徵之間的分離距離之偏差(例如S1-S2)。在一實施例中,方法包含運用在第一波長下居中之第一頻帶中之量測輻射來照明目標40及運用在第二波長下居中之第二頻帶中之量測輻射來照明該目標。可同時地或在不同時間執行運用第一頻帶及第二頻帶中之量測輻射之照明。在一實施例中,第一波長係在650奈米至750奈米之範圍內,視情況為約700奈米,且第二波長係在400奈米至450奈米之範圍內,視情況為約425奈米。在此方法中,與由自目標結構繞射產生的偵測到之第一頻帶之量測輻射相比,由自目標結構繞射產生的偵測到之第二頻帶之量測輻射平均來自高階繞射。
在一實施例中,由自目標結構高於零階之繞射產生的偵測到之第一 頻帶之量測輻射係主要來自一階繞射。由自目標結構高於零階之繞射產生的偵測到之第二頻帶之量測輻射包含來自二階繞射之輻射。
本發明人已發現,一階繞射輻射對標稱相等地隔開之特徵(例如節距遊動)之間的分離距離之偏差(例如S1-S2)敏感,且實質上與第二週期性組件44與第一週期性組件42之間的疊對偏移(OV)之變化無關。此類型之實例行為在圖23中加以描繪且在上文加以論述。本發明人已進一步發現,二階繞射輻射既對標稱相等地隔開之特徵(例如節距遊動)之間的分離距離之偏差(例如S1-S2)敏感,又對第二週期性組件44與第一週期性組件42之間的疊對偏移(OV)之變化敏感。圖27中描繪此類型之實例行為。與其中在S1-S2之任何固定值下信號(垂直軸線)並不隨著疊對偏移(OV)實質上變化的圖23形成對比,圖27展示針對S1-S2之大多數固定值,二階差分信號(SODS)(其對應於使用自相對偏置之目標結構散射的二階繞射輻射之差分量測)確實隨著疊對偏移(OV)實質上變化。針對疊對偏移(OV)之大多數固定值,二階信號(SODS)亦隨著S1-S2顯著變化。
根據以上內容,在第一週期性組件42係藉由經組態以形成標稱地彼此隔開共同分離距離的三個或多於三個特徵之程序而形成的實施例中,偵測到之第一頻帶之量測輻射係用以量測由該程序中之一或多個誤差造成的分離距離之偏差(例如S1-S2)。偵測到之第二頻帶之量測輻射係用以量測1)由程序中之一或多個誤差造成的分離距離之偏差(例如S1-S2);及2)由程序中之一或多個誤差造成的第一週期性組件42與第二週期性組件44之間的疊對偏移之組合。可接著使用偵測到之第二頻帶之量測輻射來獲得第一週期性組件42與第二週期性組件44之間的疊對偏移(OV),且使用偵測到之第一頻帶之量測輻射來量測分離距離之偏差(例如S1-S2)。方法藉此 允許以準確且高效方式自同一目標40(或目標之群組,例如一對目標40)獨立獲得分離距離之偏差(例如S1-S2)及疊對偏移(OV)兩者。如上文所論述,可使用一或多對相對偏置之目標結構來改良敏感度。
在一實施例中,方法包含量測包含第一週期性組件之第一目標結構,該第一週期性組件係藉由經組態以形成標稱地彼此隔開共同分離距離的三個或多於三個特徵之程序而形成。該程序在第一指向上偏置以便標稱地形成第一分離距離S1與第二分離距離S2之交替序列,其中S1-S2=△d。△d經選擇為使得使用偵測到之第二頻帶之量測輻射進行的第一週期性組件42與第二週期性組件44之間的疊對誤差之量測之敏感度在S1-S2=0時較大。
在一實施例中,方法進一步包含量測包含第一週期性組件42之第二目標結構,該第一週期性組件42係藉由與用以形成第一目標結構之第一週期性組件42之程序相同的程序而形成,惟該程序在與第一指向相對之第二指向上偏置以便標稱地形成第一分離距離S1與第二分離距離S2之交替序列除外,其中S1-S2=-△d。以此方式,可獲得其中偏置△d抵消之差分信號。此信號(SODS)相對於疊對偏移及S1-S2之變化在圖27中加以描繪。
圖27描繪疊對偏移之敏感度如何依據S1-S2而變化(如由在平行於疊對偏移(OV)軸線之方向上曲線之陡度之變化所指示)。藉由選擇對應於在平行於疊對偏移(OV)軸線之方向上曲線之陡部分的偏置△d,有可能在疊對偏移(OV)之量測中達成高敏感度。
在一實施例中,方法包含針對△d之複數個不同值,量測或估計第一週期性組件42與第二週期性組件44之間的疊對誤差之量測之敏感度。在後續步驟中,使用具有基於經量測或估計之敏感度所選擇的△d之值之目 標結構來量測疊對誤差(例如以便達成相對高敏感度)。
在一實施例中,方法包含:針對△d之複數個不同值:1)量測或估計第一週期性組件42與第二週期性組件44之間的疊對誤差之量測之敏感度;及2)量測或估計分離距離之偏差(例如S1-S2)之量測之敏感度。此實施例之方法進一步包含使用具有基於步驟(1)及(2)中所量測或估計之敏感度所選擇的△d之值之目標結構來量測疊對偏移及分離距離之偏差(例如以便達成對疊對偏移之量測及分離距離之偏差之量測兩者之敏感度的理想平衡)。
可使用以下條項進一步描述實施例:
1.一種量測一器件製程之一參數之方法,該方法包含:藉由運用量測輻射照明一基板上之一目標且使用一光學設備以偵測由該目標散射之該量測輻射來量測該目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;且該光學設備接收由該量測輻射自該目標結構繞射產生的輻射,該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階。
2.如條項1之方法,其中該第一週期性組件與該第二週期性組件之一組合之節距長於該第一週期性組件單獨之一節距且長於該第二週期性組件單獨之一節距。
3.如條項1或2之方法,其中該第一週期性組件及該第二週期性組件提供於同一層中,或提供於多個層中,該第一週期性組件與該第二週期性組件在垂直於該等層中之每一者之一平面的一方向上彼此重疊。
4.如條項3之方法,其中該第一週期性組件包含一光柵且該第二週期性組件包含該光柵之一部分被移除所在的週期性區。
5.如條項1或2之方法,其中該第一週期性組件提供於一第一層中且該第二週期性組件提供於一第二層中,該第二層在該第一層上方或下方。
6.如任何前述條項之方法,其中該第一週期性組件及該第二週期性組件在不同時間形成、使用不同微影程序而形成,或既在不同時間形成又使用不同微影程序而形成。
7.如任何前述條項之方法,其中由該光學設備接收之該輻射用以量測表示該目標結構中之一不對稱性程度之一參數,該不對稱性引起自該目標結構繞射之量測輻射中之相對或不同繞射階之間的一差。
8.如任何前述條項之方法,其中:該第一週期性組件係藉由經組態以形成標稱地彼此隔開一共同分離距離的三個或多於三個特徵之一程序而形成;且該方法包含量測該目標結構以獲得由該程序中之一或多個誤差造成的該等分離距離之一偏差。
9.如條項8之方法,其中該第一週期性組件與該第二週期性組件之一組合之節距在存在該等分離距離之一偏差時比在不存在該等分離距離之一偏差時長。
10.如條項9之方法,其中該目標之該量測係使得與在因為不存在該等分離距離之一偏差、所以該組合之節距並不較長時相比,在歸因於該等分離距離之一偏差之該存在而使該組合之節距較長時偵測到一預定高階繞射分量。
11.如條項10之方法,其中該等分離距離之該偏差包含不同分離距離之一重複序列。
12.如條項11之方法,其中不同分離距離之該重複序列包含一第一分離距離S1與一第二分離距離S2之一交替序列。
13.如條項12之方法,其中該第二週期性組件具有一節距p,該節距係由以下表達式給出:p=(n+1/2)d,其中d=(S1+S2)/2,且n係一整數。
14.如任何前述條項之方法,其中:該目標包含該等目標結構中之一第一目標結構及該等目標結構中之一第二目標結構;該等目標結構中之該第一目標結構包含一第一週期性組件,該第一週期性組件係藉由經組態以形成標稱地彼此隔開一共同分離距離的三個或多於三個特徵之一程序而形成,其中該程序在一第一指向上偏置以便標稱地形成一第一分離距離S1與一第二分離距離S2之一交替序列,其中S1-S2=△d;且該等目標結構中之該第二目標結構包含一第一週期性組件,該第一週期性組件係藉由與用以形成該等目標結構中之該第一目標結構之該第一週期性組件的該程序相同的程序而形成,惟該程序在與該第一指向相對之一第二指向上偏置以便標稱地形成一第一分離距離S1與一第二分離距離S2之一交替序列除外,其中S1-S2=-△d。
15.如任何前述條項之方法,其中該第一週期性組件係藉由多重圖案化而形成。
16.如任何前述條項之方法,其中: 該運用量測輻射照明該目標包含運用在一第一波長下居中之一第一頻帶中之量測輻射來照明該目標及運用在一第二波長下居中之一第二頻帶中之量測輻射來照明該目標;且與由自該目標結構繞射產生的該偵測到之該第一頻帶之量測輻射相比,由自該目標結構繞射產生的該偵測到之該第二頻帶之量測輻射平均來自高階繞射。
17.如條項16之方法,其中:由自該目標結構高於零階之繞射產生的該偵測到之該第一頻帶之量測輻射係主要來自一階繞射;且由自該目標結構高於零階之繞射產生的該偵測到之該第二頻帶之量測輻射包含來自二階繞射之輻射。
18.如條項16或17之方法,其中該第一週期性組件係藉由經組態以形成標稱地彼此隔開一共同分離距離的三個或多於三個特徵之一程序而形成;該偵測到之該第一頻帶之量測輻射係用以量測由該程序中之一或多個誤差造成的該等分離距離之一偏差;且該偵測到之該第二頻帶之量測輻射係用以量測1)由該程序中之一或多個誤差造成的該等分離距離之該偏差;及2)由該程序中之一或多個誤差造成的該第一週期性組件與該第二週期性組件之間的一疊對偏移之一組合。
19.如條項18之方法,其包含使用該偵測到之該第二頻帶之量測輻射來獲得該第一週期性組件與該第二週期性組件之間的該疊對偏移,且使用該偵測到之該第一頻帶之量測輻射來量測該等分離距離之該偏差。
20.如條項18或19之方法,其中該方法包含:量測該等目標結構中之一第一目標結構,該第一目標結構包含一第一週期性組件,該第一週期性組件係藉由經組態以形成標稱地彼此隔開一共同分離距離的三個或多於三個特徵之一程序而形成,其中該程序在一第一指向上偏置以便標稱地形成一第一分離距離S1與一第二分離距離S2之一交替序列,其中S1-S2=△d;且△d經選擇為使得使用該偵測到之該第二頻帶之量測輻射進行的該第一週期性組件與該第二週期性組件之間的一疊對誤差之一量測之一敏感度在S1-S2=0時較大。
21.如條項20之方法,其進一步包含:量測該等目標結構中之一第二目標結構,該第二目標結構包含一第一週期性組件,該第一週期性組件係藉由與用以形成該等目標結構中之該第一目標結構之該第一週期性組件的該程序相同的程序而形成,惟該程序在與該第一指向相對之一第二指向上偏置以便標稱地形成一第一分離距離S1與一第二分離距離S2之一交替序列除外,其中S1-S2=-△d。
22.如條項20或21之方法,其包含:針對△d之複數個不同值量測或估計該第一週期性結構與該第二週期性結構之間的一疊對誤差之該量測之該敏感度;及使用具有基於該等經量測或估計之敏感度所選擇的△d之一值之一目標結構來量測該疊對誤差。
23.如條項20或21之方法,其包含:針對△d之複數個不同值:1)量測或估計該第一週期性組件與該第二週期性組件之間的一疊對誤差之該量測之該敏感度;及2)量測或估計該等 分離距離之一偏差之一量測之敏感度;及使用具有基於步驟(1)及(2)中所量測或估計之該等敏感度所選擇的△d之一值之一目標結構來量測該疊對偏移及該等分離距離之該偏差。
24.一種度量衡設備,其經組態以執行如任何前述條項之方法。
25.一種包含一目標的用於量測一器件製程之一參數之基板,該量測係藉由運用量測輻射照明該目標且偵測由該目標散射之量測輻射來進行,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;該第一週期性組件提供於一第一層中且該第二週期性組件提供於一第二層中,該第二層在該第一層上方或下方;且該第二週期性組件經組態使得該第一週期性組件與該第二週期性組件之一組合之節距長於該第一週期性組件單獨之一節距且長於該第二週期性組件單獨之一節距。
26.一種用於一量測程序中之器件製造中之目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;該第一週期性組件提供於一第一層中且該第二週期性組件提供於一第二層中,該第二層在該第一層上方或下方;且該第二週期性組件經組態使得該第一週期性組件與該第二週期性組件之一組合之節距長於該第一週期性組件單獨之一節距且長於該第二週期性組件單獨之一節距。
27.一種用於量測一器件製程之一參數之度量衡設備,該度量衡設 備包含:一基板,其包含一目標;及一光學設備,其用於藉由運用量測輻射照明該目標且偵測由該目標散射之該量測輻射來量測該目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;且該光學設備經組態以接收由該量測輻射自該目標結構繞射產生的輻射,該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階。
28.一種器件製造系統,其包含:一器件製造設備,其經組態以對一基板執行一器件製程;及如條項27之度量衡設備,其經組態以量測該器件製程之一參數,其中:該器件製造設備經組態以在一後續器件製程中使用由該度量衡設備量測之一參數。
29.一種器件製造方法,其包含:對一基板執行一器件製程;使用如條項1至23中任一項之方法以量測該器件製程之一參數;及使用該經量測參數以修改一後續器件製程之一執行。
儘管在本文中可特定地參考微影設備在IC製造中之使用,但應理解,本文中所描述之微影設備可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器 (LCD)、薄膜磁頭,等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文中所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理多於一次,例如以便產生多層IC,使得本文中所使用之術語基板亦可指已經含有多個經處理層之基板。
儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,但應瞭解,本發明可用於其他應用(例如壓印微影)中,且在內容背景允許之情況下不限於光學微影。在壓印微影中,圖案化器件中之構形(topography)界定產生於基板上之圖案。可將圖案化器件之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。
本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如具有在5奈米至20奈米之範圍內之波長)、軟X射線,以及粒子束(諸如離子束或電子束)。
術語「透鏡」在內容背景允許的情況下可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
對特定實施例之前述描述將因此充分地揭露本發明之一般性質:在 不脫離本發明之一般概念的情況下,其他人可藉由應用熟習此項技術者所瞭解之知識針對各種應用而易於修改及/或調適此類特定實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及指導,此類調適及修改意欲在所揭示之實施例之等效者的涵義及範圍內。應理解,本文之措辭或術語係出於描述而非限制之目的,使得本說明書之術語或措辭待由熟習此項技術者按照該等教示及指導進行解譯。
本發明之廣度及範疇不應受上述例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。

Claims (14)

  1. 一種量測一器件製程之一參數之方法,該方法包含:藉由運用量測輻射照明一基板上之一目標且使用一光學設備以偵測由該目標散射(scattered)之該量測輻射來量測該目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;且該光學設備經組態以接收由該量測輻射自該目標結構繞射產生的輻射,該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階,其中該第一週期性組件包含一光柵且該第二週期性組件包含該光柵之一部分被移除的週期性區。
  2. 如請求項1之方法,其中該第一週期性組件與該第二週期性組件之一組合之節距長於該第一週期性組件單獨之一節距且長於該第二週期性組件單獨之一節距。
  3. 如請求項1之方法,其中該第一週期性組件及該第二週期性組件提供於同一層中或提供於多個層中,該第一週期性組件與該第二週期性組件在垂直於該等層中之每一者之一平面的一方向上彼此重疊。
  4. 如請求項1之方法,其中該第一週期性組件提供於一第一層中且該第二週期性組件提供於一第二層中,該第二層在該第一層上方或下方。
  5. 如請求項1之方法,其中該第一週期性組件及該第二週期性組件在不同時間形成、使用不同微影程序而形成,或既在不同時間形成又使用不同微影程序而形成。
  6. 如請求項1之方法,其中由該光學設備接收之該輻射用以量測表示該目標結構中之一不對稱性程度之一參數,該不對稱性引起自該目標結構繞射之量測輻射中之相對或不同繞射階之間的一差。
  7. 如請求項1之方法,其中:該第一週期性組件係藉由經組態以形成標稱地彼此隔開一共同分離距離的三個或多於三個特徵之一程序而形成;且該方法包含量測該目標結構以獲得由該程序中之一或多個誤差造成的該等分離距離之一偏差。
  8. 如請求項7之方法,其中該第一週期性組件與該第二週期性組件之一組合之節距在存在該等分離距離之一偏差時比在不存在該等分離距離之一偏差時長。
  9. 如請求項8之方法,其中該目標之該量測係使得與在因為不存在該等分離距離之一偏差、所以該組合之節距並不較長時相比,歸因於該等分離距離之一偏差之該存在而使在該組合之節距較長時偵測到一預定高階繞射分量。
  10. 如請求項9之方法,其中該等分離距離之該偏差包含不同分離距離之一重複序列。
  11. 如請求項10之方法,其中不同分離距離之該重複序列包含一第一分離距離S1與一第二分離距離S2之一交替序列。
  12. 如請求項1之方法,其中:該目標包含該等目標結構中之一第一目標結構及該等目標結構中之一第二目標結構;該等目標結構中之該第一目標結構包含一第一週期性組件,該第一週期性組件係藉由經組態以形成標稱地彼此隔開一共同分離距離的三個或多於三個特徵之一程序而形成,其中該程序在一第一指向上偏置以便標稱地形成一第一分離距離S1與一第二分離距離S2之一交替序列,其中S1-S2=△d;且該等目標結構中之該第二目標結構包含一第一週期性組件,該第一週期性組件係藉由與用以形成該等目標結構中之該第一目標結構之該第一週期性組件的該程序相同的程序而形成,惟該程序在與該第一指向相對之一第二指向上偏置以便標稱地形成一第一分離距離S1與一第二分離距離S2之一交替序列除外,其中S1-S2=-△d。
  13. 如請求項1之方法,其中該第一週期性組件係藉由多重圖案化而形成。
  14. 一種用於量測一器件製程之一參數之度量衡設備,該度量衡設備包含:一基板,其包含一目標;及一光學設備,其用於藉由運用量測輻射照明該目標且偵測由該目標散射之該量測輻射來量測該目標,其中:該目標包含具有一第一週期性組件及一第二週期性組件之一目標結構;且該光學設備經組態以接收由該量測輻射自該目標結構繞射產生的輻射,該所接收輻射包含將自該量測輻射自該第一週期性組件單獨繞射未接收到且自該量測輻射自該第二週期性組件單獨繞射亦未接收到的至少一個繞射階,其中該第一週期性組件包含一光柵且該第二週期性組件包含該光柵之一部分被移除的週期性區。
TW106138705A 2016-11-10 2017-11-09 量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法 TWI665529B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP16198272.3A EP3321738A1 (en) 2016-11-10 2016-11-10 Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
??16198272.3 2016-11-10

Publications (2)

Publication Number Publication Date
TW201830160A TW201830160A (zh) 2018-08-16
TWI665529B true TWI665529B (zh) 2019-07-11

Family

ID=57286340

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106138705A TWI665529B (zh) 2016-11-10 2017-11-09 量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法

Country Status (6)

Country Link
US (1) US10747122B2 (zh)
EP (1) EP3321738A1 (zh)
KR (1) KR102248135B1 (zh)
CN (2) CN109937383B (zh)
TW (1) TWI665529B (zh)
WO (1) WO2018086968A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3451060A1 (en) * 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
US11852981B2 (en) * 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Frequency-picked methodology for diffraction based overlay measurement
TWI786554B (zh) * 2020-02-27 2022-12-11 台灣積體電路製造股份有限公司 疊對誤差量測方法及疊對誤差量測結構
CN111504210B (zh) * 2020-04-01 2021-07-20 武汉大学 一种用于节距移动的测量基底及其制备方法、测量方法
US20220005668A1 (en) * 2020-07-01 2022-01-06 Kla Corporation Target and algorithm to measure overlay by modeling back scattering electrons on overlapping structures
US11726410B2 (en) * 2021-04-20 2023-08-15 Kla Corporation Multi-resolution overlay metrology targets
WO2023069095A1 (en) * 2021-10-21 2023-04-27 Kla Corporation Induced displacements for improved overlay error metrology
US11796925B2 (en) 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070229837A1 (en) * 2006-03-29 2007-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US20080311344A1 (en) * 2007-06-13 2008-12-18 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2010007010A1 (en) * 2008-07-18 2010-01-21 Asml Netherlands B.V. Scatterometry method and measurement system for lithography

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
JP6033890B2 (ja) * 2012-02-21 2016-11-30 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法
KR101918251B1 (ko) * 2014-06-02 2018-11-13 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟들을 디자인하는 방법, 메트롤로지 타겟들을 갖는 기판들, 오버레이를 측정하는 방법, 및 디바이스 제조 방법
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070229837A1 (en) * 2006-03-29 2007-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US20080311344A1 (en) * 2007-06-13 2008-12-18 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2010007010A1 (en) * 2008-07-18 2010-01-21 Asml Netherlands B.V. Scatterometry method and measurement system for lithography

Also Published As

Publication number Publication date
EP3321738A1 (en) 2018-05-16
KR20190072660A (ko) 2019-06-25
WO2018086968A1 (en) 2018-05-17
TW201830160A (zh) 2018-08-16
US10747122B2 (en) 2020-08-18
CN109937383B (zh) 2022-03-18
US20190354024A1 (en) 2019-11-21
KR102248135B1 (ko) 2021-05-06
CN114527628A (zh) 2022-05-24
CN109937383A (zh) 2019-06-25

Similar Documents

Publication Publication Date Title
TWI665529B (zh) 量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法
TWI537688B (zh) 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法
TWI635369B (zh) 檢測裝置、檢測方法、微影裝置、圖案化器件及製造方法
JP6251386B2 (ja) クリティカルディメンション関連特性を決定する方法、検査装置およびデバイス製造方法
TWI618990B (zh) 用於量測微影裝置之聚焦效能之方法及圖案化器件及裝置及器件製造方法
CN111133384B (zh) 用于确定图案化过程参数的方法
TWI673576B (zh) 度量衡方法及裝置及相關電腦產品
KR20110102486A (ko) 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀, 및 디바이스 제조 방법
TWI597580B (zh) 判定劑量之方法、檢測裝置、圖案化器件、基板及器件製造方法
US10871367B2 (en) Substrate, metrology apparatus and associated methods for a lithographic process
TW201921179A (zh) 用於在小度量衡目標上對準之拍頻圖案
TW202122932A (zh) 用於推斷例如聚焦之處理參數之方法與相關聯之設備及製造方法
US11733615B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method