TWI662095B - 化學機械拋光(cmp)組合物及抑制多晶矽移除速率之方法 - Google Patents

化學機械拋光(cmp)組合物及抑制多晶矽移除速率之方法 Download PDF

Info

Publication number
TWI662095B
TWI662095B TW105137116A TW105137116A TWI662095B TW I662095 B TWI662095 B TW I662095B TW 105137116 A TW105137116 A TW 105137116A TW 105137116 A TW105137116 A TW 105137116A TW I662095 B TWI662095 B TW I662095B
Authority
TW
Taiwan
Prior art keywords
polishing
substrate
composition
surfactant
ppm
Prior art date
Application number
TW105137116A
Other languages
English (en)
Other versions
TW201708454A (zh
Inventor
凱文 摩根伯格
威廉 沃德
蔡明蒔
塞沙洛 法蘭西斯可 迪瑞
Original Assignee
卡博特微電子公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 卡博特微電子公司 filed Critical 卡博特微電子公司
Publication of TW201708454A publication Critical patent/TW201708454A/zh
Application granted granted Critical
Publication of TWI662095B publication Critical patent/TWI662095B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本發明提供一種適用於拋光含氮化矽之基材同時抑制多晶矽自該基材移除之化學機械拋光(CMP)組合物。該組合物包含懸浮於含表面活性劑之酸性含水載劑中之研磨顆粒,該表面活性劑包括炔二醇、炔二醇乙氧化物或其組合物。本發明亦揭示以該組合物拋光半導體基材之方法。

Description

化學機械拋光(CMP)組合物及抑制多晶矽移除速率之方法
本發明係關於化學機械拋光(CMP)組合物及方法。更具體言之,本發明係關於拋光半導體基材同時抑制多晶矽自該等基材移除之方法。
適用於化學機械拋光基材表面之組合物及方法為本技藝已悉知。適用於CMP(化學機械拋光)半導體基材(例如積體電路)表面之拋光組合物(亦稱拋光漿料、CMP漿料及CMP組合物)一般包含研磨劑、各種添加劑化合物、及其類似物。
一般,CMP涉及同時進行表面之化學及機械研磨,例如,研磨上覆第一層而使得其上形成有第一層之非平面形第二層表面露出。一種此種方法描述於Beyer等人之美國專利第4,789,648號中。簡言之,Beyer等人揭示一種使用拋光墊及漿料以較第二層快之速率移除第一層直到材料上覆第一層之表面與所覆蓋第二層之上表面共平面為止之CMP方法。化學機械拋光之更詳細解釋可見於美國專利第4,671,851號、第4,910,155號及第4,944,836號中。
在習知的CMP技術中,基材載體或拋光頭係安裝於載體總成上並經置於與CMP裝置中之拋光墊接觸。該載體總成對基材提供可控壓力,迫使該基材壓向拋光墊。該墊及載體與其所附接基材彼此相對移 動。該墊及基材之相對移動使得基材表面發生研磨而自該基材表面移除一部分材料,由此將該基材拋光。基材表面之拋光一般進而藉助於拋光組合物之化學活性(例如藉由存於CMP組合物中之氧化劑、酸、鹼、或其他添加劑)及/或懸浮於該拋光組合物中之研磨劑之機械活性。一般之研磨材料包括二氧化矽、氧化鈰、氧化鋁、氧化鋯及氧化錫。
例如,Neville等人之美國專利第5,527,423號描述一種藉由使金屬層表面與包含懸浮於水性介質中之高純度微細金屬氧化物顆粒之拋光漿料接觸而化學機械地拋光一金屬層之方法。或者,可將研磨材料併入拋光墊。Cook等人之美國專利第5,489,233號揭示使用具有表面紋理或圖案之拋光墊,且Bruxvoort等人之美國專利第5,958,794號揭示一種固定之研磨拋光墊。
半導體晶圓一般包括於其上已形成複數個電晶體之基材(諸如矽或砷化鎵)。藉由基材中之圖案化區域及基材上之層將電晶體化學物理性連接至該基材。該等電晶體及層係藉由主要包括若干形式之二氧化矽(SiO2)之層階間介電層(ELD)分離。該等電晶體係透過使用習知之多層階互聯結構互連。一般之多層階互連結構包括由一種或多種以下物質組成之堆疊薄膜:鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、鋁-銅(Al-Cu)、鋁-矽(Al-Si)、銅(Cu)、鎢(W)、經摻雜之多晶矽(聚-Si)、及其各種組合。此外,電晶體或電晶體之群通常係透過使用經絕緣材料(諸如二氧化矽、氮化矽及/或多晶矽)填充之溝渠彼此分離。
形成互連結構之傳統技術已藉由Chow等人之美國專利第4,789,648號中所揭示之方法改良,該專利係關於一種於基材上製得共平面多層階金屬/絕緣膜之方法。獲得吾人廣泛興趣且製得多層階互連結構之該技術係採用化學機械拋光以在裝置製造之各種階段中使金屬層或薄膜表面平整化。
儘管許多悉知之CMP漿料組合物可適用於有限之目的,然而習知方法對晶圓製造中所使用絕緣材料易顯示無法接受之拋光速率及對應之選擇性程度。此外,悉知之拋光漿料易於得到對下伏膜之不良之膜移除特徵或產生可導致不良製造產率之有害之膜腐蝕。
Chen等人共有之美國專利申請案號11/374,238描述可拋光氮化矽基材之包含與某些酸性組分(例如丙二酸及胺基甲酸之組合;錫酸鹽;尿酸;苯乙酸;或丙二酸、胺基甲酸及硫酸鹽之組合)組合之研磨劑之具有1至6之pH之新穎拋光組合物。
Dysard等人共有之美國專利申請案號11/448,205描述可拋光氮化矽基材之具有酸性pH且包含至少一種具有介於1至4.5之範圍內之pKa之添加劑之新穎拋光組合物。
隨著積體電路裝置之技術進展,傳統材料正以新穎且不同的方式予以使用以達到先進積體電路所需要性能之程度。具體言之,以不同組合形式使用氮化矽、氧化矽及多晶矽可得到新穎且甚至更複雜之裝置組態。一般,結構複雜性及性能特徵隨不同應用而異。在一些情況下,適用於有效移除基材之一種組分(諸如氮化矽)之條件可能非所期望地導致過度移除另一種組分(諸如多晶矽)。
因此,仍需要一種CMP組合物及方法以實現於許多IC裝置應用中之氮化矽、氧化矽或鎢之可接受移除速率,同時可抑制多晶矽之移除。本發明提供此種經改良之拋光方法及組合物。本發明之該等及其他優點、以及額外之發明特徵可自文中所提供之本發明描述顯而易見。
本發明提供一種適用於選擇性拋光半導體基材以自該基材表面移除一組分(諸如氮化矽、氧化矽或鎢)同時抑制多晶矽移除之CMP組合物。本發明之CMP組合物包含懸浮於含有包括炔二醇及/炔二醇乙 氧化物(較佳炔二醇)之表面活性劑之酸性含水載劑中之研磨顆粒。在較佳之實施例中,本發明之CMP組合物包括0.01至15重量%之懸浮於含有10至10,000ppm表面活性劑之酸性含水載劑中之研磨顆粒。在一些較佳之實施例中,該等研磨顆粒包括膠態二氧化矽。較佳,該酸性含水載劑具有不超過6(例如1至4,或2至3)之pH值。該表面活性劑較佳係以介於20至1,000ppm之範圍內之含量存在。若需要,本發明之該等組合物可包括其他添加劑物質,諸如羧酸物質(例如丙二酸及/或甘胺酸)及/或有機或無機鹽(例如硫酸鉀)。例如,該組合物可包括10至100,000ppm(0.001至10重量%)之至少一種羧酸物質。CMP組合物亦可包含CMP組合物中所使用之其他習知之添加劑物質,諸如殺生物劑、黏度調節劑、腐蝕抑制劑、螯合劑、有機聚合物、其他表面活性劑、氧化劑、電子傳遞劑、及其類似物,其許多實例為相關CMP領域所悉知。
在另一個態樣中,本發明提供一種拋光基材以優先於多晶矽而移除一組分(諸如氮化矽、氧化矽或鎢)之方法。該方法包括利用本發明之組合物研磨基材表面,較佳在過氧化氫存在下。例如,該研磨可藉由使該基材之表面接觸拋光墊及CMP組合物,並使該拋光墊及基材之間發生相對移動,同時維持一部分CMP組合物接觸介於該墊及該基材之間之表面一段足以將氮化矽自該表面研磨之時間而完成。
相較於利用不含炔二醇或炔二醇乙氧化物表面活性劑之實質相同調配物所得到之結果,本發明之CMP組合物提供有效移除氮化矽、氧化矽或鎢之速率同時意外地抑制多晶矽之移除。
圖1說明可用於本發明之組合物及方法之兩種炔二醇表面活性劑之結構,以及比較用炔單醇表面活性劑之結構。
圖2顯示藉由利用本發明之組合物拋光多晶矽毯覆式晶圓獲得之 多晶矽移除相對於表面活性劑濃度之作圖。
圖3顯示藉由利用本發明之組合物(實例D)及3種比較組合物(實例A、實例B、及實例C)拋光所示物質之毯覆式晶圓獲得之對TEOS-SiO2(TS)、氮化矽(SN)、鎢(W)、及多晶矽(PS)之移除速率相對於表面活性劑濃度之柱狀圖。
圖4顯示相較於利用不含炔二醇型表面活性劑之CMP組合物獲得之結果,藉由利用含有不同表面活性劑物質之CMP組合物拋光多晶矽毯覆式晶圓獲得之移除多晶矽速率抑制百分比之柱狀圖。
本發明之CMP組合物包括懸浮於含表面活性劑之酸性含水載劑中之研磨顆粒,該表面活性劑包括炔二醇及/或炔二醇乙氧化物。
較佳之炔二醇表面活性劑包括式(I)之炔二醇化合物或其每莫耳炔二醇化合物含有1至40莫耳(較佳4至30莫耳)伸乙基氧基單元之乙氧化物。
其中R1及R2各自獨立地為H或甲基;且R3及R4各自獨立地為C1至C22烷基(例如直鏈或分支烷基)。在一些較佳之實施例中,R1及R2皆為甲基。較佳,R3及R4之至少一者為分支脂族烴部分(例如2-甲基丙基)。如文中所採用之術語「乙氧化物」係指其中式(I)之一個或兩個OH基係由(CH2CH2O)n-CH2H2OH基置換且其中「n」為0或更大之式(I)化合物,且就該化合物中之各乙氧化鏈而言,每莫耳二醇化合物之總EO莫耳數為(n+1)總和。在一些較佳之實施例中,表面活性劑包括炔二 醇化合物而非炔二醇乙氧化物。
圖1說明可適用於本發明組合物及方法之式(I)之商標為SURFYNOL®之炔二醇表面活性劑之兩個實例,亦即獲自Air Products and Chemicals,Inc.之2,4,7,9-四甲基癸-5-炔-4,7-二醇(SURFYNOL® 104)及2,4,7-三甲基十八烷-5-炔-4,7-二醇(SURFYNOL® DF110D),以及比較用炔單醇表面活性劑,亦即3,5-二甲基己-1-炔-3-醇(SURFYNOL® 61)。另一適宜之含炔二醇之表面活性劑為2,5,8,11-四甲基十二烷-6-炔-5,8-二醇(SURFYNOL® 124)。適宜含乙氧化炔二醇化合物之表面活性劑之非限制性實例包括SURFYNOL® 440(每莫耳二醇經3.5莫耳EO乙氧化之2,4,7,9-四甲基癸-5-炔-4,7-二醇)、SURFYNOL® 465(每莫耳二醇經10莫耳EO乙氧化之2,4,7,9-四甲基癸-5-炔-4,7-二醇)、SURFYNOL® 485(每莫耳二醇經30莫耳EO乙氧基化之2,4,7,9-四甲基癸-5-炔-4,7-二醇);及DYNOL® 604(每莫耳二醇經4莫耳EO乙氧基化之2,5,8,11-四甲基十二烷-6-炔-5,8-二醇)。
任何適宜研磨顆粒均可使用於本發明之CMP組合物及方法。如文中所採用之術語「研磨劑」及「研磨顆粒」可互換使用,其係指可研磨包括半導體材料及諸如製造IC裝置中所使用之一種或多種其他材料(諸如金屬、介電材料、及其類似物)之基材晶圓之表面之顆粒物質。該等研磨劑之非限制性實例包括二氧化矽(矽石)、氧化鋁(礬土)、二氧化鈦(氧化鈦)、氧化鈰(二氧化鈰)、鋯氧化物(氧化鋯)及其類似物。膠態二氧化矽為較佳之研磨劑。該研磨劑較佳係以介於0.01至15重量百分比(重量%)(例如0.05至8重量%或0.1至5重量%)之範圍內之濃度存於拋光組合物中。在一些較佳實施例中,該研磨劑包括具有如藉由本技藝中悉知之雷射光散射技術測定之介於1nm至500nm(更佳10nm至200nm)之範圍內之平均粒徑之膠態二氧化矽顆粒。
所期望之研磨劑係懸浮於拋光組合物中,更具體言之係懸浮於 該拋光組合物之含水載劑組分中。當研磨劑係懸浮於拋光組合物中時,其較佳呈膠態穩定。術語「膠體」係指研磨顆粒於液體載劑中之懸浮液。「膠態穩定性」係指該懸浮液可經時維持。在本發明之內容中,在將二氧化矽置於100mL量筒內並在無振盪下靜置2小時之時間下,若該量筒底部50mL中之顆粒濃度([B],按g/mL計)與該量筒頂部50mL中之顆粒濃度([T],按g/mL計)間之差除以研磨組合物中之顆粒總濃度([C],按g/mL計)小於或等於0.5(亦即([B]-[T])/[C]0.5),則研磨劑懸浮液視為膠態穩定。([B]-[T])/[C]之值宜小於或等於0.3,且較好小於或等於0.1。
文中及附屬申請專利範圍中所採用之術語「膠態二氧化矽」係指藉由Si(OH)4之縮合聚合製得之二氧化矽。例如,該前驅物Si(OH)4可藉由高純度烷氧基矽烷之水解,或藉由矽酸鹽水溶液之酸化獲得。該膠態二氧化矽可根據美國專利5,230,833製得或可以多種商業上可獲得之產品形式獲得,諸如Fuso PL-1、PL-2及PL-3產品,及Nalco 1050、2327及2329產品,以及其他獲自DuPont、Bayer、Applied Research、Nissan Chemical、及Clariant之類似產品。
含水載劑較佳包括水,或(基本上)由水(例如去離子水)組成,其含有可以足以提供酸性pH,較佳不超過pH6(例如於1至4範圍內之pH)之濃度溶於其中之酸性物質。該酸性物質可為酸或緩衝物質(例如酸、酸性鹽、或酸及鹽之混合物)。適用於CMP組合物中之酸及緩衝物質為本技術所悉知。視情況,該含水載劑可包括水溶性或水不混溶性有機物質,諸如醇、二醇、及其類似物。
此外,含水載劑可包括通常包含於CMP組合物中之其他功能物質,諸如羧酸物質、羧酸鹽、無機鹽、腐蝕抑制劑、殺生物劑、黏度調節劑、螯合劑、及其類似物,其許多實例為CMP領域所悉知。
在一些較佳實施例中,該CMP組合物包含以基於總組合物重量 計之介於0.001至10重量%(10ppm至100,000ppm;例如100至5,000ppm,或500至2,000ppm)之範圍內之濃度於含水載劑中之羧酸物質。
適宜之羧酸物質之非限制性實例包括單羧酸(例如苯甲酸、苯基乙酸、1-萘甲酸、2-萘甲酸、乙醇酸、甲酸、乳酸、扁桃酸、及其類似物)、聚羧酸(例如草酸、丙二酸、琥珀酸、己二酸、酒石酸、檸檬酸、馬來酸、富馬酸、天冬胺酸、榖胺酸、鄰苯二酸、間苯二酸、對苯二酸、1,2,3,4-丁烷四甲酸、衣康酸、及其類似物)、及胺基酸(諸如甘胺酸)。
本發明之組合物及方法提供在寬pH範圍內之有用之氮化矽移除速率、研磨劑濃度及表面活性劑濃度,同時意外地抑制多晶矽之移除。在一些特別佳之實施例中,當在過氧化氫(1重量%)存在下,利用Epic® D100拋光墊(Cabot Microelectronics Corporation,Aurora,IL)於桌上型CMP拋光機上以2磅/平方英寸(psi)之下壓力、115轉數/分鐘(rpm)之壓盤轉速、60rpm之載體轉速、及125毫升/分鐘(mL/min)之拋光漿料流速拋光氮化矽毯覆式晶圓時,氮化矽移除速率為250埃/分鐘(Å/min)或更大。令人驚訝地,在相同條件下藉由拋光多晶矽晶圓而獲得之多晶矽移除速率一般不超過氮化矽移除速率之80%,通常不超過氮化矽移除速率之70%或不超過氮化矽移除速率之60%。一般,利用本發明之CMP組合物獲得之多晶矽移除速率會較利用實質上與本發明之組合物相同但缺乏炔二醇或炔二醇乙氧化物之CMP組合物獲得之多晶矽移除速率低至少10%(較佳低至少20%、30%、40%或50%)。
本發明之拋光組合物視情況可包括一種或多種氧化劑(例如,以使得半導體表面之組分(諸如金屬組分)氧化)。適用於本發明之拋光組合物及方法之氧化劑包括(但不限於)過氧化氫、過硫酸鹽(例如單過硫酸銨、二過硫酸銨、單過硫酸鉀、及二過硫酸鉀)、過碘酸鹽(例如過碘酸鉀)、其鹽、及前述兩者或多者之組合。較佳,該氧化劑係以半 導體CMP領域中悉知之可足以使存於半導體晶圓中之一種或多種所選擇金屬或半導體材料氧化之量添加至組合物。
本發明之拋光組合物可藉由任何適宜技術製造,其許多為熟習本技術者所悉知。該拋光組合物可以分批或連續方法製得。一般,該拋光組合物可藉由將其組分以任何順序組合而製得。文中所採用之術語「組分」包括個別的成分(例如研磨劑、表面活性劑、酸、鹼、緩衝液、氧化劑、及其類似物),以及成分之任何組合。例如,可使研磨劑分散於水中,然後,添加表面活性劑及任何其他添加劑材料,且藉由可將該等組分併人拋光組合物中之任一方法進行混合。一般,使用氧化劑時,其在組合物準備用於CMP製程中之前添加至拋光組合物,例如,恰在開始拋光之前,添加該氧化劑。若需要,可於任何時間下進一步藉由添加酸或鹼調節pH。
本發明之拋光組合物亦可以濃縮物形式提供,其在使用之前,欲利用適量水性溶劑(例如水)進行稀釋。在此種實施例中,該拋光組合物濃縮物可包括多種組分,該等組分分散或溶解於水性溶劑中之量係使得在利用適量之水性溶劑稀釋該濃縮物時,拋光組合物之各組分可以介於適宜使用範圍內之量存於該拋光組合物中。
本發明亦提供一種化學機械拋光氮化矽基材之方法。該方法包括利用如文中所述之本發明拋光組合物研磨含氮化矽及多晶矽之基材之表面。
本發明之拋光組合物可用以拋光任何適宜基材,且特別適用於拋光含氮化矽、氧化矽、鎢及多晶矽之基材。
本發明之拋光組合物係特別適於與化學機械拋光裝置一起使用。一般,CMP裝置包括壓盤,其在使用時處於運動狀態且具有可源自軌道、線性及/或圓周運動之速度;接觸黏附該壓盤且運動時相對載體移動之拋光墊;及載體,其係固定欲藉由接觸該拋光墊表面且相 對其移動而拋光之基材。為了研磨至少一部分基材以拋光該基材,基材之拋光係藉由使該基材置於與拋光墊及本發明組合物接觸且然後使該拋光墊相對該基材移動而進行。
採用任一合適之拋光墊(例如拋光表面)利用本發明之拋光組合物可使一基材平整化或拋光。例如,適宜之拋光墊包括織布及不織布拋光墊、槽式或非槽式墊、多孔或非多孔墊、及其類似物。此外,適宜之拋光墊可包括密度、硬度、厚度、可壓縮性、壓縮回彈能力、及壓縮模量可變化之任何適宜聚合物。例如,適宜聚合物包括聚氯乙烯、聚氟乙烯、尼龍(nylon)、氟碳、聚碳酸酯、聚酯、聚丙烯酸酯、聚醚、聚乙烯、聚醯胺、聚胺基甲酸酯、聚苯乙烯、聚丙烯、其共形成之產品、及其混合物。
較好,該CMP裝置進一步包括就地拋光終點偵測系統,其許多為本技術所悉知。藉由分析來自工件表面反射之光或其他輻射檢查且監控拋光製程之技術為本技術所悉知。例如,該等方法描述於Sandhu等人之美國專利第5,196,353號、Lustig等人之美國專利第5,433,651號、Tang之美國專利第5,949,927號、及Birang等人之美國專利第5,964,643號中。較好,關於欲進行拋光之工件之拋光製程進展之檢查或監控可決定拋光終點,亦即決定何時終止有關特定工件之拋光製程。
以下實例進一步說明本發明,然而,當然不應以任何方式視為限制其範圍。如文中及以下實例及申請專利範圍中所採用之以每百萬份(ppm)報導之濃度係基於有關活性組分之重量除以組合物之重量(例如,毫克組分/公斤組合物)計。
實例1
此實例說明炔二醇表面活性劑濃度對多晶矽移除速率之影響。
使用包含0至500ppm之SURFYNOL® 104炔二醇表面活性劑之數 種拋光組合物以個別地化學機械拋光類似之多晶矽毯覆式晶圓(1.6平方英寸)。各拋光組合物亦包含於具有2.3之pH且含有1600ppm之甘胺酸、270ppm之丙二酸及560ppm之硫酸鉀之含水載劑中之4.8重量%膠態二氧化矽(具有40nm之平均粒徑)。拋光係在以下拋光條件下利用Epic® D100拋光墊於臺面型拋光機上進行(在各CMP組合物添加1重量%之過氧化氫):2psi之下壓力、115rpm之壓盤轉速、60rpm之載體轉速、及125mL/min之漿料饋送速率。以多晶矽移除速率相對於表面活性劑濃度作圖示於圖2中。如圖2可見,在缺乏表面活性劑下,多晶矽移除速率為2,500至3,000Å/min,且在介於100ppm至500ppm之範圍內之表面活性劑濃度存在下,降至1,500Å/min之穩定速率。
實例2
此實例說明相較於缺乏炔二醇表面活性劑之組合物,本發明拋光組合物對移除氮化矽(SN)、TEOS-SiO2(TS)、鎢(W)及多晶矽(PS)之效力。
使用包含1,000ppm之SURFYNOL® 104炔二醇表面活性劑於具有2.3之pH且含有1600ppm之甘胺酸、270ppm之丙二酸、及560ppm之硫酸鉀之含水載劑中之4.8重量%膠態二氧化矽(具有40nm之平均粒徑)之本發明之組合物以個別地化學機械拋光TEOS、氮化矽鎢、及多晶矽之毯覆式晶圓。拋光係在以下拋光條件下利用Epic® D100拋光墊於臺面型拋光機上進行(在CMP組合物添加2重量%之過氧化氫):3.5psi之下壓力、60rpm之壓盤轉速、65rpm之載體轉速、及150mL/min之漿料饋送速率。就比較目的而言,相同類型之晶圓係利用包含相同調配物但缺乏炔二醇之3種比較組合物進行拋光。實例A不包含表面活性劑。實例B使用與實例A相同但包含僅0.5重量%過氧化氫之CMP組合物。實例C包括代替炔二醇之1,000ppm之SILWET® L7280非離子表面活性劑(烷氧基化七甲基三矽氧烷表面活性劑)。
就所評估之各類型之晶圓及各組合物而言,觀測到的移除速率相對於表面活性劑濃度之圖表見於圖3。如圖3可見,實例D(本發明之組合物)對氮化矽、W、及TEOS提供與實例A及實例B相當之移除速率,然而,相較於實例A及實例B,對多晶矽之移除速率明顯減小。相反地,實例C(包含SILWET® L7280)可抑制多晶矽移除,然而同樣明顯且不期望地抑制氮化矽、鎢及TEOS移除。
實例3
此實例說明不同表面活性劑對抑制多晶矽之移除之影響。
使用包含1,000ppm之各種表面活性劑之數種拋光組合物以個別地化學機械拋光類似之多晶矽毯覆式晶圓(1.6平方英寸)。各拋光組合物亦包含於具有2.3之pH且含有1600ppm之甘胺酸、270ppm之丙二酸及560ppm之硫酸鉀之含水載劑中之4.8重量%膠態二氧化矽(具有20nm之平均粒徑)。該拋光係在以下拋光條件下利用Epic® D100拋光墊於臺面型拋光機上進行(在各CMP組合物添加1重量%之過氧化氫):2psi之下壓力、115rpm之轉盤轉速、60rpm之載體轉速、及125mL/min之漿料饋送速率。所評估之表面活性劑為SURFYNOL® 104、SURFYNOL® 440、SURFYNOL® 485、SURFYNOL® 61(比較用炔單醇)、SURFYNOL® DF110D、DYNOL® 604、及IGEPAL® CO890(烷基酚乙氧化物比較用表面活性劑)。以各表面活性劑濃度所獲得之多晶矽移除速率抑制之百分比之圖表見於圖4。該抑制係藉由比較具有表面活性劑所得到之速率與缺乏該表面活性劑所得到之速率而決定。如圖4可見,利用本發明之組合物可得到介於10%至50%或更大範圍內之多晶矽移除速率抑制,然而,IGEPAL® CO890未提供抑制且SURFYNOL® 61提供小於10%之抑制。

Claims (14)

  1. 一種化學機械拋光組合物於拋光含氮化矽之基材同時抑制多晶矽自該基材移除之用途,該組合物包含:0.01至15重量%之懸浮於含有10至10,000ppm表面活性劑之含水載劑中之研磨顆粒,該表面活性劑包括炔二醇、炔二醇乙氧基化物或其組合物;10至100,000ppm之至少一種包括丙二酸、甘胺酸或其組合物之含羧酸之添加劑;及包括硫酸鉀之無機鹽添加劑。
  2. 如請求項1之用途,其中該含水載劑具有至多10之pH。
  3. 如請求項1之用途,其中該含水載劑具有介於1至4範圍內之pH。
  4. 如請求項1之用途,其中該研磨顆粒包括膠態二氧化矽。
  5. 如請求項1之用途,其中該表面活性劑係以介於20至1,000ppm之範圍內之濃度存在。
  6. 如請求項1之用途,其中該表面活性劑包括式(I)之炔二醇化合物及/或其每莫耳炔二醇化合物包含1至40莫耳伸乙基氧基單元之乙氧化物;其中R1及R2各自獨立地為H或甲基;且R3及R4各自獨立地為C1至C22烷基。
  7. 一種拋光半導體基材以自該基材表面優先於多晶矽而移除氮化矽之方法,該方法包括利用CMP組合物研磨含氮化矽及多晶矽之基材之表面,該CMP組合物包含:0.01至15重量%之懸浮於含有10至10,000ppm表面活性劑之含水載劑中之研磨顆粒,該表面活性劑包括炔二醇、炔二醇乙氧基化物或其組合物,其中該含水載劑具有不超過6的pH;及10至100,000ppm之至少一種含羧酸之添加劑。
  8. 如請求項7之方法,其中該拋光係在過氧化氫存在下進行。
  9. 如請求項7之方法,其中CMP組合物中之該表面活性劑包括式(I)之炔二醇化合物及/或其每莫耳炔二醇化合物包含1至40莫耳伸乙基氧基單元之乙氧化物;其中R1及R2各自獨立地為H或甲基;且R3及R4各自獨立地為C1至C22烷基。
  10. 如請求項7之方法,其中該研磨係按下列步驟進行:(a)使基材表面接觸拋光墊及CMP組合物;且(b)使拋光墊及基材之間產生相對移動,而維持一部分CMP組合物接觸介於該墊及該基材之間之表面一段足以將氮化矽自該表面研磨之時間。
  11. 如請求項7之方法,其中該至少一種含羧酸之添加劑包括丙二酸、甘胺酸或其組合物。
  12. 如請求項7之方法,其中該組合物進一步包含有機或無機鹽添加劑。
  13. 如請求項12之方法,其中該鹽添加劑包括硫酸鉀。
  14. 如請求項7之方法,其中該含水載劑具有介於1至4之範圍內之pH。
TW105137116A 2009-06-22 2010-06-22 化學機械拋光(cmp)組合物及抑制多晶矽移除速率之方法 TWI662095B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21903109P 2009-06-22 2009-06-22
US61/219,031 2009-06-22

Publications (2)

Publication Number Publication Date
TW201708454A TW201708454A (zh) 2017-03-01
TWI662095B true TWI662095B (zh) 2019-06-11

Family

ID=43429744

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099120313A TWI576399B (zh) 2009-06-22 2010-06-22 化學機械拋光(cmp)組合物之用途及抑制多晶矽移除速率之方法
TW105137116A TWI662095B (zh) 2009-06-22 2010-06-22 化學機械拋光(cmp)組合物及抑制多晶矽移除速率之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW099120313A TWI576399B (zh) 2009-06-22 2010-06-22 化學機械拋光(cmp)組合物之用途及抑制多晶矽移除速率之方法

Country Status (8)

Country Link
US (1) US8691695B2 (zh)
JP (2) JP5827221B2 (zh)
KR (1) KR101477360B1 (zh)
CN (2) CN102482555B (zh)
MY (1) MY156687A (zh)
SG (2) SG10201401549SA (zh)
TW (2) TWI576399B (zh)
WO (1) WO2011005456A2 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956450B (zh) * 2011-08-16 2015-03-11 中芯国际集成电路制造(北京)有限公司 一种制作半导体器件的方法
EP2682441A1 (en) * 2012-07-06 2014-01-08 Basf Se A chemical mechanical polishing (CMP) composition comprising a non-ionic surfactant and an aromatic compound comprising at least one acid group
KR20150058302A (ko) * 2012-09-21 2015-05-28 쓰리엠 이노베이티브 프로퍼티즈 컴파니 개선된 cmp 성능을 위한 고정 연마 웹으로의 첨가제의 혼입
US9303187B2 (en) 2013-07-22 2016-04-05 Cabot Microelectronics Corporation Compositions and methods for CMP of silicon oxide, silicon nitride, and polysilicon materials
US9752057B2 (en) * 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
KR102307254B1 (ko) * 2014-02-05 2021-09-30 씨엠씨 머티리얼즈, 인코포레이티드 질화티타늄 및 티타늄/질화티타늄 제거를 억제하기 위한 cmp 방법
US9803109B2 (en) * 2015-02-03 2017-10-31 Cabot Microelectronics Corporation CMP composition for silicon nitride removal
CN106062931A (zh) * 2015-02-06 2016-10-26 嘉柏微电子材料股份公司 用于抑制氮化钛及钛/氮化钛移除的化学机械抛光方法
WO2016136342A1 (ja) * 2015-02-23 2016-09-01 株式会社フジミインコーポレーテッド 研磨用組成物
US9505952B2 (en) * 2015-03-05 2016-11-29 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity
US10711158B2 (en) 2017-09-28 2020-07-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of using them
US10508221B2 (en) 2017-09-28 2019-12-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous low abrasive silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of making and using them
US10584265B2 (en) * 2017-09-28 2020-03-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry and amine carboxylic acid compositions selective for nitride removal in polishing and methods of using them
KR20190106679A (ko) * 2018-03-07 2019-09-18 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물
US11180678B2 (en) * 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US10626298B1 (en) 2019-03-20 2020-04-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods for suppressing the removal rate of amorphous silicon

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW476985B (en) * 1999-12-28 2002-02-21 Nippon Electric Co Process for forming a metal interconnect
TW200734440A (en) * 2006-02-07 2007-09-16 Fujifilm Corp Barrier polishing liquid and chemical mechanical polishing method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JP2000109816A (ja) * 1998-10-05 2000-04-18 Okamoto Machine Tool Works Ltd 研磨剤スラリ−の調製方法
JP2005502579A (ja) 2000-12-15 2005-01-27 ワックヴォム リミテッド 新生血管形成に関連する状態を処置するための方法および組成物
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
US6979252B1 (en) * 2004-08-10 2005-12-27 Dupont Air Products Nanomaterials Llc Low defectivity product slurry for CMP and associated production method
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
JP2006202892A (ja) * 2005-01-19 2006-08-03 Jsr Corp 化学機械研磨方法
CN100587918C (zh) * 2005-11-11 2010-02-03 日立化成工业株式会社 氧化硅用研磨剂、添加液以及研磨方法
US20070209287A1 (en) * 2006-03-13 2007-09-13 Cabot Microelectronics Corporation Composition and method to polish silicon nitride
JP2007258510A (ja) * 2006-03-24 2007-10-04 Toshiba Corp 半導体装置の製造方法
JP5013732B2 (ja) * 2006-04-03 2012-08-29 Jsr株式会社 化学機械研磨用水系分散体、化学機械研磨方法、化学機械研磨用キット、および化学機械研磨用水系分散体を調製するためのキット
TW200745313A (en) * 2006-05-26 2007-12-16 Wako Pure Chem Ind Ltd Substrate etching liquid
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
JP2008117807A (ja) * 2006-10-31 2008-05-22 Fujimi Inc 研磨用組成物及び研磨方法
JP4614981B2 (ja) * 2007-03-22 2011-01-19 Jsr株式会社 化学機械研磨用水系分散体および半導体装置の化学機械研磨方法
JP2009289885A (ja) * 2008-05-28 2009-12-10 Fujifilm Corp 研磨液及び研磨方法
JP5441362B2 (ja) * 2008-05-30 2014-03-12 富士フイルム株式会社 研磨液及び研磨方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW476985B (en) * 1999-12-28 2002-02-21 Nippon Electric Co Process for forming a metal interconnect
TW200734440A (en) * 2006-02-07 2007-09-16 Fujifilm Corp Barrier polishing liquid and chemical mechanical polishing method

Also Published As

Publication number Publication date
TW201109398A (en) 2011-03-16
SG177327A1 (en) 2012-02-28
US20120094489A1 (en) 2012-04-19
JP2015159289A (ja) 2015-09-03
TW201708454A (zh) 2017-03-01
KR101477360B1 (ko) 2015-01-02
SG10201401549SA (en) 2014-06-27
KR20120049862A (ko) 2012-05-17
WO2011005456A3 (en) 2011-04-21
WO2011005456A2 (en) 2011-01-13
MY156687A (en) 2016-03-15
JP2012531063A (ja) 2012-12-06
CN104845532A (zh) 2015-08-19
TWI576399B (zh) 2017-04-01
CN104845532B (zh) 2017-10-03
JP5827221B2 (ja) 2015-12-02
CN102482555B (zh) 2015-05-06
CN102482555A (zh) 2012-05-30
US8691695B2 (en) 2014-04-08

Similar Documents

Publication Publication Date Title
TWI662095B (zh) 化學機械拋光(cmp)組合物及抑制多晶矽移除速率之方法
EP2035523B1 (en) Compositions and methods for polishing silicon nitride materials
EP2697330B1 (en) Compositions and methods for selective polishing of silicon nitride materials
TWI396731B (zh) 多成分之阻障研磨溶液
TWI478227B (zh) 用於基板之化學機械研磨之方法
EP2872585B1 (en) Compositions and methods for selective polishing of silicon nitride materials
TWI398918B (zh) 選擇性拋光碳化矽薄膜之方法
US20080148652A1 (en) Compositions for chemical mechanical planarization of copper
EP2069452B1 (en) Onium-containing cmp compositions and methods of use thereof
US20140197356A1 (en) Cmp compositions and methods for suppressing polysilicon removal rates