TWI657371B - 用於資料推測性執行的系統、設備及方法 - Google Patents

用於資料推測性執行的系統、設備及方法 Download PDF

Info

Publication number
TWI657371B
TWI657371B TW104138794A TW104138794A TWI657371B TW I657371 B TWI657371 B TW I657371B TW 104138794 A TW104138794 A TW 104138794A TW 104138794 A TW104138794 A TW 104138794A TW I657371 B TWI657371 B TW I657371B
Authority
TW
Taiwan
Prior art keywords
dsx
instruction
register
field
hardware
Prior art date
Application number
TW104138794A
Other languages
English (en)
Other versions
TW201643700A (zh
Inventor
艾蒙斯特阿法 歐德亞麥德維爾
克里斯多夫 休斯
羅柏 瓦倫泰
密林德 吉卡
井戶秀樹
玉芬 吳
王正
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201643700A publication Critical patent/TW201643700A/zh
Application granted granted Critical
Publication of TWI657371B publication Critical patent/TWI657371B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3824Operand accessing
    • G06F9/3834Maintaining memory consistency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3005Arrangements for executing specific machine instructions to perform operations for flow control
    • G06F9/30065Loop control instructions; iterative instructions, e.g. LOOP, REPEAT
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/30087Synchronisation or serialisation instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/52Program synchronisation; Mutual exclusion, e.g. by means of semaphores
    • G06F9/526Mutual exclusion algorithms
    • G06F9/528Mutual exclusion algorithms by using speculative mechanisms

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)
  • Executing Machine-Instructions (AREA)

Abstract

本發明描述了用於資料推測執行(DSX)的系統、方法以及設備。在一些實施例中,用於執行DSX的硬體設備包含用以解碼指令的硬體解碼器,指令用以包括運算碼和運算元以儲存後備位址的一部分,執行硬體,用以執行解碼的指令,以藉由啟動資料推測執行(DSX)追蹤硬體以追蹤推測記憶體存取和檢測在DSX區域中的排序違規,以及藉由儲存後備位址,來初始化DSX區域。

Description

用於資料推測性執行的系統、設備及方法
本發明的領域一般係關於電腦處理器架構,以及,更具體地,係關於推測性執行。
包含可能的交叉疊代相依性的向量化迴圈是非常困難的。這種類型的示例性迴圈為:
這個迴圈的一個自然的(和不正確的)向量化為:
然而,如果產生迴圈的量化版本的編譯器沒有關於A、B和C之位址或對準的先驗知識,則上述向量化是不 安全的。
102‧‧‧提取單元
104‧‧‧解碼單元
106‧‧‧處理器核心/核心
107‧‧‧排程單元
108‧‧‧執行單元
110‧‧‧退休單元
116‧‧‧快取
118‧‧‧記憶體排序緩衝器(MOB)
124‧‧‧快取線
126‧‧‧DSX讀取位元
128‧‧‧DSX寫入位元
130‧‧‧DSX巢狀計數器
132‧‧‧DSX巢狀計數器電路
134‧‧‧DSX檢查指向電路
136‧‧‧DSX復原電路
139‧‧‧快取電路
140‧‧‧暫存器
150‧‧‧MSR
152‧‧‧DSX位址追蹤硬體/DSX追蹤硬體
301‧‧‧位移器電路
303‧‧‧雜湊函數單元電路
305‧‧‧雜湊表
307‧‧‧桶
309‧‧‧登錄
311‧‧‧衝突檢查電路
313‧‧‧OR閘
315‧‧‧測試
401~421‧‧‧步驟
501~529‧‧‧步驟
601~607‧‧‧步驟
701~705‧‧‧步驟
801~815‧‧‧步驟
1001~1007‧‧‧步驟
1101~1109‧‧‧步驟
1201~1215‧‧‧步驟
1301~1307‧‧‧步驟
1401~1407‧‧‧步驟
1501~1509‧‧‧步驟
1701~1707‧‧‧步驟
1801~1805‧‧‧步驟
1901~1909‧‧‧步驟
2101~2107‧‧‧步驟
2201~2207‧‧‧步驟
2401~2407‧‧‧步驟
2501~2509‧‧‧步驟
2601~2621‧‧‧步驟
2800‧‧‧通用向量友好指令格式
2805‧‧‧無記憶體存取
2810‧‧‧無記憶體存取、全捨入控制類型運算
2812‧‧‧無記憶體存取、寫入遮罩控制、部分捨入控制類型運算
2815‧‧‧無記憶體存取、資料變換類型運算
2817‧‧‧無記憶體存取、寫入遮罩控制、向量長度(VSIZE)類型運算
2820‧‧‧記憶體存取
2827‧‧‧記憶體存取、寫入遮罩控制
2840‧‧‧格式欄位
2842‧‧‧基底運算欄位
2844‧‧‧暫存器索引欄位
2846‧‧‧修飾符欄位
2850‧‧‧擴充運算欄位
2852‧‧‧α欄位
2852A‧‧‧RS欄位
2852A.1‧‧‧捨入
2852A.2‧‧‧資料變換
2852B‧‧‧驅逐提示欄位
2852B.1‧‧‧時效性
2852B.2‧‧‧非時效性
2854‧‧‧β欄位
2854A‧‧‧捨入控制欄位
2854B‧‧‧資料變換欄位
2854C‧‧‧資料操縱欄位
2856‧‧‧SAE欄位
2857A‧‧‧RL欄位
2857A.1‧‧‧捨入
2857A.2‧‧‧向量長度(VSIZE)
2857B‧‧‧廣播欄位
2858‧‧‧捨入運算控制欄位
2859A‧‧‧捨入運算欄位
2859B‧‧‧向量長度欄位
2860‧‧‧比例欄位
2862A‧‧‧位移欄位
2862B‧‧‧位移因子欄位
2864‧‧‧資料元件寬度欄位
2868‧‧‧類別欄位
2868A‧‧‧類別A
2868B‧‧‧類別B
2870‧‧‧寫入遮罩欄位
2872‧‧‧立即數欄位
2874‧‧‧全運算碼欄位
2900‧‧‧特定向量友好指令格式
2902‧‧‧EVEX前綴
2905‧‧‧REX欄位
2910‧‧‧REX’欄位
2915‧‧‧運算碼映射欄位
2920‧‧‧VVVV欄位
2925‧‧‧前綴編碼欄位
2930‧‧‧真實運算碼欄位
2940‧‧‧Mod R/M欄位
2942‧‧‧MOD欄位
2944‧‧‧Reg欄位
2946‧‧‧R/M欄位
2954‧‧‧SIB.xxx
2956‧‧‧SIB.bbb
3000‧‧‧暫存器架構
3010‧‧‧向量暫存器
3015‧‧‧寫入遮罩暫存器
3025‧‧‧通用暫存器
3045‧‧‧純量浮點堆疊暫存器檔
3050‧‧‧MMX緊縮整數平坦暫存器檔
3100‧‧‧處理器管線
3102‧‧‧提取級
3104‧‧‧長度解碼級
3106‧‧‧解碼級
3108‧‧‧分配級
3110‧‧‧重新命名級
3112‧‧‧排程級
3114‧‧‧暫存器讀取/記憶體讀取級
3116‧‧‧執行級
3118‧‧‧寫回/記憶體寫入級
3122‧‧‧異常處理級
3124‧‧‧提交級
3130‧‧‧前端單元
3132‧‧‧分支預測單元
3134‧‧‧指令擷取單元
3136‧‧‧指令翻譯旁看緩衝器(TLB)
3138‧‧‧指令提取單元
3140‧‧‧解碼單元
3150‧‧‧執行引擎單元
3152‧‧‧重新命名/分配器單元
3154‧‧‧退休單元
3156‧‧‧排程器單元
3158‧‧‧實體暫存器檔單元
3160‧‧‧執行叢集
3162‧‧‧執行單元
3164‧‧‧記憶體存取單元
3170‧‧‧記憶體單元
3172‧‧‧資料TLB單元
3174‧‧‧資料快取單元
3176‧‧‧第二階(L2)快取單元
3190‧‧‧處理器核心
3200‧‧‧指令解碼器
3202‧‧‧晶粒上互連網路
3204‧‧‧第二階(L2)快取
3206‧‧‧L1快取
3206A‧‧‧L1資料快取
3208‧‧‧純量單元
3210‧‧‧向量單元
3212‧‧‧純量暫存器
3214‧‧‧向量暫存器
3220‧‧‧拌合單元
3222A-B‧‧‧數字轉換單元
3224‧‧‧複製單元
3226‧‧‧寫入遮罩暫存器
3228‧‧‧16寬ALU
3300‧‧‧處理器
3302A-N‧‧‧核心
3306‧‧‧共享快取單元
3308‧‧‧特殊用途邏輯
3310‧‧‧系統代理
3312‧‧‧環狀基互連單元
3314‧‧‧整合記憶體控制器單元
3316‧‧‧匯流排控制器單元
3400‧‧‧系統
3410、3415‧‧‧處理器
3420‧‧‧控制器集線器
3440‧‧‧記憶體
3445‧‧‧共處理器
3450‧‧‧輸入/輸出集線器(IOH)
3460‧‧‧輸入/輸出(I/O)裝置
3490‧‧‧圖形記憶體控制器集線器(GMCH)
3495‧‧‧連接
3500‧‧‧多處理器系統
3514‧‧‧I/O裝置
3515‧‧‧額外處理器
3516‧‧‧第一匯流排
3518‧‧‧匯流排橋
3520‧‧‧第二匯流排
3522‧‧‧鍵盤及/或鼠標
3524‧‧‧音頻I/O
3527‧‧‧通訊裝置
3528‧‧‧儲存單元
3530‧‧‧指令/碼及資料
3532‧‧‧記憶體
3534‧‧‧記憶體
3538‧‧‧共處理器
3539‧‧‧高效能連接
3550‧‧‧點對點(P-P)互連/點對點互連
3552、3554‧‧‧P-P介面
3570‧‧‧處理器
3572、3582‧‧‧整合記憶體及I/O控制邏輯
3576、3578‧‧‧點對點(P-P)介面
3580‧‧‧處理器
3586、3588‧‧‧P-P介面
3590‧‧‧晶片組
3594、3598‧‧‧點對點介面電路
3596‧‧‧介面
3600‧‧‧系統
3614‧‧‧I/O裝置
3615‧‧‧傳統I/O裝置
3700‧‧‧SoC
3702‧‧‧互連單元
3710‧‧‧應用處理器
3720‧‧‧共處理器
3730‧‧‧靜態隨機存取記憶體(SRAM)單元
3732‧‧‧直接記憶體存取(DMA)單元
3740‧‧‧顯示單元
3802‧‧‧高階語言
3804‧‧‧x86編譯器
3806‧‧‧x86二進制碼
3808‧‧‧指令集編譯器
3810‧‧‧指令集二進制碼
3812‧‧‧指令轉換器
3814‧‧‧不具有至少一個x86指令集核心的處理器
3816‧‧‧具有至少一個x86指令集核心的處理器
藉由示例說明一或多個實施例且不受限於隨附圖式之圖,其中類似的符號表示類似的元件:圖1是能夠在硬體中執行資料推測延伸(DSX)的處理器核心的示例性方框圖的實施例;圖2示出根據實施例的推測性指令執行的示例;圖3示出DSX追蹤硬體的詳細的實施例;圖4示出藉由DSX追蹤硬體所進行的DSX誤推測檢測的示例性方法;圖5(A)至(B)示出藉由DSX追蹤硬體所進行的DSX誤推測檢測的示例性方法;圖6示出用於開始DSX的指令的執行的實施例;圖7示出YBEGIN指令格式的一些示例性實施例;圖8示出像是YBEGIN指令的指令的執行的實施例;圖9示出顯示像是YBEGIN指令的指令的執行的虛擬碼的示例;圖10示出用於開始DSX的指令的執行的實施例;圖11示出YBEGIN WITH STRIDE指令格式的一些示例性實施例;圖12示出像是YBEGIN WITH STRIDE指令的指令的執行的細節的實施例;圖13示出用於繼續DSX而不結束它的指令的執行的 實施例;圖14示出YCONTINUE指令格式的一些示例性實施例;圖15示出像是YCONTINUE指令的指令的執行的實施例;圖16示出顯示像是YCONTINUE指令的指令的執行的虛擬碼的示例;圖17示出了用於中斷DSX的指令的執行的實施例;圖18示出YABORT指令格式的一些示例性實施例;圖19示出像是YABORT指令的指令的執行的實施例;圖20示出顯示像是YABORT指令的指令的執行的虛擬碼的示例;圖21示出用於測試DSX之狀態的指令的執行的實施例;圖22示出YTEST指令格式的一些示例性實施例;圖23示出顯示像是YTEST指令的指令的執行的虛擬碼的示例;圖24示出了用於結束DSX的指令的執行的實施例;圖25示出YEND指令格式的一些示例性實施例;圖26示出像是YEND指令的指令的執行的實施例;圖27示出顯示像是YEND指令的指令的執行的虛擬碼的示例;圖28A至28B是根據本發明的實施例,示出通用向 量友好指令格式和其指令模板的方框圖;圖29A至D示出特定向量友好指令格式2900,在其指定欄位的位置、大小、解譯和次序以及那些欄位中的一些的值之意義上,向量友好指令格式是特定的;圖30是根據本發明的一個實施例的暫存器架構的方框圖;圖31A是根據本發明的實施例,示出一示例性的有序管線和一示例性的暫存器重新命名、無序問題/執行管線兩者的方框圖;圖31B是根據本發明的實施例,示出被包括在處理器中的一示例性的有序架構核心和一示例性的暫存器重新命名、無序問題/執行架構核心兩者的方框圖;圖32A至B示出了更具體的示例性的有序核心架構的方框圖,其核心將是晶片中的幾個邏輯區塊(包括相同類型及/或不同類型的其他核心)中的一者;圖33是根據本發明的實施例,其可具有一個以上的核心,可能具有整合記憶體控制器,以及可具有整合圖形的處理器的方框圖;圖34示出根據本發明的一個實施例示出系統的方框圖;圖35示出根據本發明的實施例的第一更詳細的示例性系統的方框圖;圖36示出根據本發明的實施例的第二更詳細的示例性系統的方框圖; 圖37示出根據本發明的實施例的SoC的方框圖;圖38是根據本發明的實施例示出對比使用軟體指令轉換器用以將在來源指令集中的二進制指令轉換成在目標指令集中的二進制指令。
【發明內容及實施方式】
在下面的描述中,許多具體的細節闡述。然而,可以理解的是,本發明的實施例可以在沒有這些特定細節的情況下實施。在其它實例中,為了不模糊對本說明書的理解,習知的電路、結構和技術沒有被詳細示出。
在說明書中對「一個實施例」、「實施例」、「一個示例實施例」等等之參照指示所描述的實施例可包括特定特徵、結構或特性,但是每個實施例可能不一定包括該特定特徵、結構或特性。此外,這樣的術語不一定指的是同一實施例。此外,當特定特徵、結構或特性結合實施例被描述,都認為它是在本發明領域中通常知識者的知識範圍內,以影響這種特徵、結構或特性結合其他其他實施例是否被明確描述。
貫穿本說明書,詳細說明一種被稱為資料推測延伸(data speculation extension,DSX)的推測執行的技術。本說明書中所包括者為DSX硬體及支援DSX之新指令。
DSX在本質上為類似限制交易記憶體(RTM)實施法,但更簡單。例如,DSX區域不需要隱含的圍欄(implied fence)。相反地,可以維持一般載入/儲存排序規則。此外,DSX區域並未在針對載入作強迫基元行為的 處理器中設定任何組態,而在RTM中,交易的載入和儲存會自動處理(交易完成後提交)。此外,載入在RTM中被緩衝,而在DSX中不被緩衝。然而,當不再需要推測時,儲存被緩衝和立即提交儲存。這些儲存可在專用推測執行儲存器中或共享暫存器或記憶體位置中緩衝,這取決於實施例。在一些實施例中,推測向量化只發生於單一執行緒中,這意味著沒有必要保護來自其他執行緒的干擾。
在前面詳細的向量化迴圈中,就需要對安全性動態檢查。例如,寫入到給定的向量疊代中之A的保證不與B或C中的元件重疊,其中B或C中的元件在純量迴圈中在稍後的疊代中被讀取。下面詳細的實施例透過推測的使用,詳細處理向量化案例。推理的版本指示每一迴圈疊代應被推測地執行(例如,使用下面詳細的指令),而且該硬體應有助於執行位址檢查。取代依賴於僅對位址檢查負責的硬體(這需要非常昂貴的硬體),具體的方法是使用軟體來提供資訊以協助硬體,從而實現了更便宜的硬體解決方案,在不影響執行時間,或對程式設計師或編譯器造成太多負擔。
不幸的是,隨著向量化可能有排序衝突。往回看上面詳細的純量迴圈示例:
在此迴圈的第一次四個疊代期間,以下的記憶體運算 會以下列順序發生:Read C[0]
Read B[C[0]]
Write A[0]
Read C[1]
Read B[C[1]]
Write A[1]
Read C[2]
Read B[C[2]]
Write A[2]
Read C[3]
Read B[C[3]]
Write A[3]
對相同陣列的存取之間的距離(運算的數目)為三,一旦它被向量化(至SIMD中),它也是迴圈中的推測性記憶體指令的數目。該距離被稱為「跨距」。它也是在迴圈中的記憶體指令的數目,一旦迴圈被向量化,將對它們進行執行位址檢查。在一些實施例中,此跨距經由特殊的指令在迴圈(下面詳述)的開始被輸送到位址追蹤硬體。在一些實施例中,此指令也將清除位址追蹤硬體。
在此詳細描述如向量化迴圈執行的情況下在DSX中使用的新指令(DSX記憶體指令)。每個DSX記憶體指令(像是載入、儲存、聚集、發散)包括在DSX期間內被使用的運算元,其指示DSX執行內的位置(如,正在執行的迴圈中的位置)。在一些實施例中,運算元是立即數(例如,8位元的立即數)具有在該立即數中編碼順序的數值。在其他實施例中,運算元是儲存編碼順序的數值 的暫存器或記憶體位置。
另外,在一些實施例中,這些指令相比正常的對應部分具有不同的運算碼。這些指令可以是純量或超純量(例如,SIMD或MIMD)。一些這些指令的示例被發現於下,其中運算碼之記符號包括一個「S」(這將劃底線於下標出),以表明它是一個推測性版本,以及imm8是用於指示執行的位置的立即數運算元(例如,在正被執行的迴圈中的位置):VMOVSDQA32 zmm1 {k1}{z}, mV, imm8 //推測性SIMD載入
VMOVS xmm1, m32, imm8 //推測性純量載入
VSCATTERSDPS vm32z {k1}, zmm1, imm8 //推測性散佈
當然,其它指令也可利用詳細的運算元和運算碼助記符號(以及下劃線的運算碼)改變像是邏輯(AND、OR、XOR等)以及資料操縱(加、減等)的指令。
在上述純量示例的向量化版本(假設四個分包資料元件的SIMD寬度)中,記憶體運算的順序是:Read C[0], C[1], C[2], C[3]
Read B[C[0]], B[C[1]], B[C[2]], B[C[3]]
Write A[0], A[1], A[2], A[3]
此順序可導致不正確執行,如果,例如,B[C[1]]與A[0]重疊。在原有的純量順序中,在寫入至A[0]之後發生B[C[1]]的讀取,但在向量化執行中則在寫入至A[0]之前發生。
使用推測性記憶體指令用於可能導致不正確執行的迴圈中的運算,可幫助解決這個問題。如將要詳細描述的,每個推測性記憶體指令通知DSX追蹤硬體(下面詳細說 明)其在迴圈內的位置:for (i = 0; i < N; i += SIMD_WIDTH) { zmm0 = vmovsdqu32 &C[i], 0 //告訴位址追蹤器此為指令0 k1 = kxnor k1, k1 zmm1 = vgathersdd B, zmm0, k1, 1 //告訴位址追蹤器此為指令1 vmovsdqu &A[i], zmm1, 2 //告訴位址追蹤器此為指令2 }
藉由每個推測性記憶體運算提供的迴圈位置資訊可以跨距結合以重建純量記憶體運算。作為推測性記憶體指令執行,標識符(identifier,id)是可由DSX硬體追蹤每個元素(id=序列號+跨距*SIMD運算內元件數)所計算。該硬體追蹤器使用每個分包資料元件的序列號、計算出的id以及位址和大小,以決定是否有排序衝突(即,如果元件與另一個重疊,並被讀出或寫入失序)。
展開包含每個向量記憶體指令的個別記憶體運算,積累跨距用於每個展開,以及將產生的數分配為「ids」,導致:Read C[0] // id = 0
Read C[1] // id = 3
Read C[2] // id = 6
Read C[3] // id = 9
Read B[C[0]] // id = 1
Read B[C[1]] // id = 4
Read B[C[2]] // id = 7
Read B[C[3]] // id = 10
Write A[0] // id = 2
Write A[1] // id = 5
Write A[2] // id = 8
Write A[3] // id = 11
按id排序上述個別記憶體運算將重構原始純量記憶體排序。
圖1是能夠在硬體中執行資料推測延伸(DSX)的處理器核心的示例性方框圖的實施例。
處理器核心106可包括提取單元102用以提取用於核心106執行之指令。例如,指令可以從L1快取或記憶體擷取。核心106還可以包括解碼單元104用以解碼所提取的指令,該指令包括以下所描述的那些。例如,解碼單元104可解碼所提取出的指令分成多個微運算(micro-op)。
此外,核心106可包括排程單元107。排程單元107可執行與儲存解碼的指令(例如從解碼單元104所接收的)相關聯的各種操作,直到指令準備好配送,例如,直到來自運算元的解碼指令的所有來源值變為可用的。在一實施例中,排程單元107可排程及/或發送(或配送)解碼的指令到一或多個執行單元108以供執行。執行單元108可包括記憶體執行單元、整數執行單元、浮點數執行單元、或其它執行單元。退休單元110也可在它們被提交後使已執行的指令退役。在實施例中,已執行的指令的退役可能導致處理器狀態從指令的執行變成被提交、指令所使用的實體暫存器被解除分配等等。
記憶體排序緩衝器(MOB)118可以包括載入緩衝器、儲存緩衝器和用以儲存尚未加載或寫回到主記憶體的未決記憶體運算之邏輯。在一些實施例中,MOB 118或類 似於它的電路,儲存DSX區域的推測性儲存(寫入)。在各種實施例中,核心可以包括本地快取,例如,像是快取116的私用快取,其可包括一或多個快取線124(例如,快取線0到W且其是由快取電路139管理。在實施例中,快取116的每一線可以包括DSX讀取位元126及/或DSX寫入位元128用於在核心106上執行的每個執行緒。DSX讀取位元126和DSX寫入位元128可以被設置或清除以指示(載入及/或儲存)藉由DSX記憶體存取請求來存取相應的快取線。注意,在圖1的實施例中,每個快取線124被示出為具有各別的DSX讀取位元126和DSX寫入位元128,其他配置是可能的。例如,DSX讀取位元126(或DSX寫入位元128)可對應於快取116的選擇部分,例如快取區塊或快取116的其它部分。此外,位元126及/或128可以被儲存在除了快取116的位置。
為了輔助執行的DSX運算,核心106可包括DSX巢狀計數器130以儲存對應於已遭遇沒有匹配的DSX結束的DSX開始的數目的值。DSX巢狀計數器130可以被實現為像是硬體暫存器的任何類型的儲存裝置或儲存在記憶體(例如,系統記憶體或快取116)中的變數。核心106還可以包括DSX巢狀計數器電路132以更新儲存在DSX巢狀計數器130中的值。核心106可包括DSX檢查指向電路134用以檢查點(或儲存)核心106的各種組件的狀態,以及DSX復原電路136用以復原核心106的各種組件的狀態,例如,中斷給定的DSX,使用其儲存或被儲存 在像是暫存器140的其他位置的後備位址。此外,核心106可包括一或多個額外的暫存器140其對應於各種DSX記憶體存取請求,像是DSX狀態和控制暫存器(DSXSR)以儲存如果DSX為啟動的指示,DSX指令指標(DSXXIP)(例如,這可能是相應的DSX在開始的(或前一個)的指令之指令指標),及/或DSX堆疊指標(DSXSP)(例如,這可能是堆疊的頭部的堆疊指標其儲存核心106的一或多個組件的各種狀態)。這些暫存器也可以是MSR 150。
DSX位址追蹤硬體152(有時簡稱DSX追蹤硬體)追蹤推測性記憶體存取並檢測DSX中的排序衝突。特別是,這種DSX追蹤硬體152包括位址追蹤器,其取入資訊以重建以及接著執行原來的純量記憶體順序。典型地,輸入為迴圈本體中需要被追蹤的推測性記憶體指令的數目,以及用於這些指令的每一個的一些資訊,像是:(1)序列號、(2)定址的指令存取、以及(3)指令是否讀取或寫入至記憶體。如果兩個推測性記憶體指令存取與記憶體的部分重疊,DSX追蹤硬體152使用該資訊,以決定記憶體運算的的原始純量順序是否已被改變。如果是,以及如果任一運算是寫入,則硬體觸發誤推測。雖然圖1示出了獨立的DSX追蹤硬體152,在一些實施例中該硬體是其它核心組件的一部分。
圖2示出根據實施例的推測性指令執行的示例。在201,推測性指令被擷取。例如,像是那些上面詳述的推 測性記憶體指令被擷取。在一些實施例中,此指令包括指示它的推測性質的運算碼,以及用以指示DSX中的排序的運算元。排序運算元可以示立即數值或暫存器/記憶體位置。
所擷取的推測性指令在203被解碼。
經解碼的推測性指令是否為DSX的一部分的決定在205做成。例如,是否為於上面詳述的DSX狀態中所指示的DSX和控制暫存器(DSXSR)?根據實施例,在207,當DSX為不啟動時,指令可變為無運算(nop)或被執行為正常的、非推測性指令。
當一個DSX為啟動時,推測性指令被推測性執行(例如,未提交)以及DSX追蹤硬體是在209被更新。
圖3示出的DSX位址追蹤硬體的詳細實施例。這種硬體追蹤推測性記憶體實例。通常情況下,由DSX追蹤硬體所分析的元件(如SIMD元件)被分為稱為塊(chunk)的部分,其不比「B」位元組的大小還大。
位移器電路301位移塊的位址(如起始位址)。在多數實施例中,位移器電路301進行右位移。典型地,該右位移是藉由log2B。經位移的位址受到由雜湊函數單元電路303所進行的雜湊函數。
雜湊函數的輸出是對雜湊表305的索引。如圖所示,雜湊表305包括複數個桶307。在一些實施例中,雜湊表305是布隆過濾器(Bloom filter)。雜湊表305是用來檢測誤推測,以及用來記錄推測性位址資料的位址、存取類 型、序列號、以及ID號。雜湊表305包含N「組」,每個組包含M個登錄309。每個登錄309包含一個有效位元、序列號、ID號、和存取類型用於先前執行的推測性記憶體指令的元件。在一些實施例中,每個登錄309還包含相應的位址(示出為圖中的虛線框)。當DSX啟始指令(例如,下文詳述的YBEGIN和變體),所有有效位元被清除,而「推測啟動」的旗標被設置,以及結束DSX的指令上,推測啟動的旗標被清除。
衝突檢查電路311檢查對於測試315之下的元件(或其中的塊)的每登錄309的衝突。在一些實施例中,當登錄309是有效且至少以下一者時,存在衝突:i)在登錄309中的存取類型是寫入或ii)測試之下的存取類型是寫入,伴隨具有下列其中一者:i)在登錄309中的序列號是小於測試315之下的元件的序列號,且在登錄309中的id號是大於測試315之下的元件的id號或ii)登錄309中的序列號是大於測試315之下的元件的序列號,且在登錄309中的id號是小於測試315之下的id號。
換句話說,當以下狀況時,存在有衝突:(Entry is valid) AND ((access type in entry == write) OR (access type under test == write)) AND (((Seq # in entry < Seq # under test) AND (id # in entry > id # under test)) OR ((Seq # in entry > Seq # under test) AND (id # in entry < id # under test)))
注意,在大多數實施例中不存在用於位址重疊的測試。這種重疊是隱含在雜湊表中的命中登錄。在沒有位址重疊之處可能會出現命中,這是由於來自雜湊函數及/或來自過於粗粒略(即B為過大)的檢查之混淆現象。但 是,當有位址重疊時,將有一個命中。所以正確性得到了保證,但也有可能是假正性(即硬體可檢測到其中並沒有的誤推測)。在實施例中,塊位址被存儲在每個登錄309中,且用於測試誤推測的額外條件被施加(即,這是具有上述條件的邏輯地ANDed)其中登錄309中的位址等於測試315之下的元件中的位址)。
OR閘313(或同等物)邏輯地或(OR)衝突檢查的結果。當OR的結果是1,則一個誤推測可能已經發生,且OR閘313指示具有它的輸出的結果。
本實施例的總儲存為M*N個登錄。這意味著它可以追蹤多達M*N個推測性存取的資料元件。然而在實行中,迴圈是可能具有高於其他的對N組中的一些的存取。如果在任何一組中的空間用完了,那麼在一些實施例中,誤推測被觸發以保證正確性。增加M緩解這個問題,但可能會迫使衝突檢查硬體的更多複製存在。為了同時進行所有的M個衝突檢查(如在一些實施例中完成的),具有衝突檢查邏輯的M個複製。
以某種方式選擇B、N、M和雜湊函數,允許以與L1資料快取非常相似的方式來組織該結構。特別是,讓B為快取線大小,N為L1資料快取中組的數量,M為L1資料快取的相關性,以及讓雜湊函數為為址的最低有效位元(在右移位之後)。這種結構將具有與L1資料快取的相同數目的登錄和組織,這可以簡化其實施。
最後,注意,替代實施例使用單獨的布隆過濾器用於 讀取和寫入,以避免必須儲存存取類型資訊,以及避免必須在衝突檢查期間去檢查存取類型。相反,用於讀取,實施例只針對「寫入」過濾器進行衝突檢查,以及如果沒有誤推測,則將元件插入「讀取」過濾器。相似地,用於寫入,實施例針對「寫入」和「讀取」過濾器進行衝突檢查,以及如果沒有誤推測,則將元件插入「寫入」過濾器。
圖4示出藉由DSX追蹤硬體所進行的DSX誤推測檢測的示例性方法。在401,開始DSX或提交前一個推測性疊代。例如,YBEGIN指令被執行。指令的執行將清除登錄309中的有效位元以及在狀態暫存器中設定推測啟動旗標(如果尚未設置)(如前面詳述的DSX狀態暫存器)。在DSX啟動之後執行推測性記憶體指令且提供測試之下的資料元件。
在403,來自推測性記憶體指令的測試之下的資料元件被分成不大於B位元組的塊。雜湊表是在B位元組(即位址的低位元被丟棄)的粒度被存取。如果元件是足夠大及/或未被對準,它們可以越過B位元組的邊界,以及如果是這樣,該元件被分成多個塊。
每個塊,進行以下(405-421)。塊的起始位址是藉由log2B向右位移。位移地址在407散列以產生索引值。
使用此索引值,雜湊表的對應組的查找在409作出以及該組的所有登錄在411被讀出。
對每次讀出的登錄,對測試(如上述)之下的元件的 衝突檢查在413進行。所有的衝突檢查的OR在415進行。在417,如果任何檢查指示衝突(例如,OR是1),則在419,作成誤推測的指示。DSX通常在這個時候中止。如果沒有誤推測,則在421中,組中的無效登錄被發現,以及測填充資訊用於測試之下和標記為有效的元件。如果不存在無效登錄,誤推測被觸發。
圖5(A)至(B)示出藉由DSX追蹤硬體所進行的DSX誤推測檢測的示例性方法。在501,開始DSX或提交前一個推測性疊代。例如,YBEGIN指令被執行。
在503,指令的執行藉由清除登錄309中的有效位元重新設定追蹤硬體,以及在狀態暫存器中設定推測啟動旗標(如果尚未設置)(如前面詳述的DSX狀態暫存器)。
在505,推測性指令被執行。這些指令的示例如上所述。在507,來自推測性指令在測試之下的元件數的計數器被設為零,且在509,id被計算(id=序列號+跨距* e)。
在511,先前任何寫入是否與計數器值e重疊的決定作成。這可以作為對先前的儲存(寫入)的依存性檢查。對任何重疊的寫入,在513,進行衝突檢查。在一些實施例中,此衝突檢查是查看是否:i)在登錄309中的序列號是小於測試315之下的元件的序列號,且在登錄309中的id號是大於測試315之下的元件的id號或ii)登錄309中的序列號是大於測試315之下的元件的序列號,且 在登錄309中的id號是小於測試315之下的id號。
如果有衝突,則在515誤推測被觸發。如果沒有,或如果沒有重疊的先前寫入,則在517,作出推測性記憶體指令是否為寫入的決定。
如果是,在519,作出先前任何讀取是否與計數器值e重疊的決定。這可以作為對先前的載入(讀取)的依存性檢查。對任何重疊的讀取,在521,進行衝突檢查。在一些實施例中,此衝突檢查是查看是否:i)在登錄309中的序列號是小於測試315之下的元件的序列號,且在登錄309中的id號是大於測試315之下的元件的id號或ii)登錄309中的序列號是大於測試315之下的元件的序列號,且在登錄309中的id號是小於測試315之下的id號。
如果有衝突,則在523誤推測被觸發。如果沒有,或如果沒有重疊的先前讀取,則在525,增加計數器e。
在526,作成計數器e是否等於在推測性記憶體指令中的元件的數目的決定。換句話說,全部元件是否已進行評估?如果否,則在509,另一個id被計算。如果是,則在527,硬體將等待另一指令以執行。當下一個指令是另一推測性記憶體指令,則在507,計數器被重新設定。當下一個指令是YBEGIN,則在503,硬體被重新設定。當下一個指令是YEND,則在529,DSX被禁能。
YBEGIN指令
圖6示出了用於開始DSX的指令的執行的實施例。如將在本文中詳細描述的,此指令被稱為「YBEGIN」且被用於發信DSX區域的開頭。當然,指令可以被稱為由另一個名字。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。在其他實施例中,指令的執行是一個仿真。
在601,YBEGIN指令被接收/擷取。例如,指令是從記憶體擷取至指令快取或從指令快取擷取出。所擷取的指令可以採取若干形式中的一種,詳述如下。
圖7示出YBEGIN指令格式的一些示例性實施例。在一個實施例中,YBEGIN指令包括運算碼(YBEGIN)和單一運算元,以提供對後備位址的位移,其中後備位址是程序執行應去處理誤推測之處,如701中所示。在本質上,位移值是備用位址的一部分。在一些實施例中,位移值被提供作為立即數運算元。在其他實施例中,位移值被儲存在暫存器或記憶體位置運算元中。取決於YBEGIN實施,用於DSX狀態暫存器、巢狀計數暫存器及/或RTM狀態暫存器的隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
在另一個實施例中,YBEGIN指令不僅包括運算碼和 位移運算元,還可以包括像是DSX狀態暫存器的DSX狀態的明確運算元,如703所示。取決於YBEGIN實施,用於巢狀計數暫存器及/或RTM狀態暫存器的隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
在另一個實施例中,YBEGIN指令不僅包括運算碼和位移運算元,還可以包括像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如705所示。如前面詳細描述的,DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標。取決於YBEGIN實施,用於DSX狀態暫存器及/或RTM狀態暫存器的隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
在另一個實施例中,YBEGIN指令不僅包括運算碼和位移運算元,還可以包括像是DSX狀態暫存器的DSX狀態和像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如707所示。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。 取決於YBEGIN實施,用於RTM狀態暫存器的隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
在另一個實施例中,YBEGIN指令不僅包括運算碼和位移運算元,還可以包括像是DSX狀態暫存器的DSX狀態、像是DSX巢狀計數暫存器的DSX巢狀計數和RTM狀態的明確運算元,如709所示。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
當然YBEGIN的其它變體也是可能的。例如,代替提供位移值,指令包括在立即數、暫存器或記憶體位置任一者中的後備位址本身。
回到圖6,在603,所擷取的/接收的YBEGIN指令被解碼。在一些實施例中,指令是由如那些後面詳述的硬體解碼器所解碼。在一些實施例中,指令被解碼成微運算(micro-ops)。例如,某些CISC基機器通常使用從一個巨指令導出的微運算。在其它實施例中,解碼是如即時編譯的軟體例行的一部分。
在605,與解碼的指令相關的任何運算元被取回。例如,來自DSX暫存器、DSX巢狀計數暫存器及/或RTM 狀態暫存器中的一或多個的資料被取回。
在607,所解碼的YBEGIN指令被執行。在指令被解碼成微運算的實施例中,這些微運算被執行。在解碼的指令的執行導致對硬體去做一或多個的下列將要執行的動作:1)決定的RTM交易為啟動的並繼續進行交易;2)使用添加到YBEGIN指令的指令指標的位移值來計算後備位址;3)增加DSX巢狀計數;4)中止;5)設置DSX狀態為啟動;及/或6)重新設定DSX追蹤硬體。
通常情況下,在YBEGIN指令的一個實例,如果沒有啟動的RTM交易,則在DSX狀態被設為啟動的,DSX巢狀計數增加(如果計數小於最大),在DSX追蹤硬體被重設(例如,如上文詳細描述),以及後備位址是使用位移值來計算以啟動DSX區域。如前面詳細描述的,用於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。DSX追蹤硬體的重新設定也如前所述。如前面詳細描述的,用於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定DSX是否確實發生。
如果有一些原因DSX不能開始,則其它潛在動作的一或多個發生。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動且在RTM是繼續的。如果一開始DSX的設定有什麼錯誤(巢狀計數不正確),則中止將發生。另外,在一些實施例中,如果沒有DSX,則產生故障且無運算(NOP)被執行。無論進行哪個動作,在大多數實施例中,在該動作之後,DSX狀態被重新設定(如果已設定),以指示不存在未決的DSX。
圖8示出像是YBEGIN指令的指令的執行的實施例。例如,在一些實施例中,該流程是圖6的方框607。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。在其他實施例中,指令的執行是一個仿真。
在一些實施例中,例如在支持RTM交易的處理器中,RTM交易是否正發生的決定在801作出。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動。在這種情況下,在RTM交易出現了一些問題,且它的結束程序應被激活。通常,RTM交易狀態被儲存在如RTM控制和狀態暫存器的暫存器中。處理器的硬體評估此暫存器的內容,以決定是否有RTM交易發生。在803,當有RTM交易發生時,RTM交易繼續處理。
當沒有RTM交易發生,或不支持RTM,則當前的DSX巢狀計數是否小於最大巢狀計數的決定在805作出。在一些實施例中,用以儲存當前的巢狀計數的巢狀計數暫存器是藉由YBEGIN指令作為運算元所提供。可替代地,專用的巢狀計數暫存器可以在硬體中存在,以被用於儲存當前的巢狀計數。最大巢狀計數為DSX開始的最大數量(例如,經由YBEGIN指令)其可出現而沒有對應的DSX端(例如,經由YEND指令)。
當目前DSX巢狀計數是大於最大值,在807發生中止。在一些實施例中,中止使用像是DSX復原電路135的復原電路觸發回轉。在其他實施例中,執行YABORT指令如下所詳述,其不僅對後備位址進行回轉,也丟棄推測性儲存的寫入和重新設定當前巢狀計數並設定在DSX狀態為非啟動狀態。如上詳述,DSX狀態通常被儲存在像是DSX狀態的控制暫存器中和如圖1所是的控制暫存器(DSXSR)中。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。
在809,當電流巢狀計數不大於最大值,當前的DSX巢狀計數被遞增。
在811,作成當前的DSX巢狀計數是否等於一的決定。在一些實施例中,在813,後備位址是藉由增加由YBEGIN指令對YBEGIN指令之後的指令的位址所提供的位移值所計算。在其中YBEGIN指令提供的後備地位的實 施例中,則此計算不是必要的。
在815,在DSX狀態設為啟動的(如果需要的話),並在DSX追蹤硬體被重新設定(例如,如上文詳述)。例如,如前面詳細描述的,對於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定DSX是否確實發生。
圖9示出顯示像是YBEGIN指令的指令的執行的虛擬碼的示例。
具有跨距的YBEGIN(YBEGIN WITH STRIDE)指令
圖10示出了用於開始DSX的指令的執行的實施例。如將在本文中詳細描述的,此指令被稱為「YBEGIN WITH STRIDE」且被用於發信DSX區域的開頭。當然,指令可以被稱為由另一個名字。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在1001,YBEGIN WITH STRIDE指令被接收/擷取。例如,指令是從記憶體擷取至指令快取或從指令快取擷取出。所擷取的指令可以採取若干形式中的一種,詳述如下。
圖11示出YBEGIN WITH STRIDE指令格式的一些示 例性實施例。在一個實施例中,YBEGIN WITH STRIDE指令包括運算碼(YBEGIN WITH STRIDE)及運算元,以提供對後備位址的位移,其中後備位址是程序執行應去處理誤推測和跨距值運算元之處,如1101中所示。在本質上,位移是後備位址的一部分。在一些實施例中,位移被提供作為立即數運算元。在其他實施例中,位移值被儲存在暫存器或記憶體位置運算元中。在一些實施例中,跨距被提供作為立即數運算元。在其他實施例中,跨距被儲存在暫存器或記憶體位置運算元中。取決於YBEGIN WITH STRIDE實施,用於DSX狀態暫存器、巢狀計數暫存器及/或RTM狀態暫存器的隱含運算元被使用。
在另一個實施例中,YBEGIN WITH STRIDE指令不僅包括運算碼和位移運算元和跨距值運算元,還可以包括像是DSX狀態暫存器的DSX狀態的明確運算元,如1103所示。在一些實施例中,位移被提供作為立即數運算元。在其他實施例中,位移值被儲存在暫存器或記憶體位置運算元中。在一些實施例中,跨距被提供作為立即數運算元。在其他實施例中,跨距被儲存在暫存器或記憶體位置運算元中。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。取決於YBEGIN WITH STRIDE實施,用於巢狀計數暫存器及/或 RTM狀態暫存器的隱含運算元被使用。
在另一個實施例中,YBEGIN WITH STRIDE指令不僅包括運算碼和位移運算元和跨距值運算元,還可以包括像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如1105所示。在一些實施例中,位移被提供作為立即數運算元。在其他實施例中,位移值被儲存在暫存器或記憶體位置運算元中。在一些實施例中,跨距被提供作為立即數運算元。在其他實施例中,跨距被儲存在暫存器或記憶體位置運算元中。如前面詳細描述的,DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標。取決於YBEGIN WITH STRIDE實施,對於DSX狀態暫存器及/或RTM狀態暫存器,隱含運算元被使用。
在另一個實施例中,YBEGIN WITH STRIDE指令不僅包括運算碼、位移運算元和跨距值運元,還可以包括像是DSX狀態暫存器的DSX狀態和像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如1107所示。在一些實施例中,位移被提供作為立即數運算元。在其他實施例中,位移值被儲存在暫存器或記憶體位置運算元中。在一些實施例中,跨距被提供作為立即數運算元。在其他實施例中,跨距被儲存在暫存器或記憶體位置運算元中。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以 是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。取決於具有跨距YBEGIN實施,用於RTM狀態暫存器的隱含運算元被使用。
在另一個實施例中,YBEGIN WITH STRIDE指令不僅包括運算碼、位移運算元和跨距值運元,還可以包括像是DSX狀態暫存器的DSX狀態、像是DSX巢狀計數暫存器的DSX巢狀計數和RTM暫存器的明確運算元,如409所示。在一些實施例中,位移被提供作為立即數運算元。在其他實施例中,位移值被儲存在暫存器或記憶體位置運算元中。在一些實施例中,跨距被提供作為立即數運算元。在其他實施例中,跨距被儲存在暫存器或記憶體位置運算元中。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
當然具有跨距YBEGIN的其它變體也是可能的。例如,代替提供位移值,指令包括在立即數、暫存器或記憶體位置任一者中的後備位址本身。
回到圖10,在1003,所擷取的/接收的YBEGIN WITH STRIDE指令被解碼。在一些實施例中,指令是由如那些後面詳述的硬體解碼器所解碼。在一些實施例中,指令被解碼成微運算(micro-ops)。例如,某些CISC基機器通常使用從一個巨指令導出的微運算。在其它實施例 中,解碼是如即時編譯的軟體例行的一部分。
在1005,與解碼的YBEGIN WITH STRIDE指令相關的任何運算元被取回。例如,來自DSX暫存器、DSX巢狀計數暫存器及/或RTM狀態暫存器中的一或多個的資料被取回。
在1007,所解碼的YBEGIN WITH STRIDE指令被執行。在指令被解碼成微運算的實施例中,這些微運算被執行。在解碼的指令的執行導致對硬體去做一或多個的下列將要執行的動作:1)決定的RTM交易為啟動的並開始進行交易;2)使用添加到YBEGIN WITH STRIDE指令的指令指標的位移值來計算後備位址;3)增加DSX巢狀計數;4)中止;5)設置DSX狀態為啟動;6)重新設定DSX追蹤硬體,及/或7)對DSX追蹤硬體提供跨距值。
通常情況下,在YBEGIN WITH STRIDE指令的一個實例,如果沒有啟動的RTM交易,則在DSX狀態被設為啟動的,DSX巢狀計數增加(如果計數小於最大),在DSX追蹤硬體被重設(例如,如上文詳細描述),以及後備位址是使用位移值來計算以開始DSX區域。如前面詳細描述的,用於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。DSX追蹤硬體的重新設定也如前所述。
通常情況下,在YBEGIN WITH STRIDE指令的一個 實例,如果沒有啟動的RTM交易,則在DSX狀態被設為啟動的,DSX巢狀計數增加(如果計數小於最大),在DSX追蹤硬體被重設(例如,如上文詳細描述使用所提供的跨距),以及後備位址是使用位移值來計算以開始DSX區域。如前面詳細描述的,用於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。DSX追蹤硬體的重新設定也如前所述。如前面詳細描述的,用於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定DSX是否確實發生。
如果有一些原因DSX不能開始,則其它潛在動作的一或多個發生。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動且在RTM是繼續的。如果一開始DSX的設定有什麼錯誤(巢狀計數不正確),則中止將發生。另外,在一些實施例中,如果沒有DSX,則產生故障且無運算(NOP)被執行。無論進行哪個動作,在大多數實施例中,在該動作之後,DSX狀態被重新設定(如果已設定),以指示不 存在未決的DSX。
圖12示出像是YBEGIN WITH STRIDE指令的指令的執行的細節的實施例。例如,在一些實施例中,該流程是圖10的方框1007。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在一些實施例中,例如在支持RTM交易的處理器中,RTM交易是否正發生的決定在1201作出。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動。在這種情況下,在RTM交易出現了一些問題,且它的結束程序應被激活。通常,RTM交易狀態被儲存在如RTM控制和狀態暫存器的暫存器中。處理器的硬體評估此暫存器的內容,以決定是否有RTM交易發生。在1203,當有RTM交易發生時,RTM交易繼續處理。
當沒有RTM交易發生,或不支持RTM,則當前的DSX巢狀計數是否小於最大巢狀計數的決定在1205作出。在一些實施例中,用以儲存當前的巢狀計數的巢狀計數暫存器是藉由YBEGIN WITH STRIDE指令作為運算元所提供。可替代地,專用的巢狀計數暫存器可以在硬體中存在,以被用於儲存當前的巢狀計數。最大巢狀計數為DSX開始的最大數量(例如,經由YBEGIN指令)其可出現而沒有對應的DSX端(例如,經由YEND指令)。
當電流巢狀計數是大於最大值,在1207發生中止。在一些實施例中,中止觸發回轉。在其他實施例中,進行YABORT指令如下所詳述,其不僅對後備位址進行回轉,也丟棄推測性儲存的寫入和重新設定當前巢狀計數並設定在DSX狀態為非啟動狀態。如上詳述,DSX狀態通常被儲存在像是DSX狀態的控制暫存器中和如圖1所是的控制暫存器(DSXSR)中。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。
在1209,當電流巢狀計數不大於最大值,當前的DSX巢狀計數被遞增。
在1211,作成當前的DSX巢狀計數是否等於一的決定。在一些實施例中,在1213,後備位址是藉由增加由YBEGIN WITH STRIDE指令對YBEGIN WITH STRIDE指令之後的指令的位址所提供的位移值所計算。在其中YBEGIN WITH STRIDE指令提供的後備地位的實施例中,則此計算不是必要的。
在1215,在DSX狀態設為啟動的(如果需要的話),並在DSX追蹤硬體被重新設定(例如,如上文詳述包括使用所提供的跨距值)。例如,如前面詳細描述的,對於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可 以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定DSX是否確實發生。
YCONTINUE指令
當DSX將要結束(例如,迴圈的疊代已經走完)而沒有任何問題,在一些實施例中,指令(YEND)被執行以指示如下詳述的推測性區域的尾端。總之,此指令的執行導致當前的推測性狀態(即尚未被寫入的所有寫入)的提交,以及如下面將要討論的當前的推測性區域的出口。迴圈的另一次疊代接著可以藉由呼叫另一YBEGIN來開始。
然而,在一些實施例中,當不在需要推測時(例如當儲存之間沒有衝突存在時),透過繼續指令的使用而提交當前的迴圈疊代,對YBEGIN、YEND、YBEGIN等的週期優化。該繼續指令也開始了新的推測性迴圈疊代,而無需呼叫YBEGIN。
圖13示出了用於繼續DSX而不結束它的指令的執行的實施例。如將在本文中詳細描述的,此指令被稱為「YCONTINUE」且被用於發信交易的結束。當然,指令可以被稱為由另一個名字。
在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在1301,YCONTINUE指令被接收/擷取。例如,指令是從記憶體擷取至指令快取或從指令快取擷取出。所擷取的指令可以採取若干形式中的一種。
圖14示出YCONTINUE指令格式的一些示例性實施例。在一個實施例中,YCONTINUE指令包括運算碼(YCONTINUE),但沒有明確的運算元如在1401示出。取決於YCONTINUE實施,隱含運算元用於DSX狀態暫存器及/或RTM狀態暫存器。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。此外,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
在另一個實施例中,YCONTINUE指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態的明確運算元,如1403所示。取決於YCONTINUE實施,用於巢狀計數暫存器的隱含運算元被使用。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存 器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。此外,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
在另一個實施例中,YCONTINUE指令不僅包括運算碼,還可以包括像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如1405所示。取決於YCONTINUE實施,用於DSX狀態暫存器的隱含運算元被使用。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。此外,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
在另一個實施例中,YCONTINUE指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態和像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如1407所示。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器 的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。此外,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
回到圖13,在1303,所擷取的/接收的YCONTINUE指令被解碼。在一些實施例中,指令是由如那些後面詳述的硬體解碼器所解碼。在一些實施例中,指令被解碼成微運算(micro-ops)。例如,某些CISC基機器通常使用從一個巨指令導出的微運算。在其它實施例中,解碼是如即時編譯的軟體例行的一部分。
在1305,與解碼的YCONTINUE指令相關的任何運算元被取回。例如,來自DSX暫存器和DSX巢狀計數暫存器中的一或多個的資料被取回。
在1307,所解碼的YCONTINUE指令被執行。在指令被解碼成微運算的實施例中,這些微運算被執行。在解碼的指令的執行導致對硬體去做一或多個的下列將要執行的動作:1)決定使推測性寫入與DSX相關將被提交作為推測已經不再需要且提交他們,以及開始新的推測性迴圈疊代(像是新的DSX區域);及/或2)沒有運算。
這些動作(使推測性寫入終結和開始新的推測性迴圈 疊代)的第一者可以由先前詳細的DSX檢查硬體來進行。在此動作中,與DSX的迴圈疊代相關的所有推測性寫入被提交(被儲存使得它們在DSX之外為可存取的),但不同於YEND指令,DSX狀態並未被設為指示DSX不存在。例如,與DSX相關的所有寫入(如儲存在快取、暫存器或記憶體中)被提交使得它們最後確定並在DSX的外部可見。通常情況下,DSX提交將不會發生,除非DSX巢狀計數為1。否則,在一些實施例中,則執行nop。
如果DSX為不啟動的,則在一些實施例中可執行nop。
圖15示出像是YCONTINUE指令的指令的執行的實施例。例如,在一些實施例中,該流程是圖13的方框1307。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在1501,作成DSX是否為啟動的決定。如上詳述,DSX狀態通常被儲存在像是DSX狀態的控制暫存器中和如圖1所是的控制暫存器(DSXSR)中。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。無論狀態在哪裡被儲存,該位置是藉由處理器的硬體進行檢查,以決定DSX是否確實發生。
當沒有DSX發生,在1503,執行無運算(no op)。
當有DSX發生,在1505,作出DSX巢狀計數是否等於一的決定。如上詳述,DSX巢狀計數通常儲存在巢狀計數暫存器。當DSX巢狀計數不是一,在507執行nop。當DSX巢狀計數為一,在1509完成提交和DSX重啟。當提交和DSX重啟發生,在一些實施例中,下列的一或多種發生:1)DSX追蹤硬體被重新設定(例如,如上文詳述的),2)後備位址被計算,以及3)先前的推測性區域的推測性地執行的指令的提交被作成。
圖16示出顯示像是YCONTINUE指令的指令的執行的虛擬碼的示例。
YBORT指令
有時,DSX內有些問題而需要DSX去中止(像是誤推測)。圖17示出了用於中斷DSX的指令的執行的實施例。如將在本文中詳細描述的,該指令被稱為「YABORT」。當然,指令可以被稱為由另一個名字。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在1701,YABORT指令被接收/擷取。例如,指令是從記憶體擷取至指令快取或從指令快取擷取出。所擷取的指令可以採取若干形式中的一種,詳述如下。
圖18示出YABORT指令格式的一些示例性實施例。在一個實施例中,YABORT指令僅包括運算碼(YABORT)如在1801所示出的。取決於YABORT實施,對於DSX狀態暫存器及/或RTM狀態暫存器,隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
在另一個實施例中,YABORT指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態暫存器的明確運算元,如1803所示。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。取決於YABORT實施,用於RTM狀態暫存器的隱含運算元被使用。
在另一個實施例中,YABORT指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態暫存器及RTM狀態暫存器的明確運算元,如1805所示。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
回到圖17,在1703,所擷取的/接收的YABORT指令被解碼。在一些實施例中,指令是由如那些後面詳述的硬 體解碼器所解碼。在一些實施例中,指令被解碼成微運算(micro-ops)。例如,某些CISC基機器通常使用從一個巨指令導出的微運算。在其它實施例中,解碼是如即時編譯的軟體例行的一部分。
在1705,與解碼的YABORT指令相關的任何運算元被取回。例如,來自DSX暫存器及/或RTM狀態暫存器中的一或多個的資料被取回。
在1707,所解碼的YABORT指令被執行。在指令被解碼成微運算的實施例中,這些微運算被執行。在解碼的指令的執行導致對硬體去做一或多個的下列將要執行的動作:1)決定RTM交易是啟動的,且中止RTM交易;2)決定DSX不啟動且執行無運算;及/或3)藉由重設任何DSX巢狀計數、丟棄所有推測性執行的寫入、設定DSX狀態為不啟動的以及回轉執行到後備位址以中止DSX。
關於第一個動作,RTM狀態通常儲存在RTM狀態和控制暫存器中。當暫存器指示RTM交易發生時,YABORT指令不應該被執行。正因為如此,具有與RTM交易的一個問題且它應該中止。
關於第二和第三個動作,如前面詳細描述的,對於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定 DSX是否確實發生。當不存在藉由暫存器指示的DSX,那麼就沒有理由去執行YABORT指令以及因此這種無運算(或類似的操作)被執行。當存在有藉由暫存器指示的DSX,則DSX中止處理發生,其包括重設DSX追蹤硬體、丟棄所有儲存的推測性執行的寫入及重設DSX狀態處於非啟動的,並回轉執行。
圖19示出像是YABORT指令的指令的執行的實施例。例如,在一些實施例中,該流程是圖17的方框1707。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在一些實施例中,例如在支持RTM交易的處理器中,RTM交易是否正發生的決定在1901作出。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動。在這種情況下,在RTM交易出現了一些問題,且它的結束程序應被激活。通常,RTM交易狀態被儲存在如RTM控制和狀態暫存器的暫存器中。處理器的硬體評估此暫存器的內容,以決定是否有RTM交易發生。在1903,當有RTM交易發生時,RTM交易繼續處理。
當沒有RTM交易發生,或不支持RTM,則DSX是否為啟動的決定在1905作出。用於DSX的狀態是通常被儲存在可存取的位置,如與圖1相關以上所討論的DSX狀 態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定DSX是否發生。
當不存在藉由暫存器指示的DSX,那麼在1907執行nop。當存在有藉由暫存器指示的DSX,則在1909,DSX中止處理發生,其包括重設DSX追蹤硬體、丟棄所有儲存的推測性執行的寫入及重設DSX狀態處於非啟動的,並回轉執行。
圖20示出顯示像是YABORT指令的指令的執行的虛擬碼的示例。
YTEST指令
通常,軟體需要知道在開始新的推測性區域之前,DSX是否為啟動的。圖21示出了用於測試DSX之狀態的指令的執行的實施例。如將在本文中詳細描述的,此指令被稱為「YTEST」且被用以於透過旗標的使用提供DSX啟動的指示。當然,指令可以被稱為由另一個名字。
在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在2101,YTEST指令被接收/擷取。例如,指令是從記憶體擷取至指令快取或從指令快取擷取出。所擷取的指 令可以採取若干形式中的一種。圖22示出YTEST指令格式的一些示例性實施例。在一個實施例中,YTEST指令包括運算碼(YTEST),但沒有明確的運算元如在2201示出。用於DSX狀態暫存器和旗標暫存器的隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。典型的旗標暫存器包括EFLAGS暫存器。尤其是,旗標暫存器是用以儲存零旗標(ZF)。
在另一個實施例中,YTEST指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態的明確運算元,如2203所示。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。用於旗標暫存器的隱含運算元被使用。典型的旗標暫存器包括EFLAGS暫存器。尤其是,旗標暫存器是用以儲存零旗標(ZF)。
在另一個實施例中,YTEST指令不僅包括運算碼,還可以包括用於旗標暫存器的明確運算元,如2205所示。典型的旗標暫存器包括EFLAGS暫存器。尤其是,旗標暫存器是用以儲存零旗標(ZF)。用於DSX狀態暫存器的隱含運算元被使用。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。
在另一個實施例中,YTEST指令不僅包括運算碼,還可以包括像是DSX狀態暫存器和旗標暫存器的DSX狀態的明確運算元,如2207所示。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。用於旗標暫存器的隱含運算元被使用。典型的旗標暫存器包括EFLAGS暫存器。尤其是,旗標暫存器是用以儲存零旗標(ZF)。
回到圖21,在2103,所擷取的/接收的YTEST指令被解碼。在一些實施例中,指令是由如那些後面詳述的硬體解碼器所解碼。在一些實施例中,指令被解碼成微運算(micro-ops)。例如,某些CISC基機器通常使用從一個巨指令導出的微運算。在其它實施例中,解碼是如即時編譯的軟體例行的一部分。
在2105,與解碼的YTEST指令相關的任何運算元被取回。例如,來自DSX狀態暫存器中的資料被取回。
在2107,所解碼的YTEST指令被執行。在指令被解碼成微運算的實施例中,這些微運算被執行。在解碼的指令的執行導致對硬體去做一或多個的下列將要執行的動作:1)決定DSX狀態暫存器指示DSX為啟動的,以及如果是這樣則設定旗標誌暫存器中的零旗標為0,或2)決定DSX狀態暫存器指示DSX不啟動,以及如果是這樣則設定設定旗標誌暫存器中的零旗標為1。當然,零旗標用於顯示DSX啟動的狀態,其他旗標取決於實施例而被 使用。
圖23示出顯示像是YTEST指令的指令的執行的虛擬碼的示例。
YEND指令
當DSX將要結束(例如,迴圈的疊代已經走完)而沒有任何問題,在一些實施例中,指令被執行以指示推測性區域的尾端。總之,此指令的執行導致當前的推測性狀態(即尚未被寫入的所有寫入)的提交,以及當前的推測性區域的出口。
圖24示出了用於結束DSX的指令的執行的實施例。如將在本文中詳細描述的,此指令被稱為「YEND」且被用於發信DSX的結束。當然,指令可以被稱為由另一個名字。
在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在2401,YEND指令被接收/擷取。例如,指令是從記憶體擷取至指令快取或從指令快取擷取出。所擷取的指令可以採取若干形式中的一種。圖25示出YEND指令格式的一些示例性實施例。在一個實施例中,YEND指令包括運算碼(YEND),但沒有明確的運算元如在2501示出。取決於YEND實施,用於DSX狀態、巢狀計數及/或 RTM狀態的隱含暫存器運算元被使用。
在另一個實施例中,YEND指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態的明確運算元,如2503所示。如前面詳細描述的,DSX狀態暫存器可以是專用暫存器,在不是專用於DSX狀態(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標等等。取決於YEND實施,用於巢狀計數及/或RTM狀態的隱含暫存器運算元被使用。
在另一個實施例中,YEND指令不僅包括運算碼,還可以包括像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如2505所示。如前面詳細描述的,DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(像旗標暫存器的總體狀態暫存器)的暫存器中的旗標。取決於YEND實施,用於DSX狀態及/或RTM狀態的隱含暫存器運算元被使用。
在另一個實施例中,YEND指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態和像是DSX巢狀計數暫存器的DSX巢狀計數的明確運算元,如2507所示。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。取決於YEND實施,用於RTM狀態暫存器的隱含運算元被使用。
在另一個實施例中,YEND指令不僅包括運算碼,還可以包括像是DSX狀態暫存器的DSX狀態、像是DSX巢狀計數暫存器的DSX巢狀計數以及RTM狀態的明確運算元,如2509所示。如前面詳細描述的,在DSX狀態暫存器可以是專用暫存器,不是專用於DSX狀態(像是旗標暫存器的如總體狀態暫存器等等)的暫存器中的旗標,以及DSX巢狀計數可以是專用暫存器,在不是專用於DSX巢狀計數(如總體狀態暫存器)的暫存器中的旗標。
回到圖24,在2403,所擷取的/接收的YEND指令被解碼。在一些實施例中,指令是由如那些後面詳述的硬體解碼器所解碼。在一些實施例中,指令被解碼成微運算(micro-ops)。例如,某些CISC基機器通常使用從一個巨指令導出的微運算。在其它實施例中,解碼是如即時編譯的軟體例行的一部分。
在2405,與解碼的YEND指令相關的任何運算元被取回。例如,來自DSX暫存器、DSX巢狀計數暫存器及/或RTM狀態暫存器中的一或多個的資料被取回。
在2407,所解碼的YEND指令被執行。在指令被解碼成微運算的實施例中,這些微運算被執行。在解碼的指令的執行導致對硬體去做一或多個的下列將要執行的動作:1)使推測性寫入與DSX最終相關;2)發出故障信號(如一般的保護錯誤),且執行無運算;3)中止DSX;及/或4)結束RTM交易。
這些動作(使推測性寫入為最終)的第一者導致與 DSX相關的所有推測性寫入被提交(被儲存使得它們在DSX外部為可存取的)以及DSX狀態被設定以指示DSX未存在於DSX狀態暫存器中。例如,與DSX相關的所有寫入(如儲存在快取、暫存器或記憶體中)被提交使得它們最後確定並在DSX的外部可見。通常情況下,DSX不能最終確定,除非用於該推測的巢狀計數為零。如果巢狀計數大於零,則在一些實施例中,執行NOP指令。
如果有一些原因DSX不能最終確定,則其它三個潛在動作的一或多個發生。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動。在這種情況下,在RTM交易出現了一些問題,且它的結束程序應被激活,如上述的第四個動作所指示。
在一些實施例中,如果沒有DSX,則產生故障且無運算(NOP)被執行。例如,如前面詳細描述的,對於DSX的狀態是通常被儲存在像是暫存器的可存取的位置,如與圖1相關以上所討論的DSX狀態和控制暫存器(DSXSR)。然而,如在非專用控制/狀態暫存器(如FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。此暫存器可以藉由核心的硬體進行檢查,以決定DSX是否確實發生。
在一些實施例中,如果在交易的提交中失敗,則實施中止程序。例如,在支持RTM的處理器的一些實施例中,在RTM中止程序被激活。
無論進行哪個動作,在大多數實施例中,在該動作之後,DSX狀態被重新設定(如果已設定),以指示不存在未決的DSX。
圖26示出像是YEND指令的指令的執行的實施例。例如,在一些實施例中,該流程是圖24的方框2407。在一些實施例中,在像是中央處理單元(CPU)、圖形處理單元(GPU)、加速處理單元(APU)、數位信號處理器(DSP)等的硬體裝置的一個以上的硬體核心上進行此執行。
在一些實施例中,例如在支持RTM交易的處理器中,RTM交易是否正發生的決定在2601作出。例如,在支持RTM的處理器的一些實施例中,如果RTM交易是啟動的,則一開始不應該有DSX啟動。在這種情況下,在RTM交易出現了一些問題,且它的結束程序應被激活。通常,RTM交易狀態被儲存在如RTM控制和狀態暫存器的暫存器中。處理器的硬體評估此暫存器的內容,以決定是否有RTM交易發生。
在2603,當有RTM交易發生時,呼叫該RTM交易結束。例如,用以結束RTM交易的指令被呼叫並執行。這種指令的例子是XEND。
當沒有RTM交易發生,則DSX是否為啟動的決定在2605作出。如上詳述,DSX狀態通常被儲存在像是DSX狀態的控制暫存器中和如圖1所是的控制暫存器(DSXSR)中。然而,如在非專用控制/狀態暫存器(如 FLAGS暫存器)中的DSX狀態旗標的其他機構都可以被利用。無論狀態在哪裡被儲存,該位置是藉由處理器的硬體進行檢查,以決定DSX是否確實發生。
當沒有DSX發生,在2607,產生錯誤。例如,一般性保護錯誤產生。此外,在一些實施例中,執行無運算(nop)。
在2609,當沒有DSX發生,遞減DSX巢狀計數。例如,如上面詳述的儲存在DSX巢計數暫存器中的DSX巢狀計數遞減。
在2611,作成DSX巢狀計數是否等於零的決定。如上詳述,DSX巢狀計數通常儲存在暫存器。當巢狀計數不為零,則在一些實施例中,執行NOP。當DSX巢狀計數為零,當前的DSX推測性狀態被最終決定被提交,在2615。
在2617,作成提交是否成功的決定。例如,儲存中是否有錯誤?如果否,則在2621,DSX被中止。當提交為成功時,DSX狀態指示(例如儲存在DSX狀態和控制暫存器)被設為指示沒有DSX為啟動的,在2619。在一些實施例中,指示的設定在錯誤2607的產生或DSX 2621的中止之後發生。
圖27示出顯示像是YEND指令的指令的執行的虛擬碼的示例。
下面所討論的為指令格式的實施例和執行資源用以執行上述的指令。
指令集包括一或多個指令格式。給定的指令格式定義各種欄位(位元數、位元位置)以在其他事項之間指定將要執行的運算(opcode,運算碼)和將要執行的運算上的運算元。透過指令模板(或子格式)的定義,有些指令格式進一步被細分。例如,給定的指令格式的指令模板可以被定義為具有不同子集的指令格式的欄位(所包括的欄位通常係相同的順序,但至少有一些具有不同的位元位置,因為其包括有更少欄位)及/或被定義為具有不同解釋的給定欄位。因此,每一個ISA的指令是使用給定的指令格式(以及如果被定義,在該指令格式的指令模板中給定的一者之中)來表達,並且包括用於指定運算和運算元的欄位。例如,示例性ADD指令具有特定的運算碼和包括運算碼欄位的指令格式,以指定運算碼和運算元欄位來選擇運算元(來源1/目的地和來源2);以及在指令串流中的此ADD指令的出現將在選擇特定的運算元的運算元欄位中具有特定內容。已經發布及/或公布一組SIMD擴充,其被稱為進階向量擴充(Advanced Vector Extensions,AVX)(AVX1和AVX2),並且使用向量擴充(Vector Extensions,VEX)編碼機制(例如,參見2011年10月之Intel® 64和IA-2架構軟體開發者手冊,以及參見2011年6月看到Intel®進階矢向擴充編程參考)。
示例性指令格式
本發明所描述的指令的實施例可以利用不同的格式體 現。此外,示例性的系統、架構、和管線詳述如下。指令的實施例可以在這樣的系統、架構、和管線執行,但不局限於這些詳細說明。
A.通用向量友好指令格式
向量友好指令格式是適用於向量指令的指令格式(例如,有針對向量運算的某些欄位)。所描述的實施例中,向量和純量運算兩者都透過向量友好指令格式所支持,替代的實施例中只使用向量運算的向量友好指令格式。
圖28A至28B是根據本發明的實施例,示出通用向量友好指令格式和其指令模板的方框圖。圖28A是根據本發明的實施例,示出通用向量友好指令格式和其類別A指令模板的方框圖。而圖28B是根據本發明的實施例,示出通用向量友好指令格式和其類別B指令模板的方框圖。具體地講,被定義為類別A和類別B的通用向量友好指令格式2800,這兩者都包括無記憶體存取2805指令模板和記憶體存取2820指令模板。在向量友好指令格式的上下文中之術語通用是指不繫於任何特定指令集的指令格式。
而本發明所描述的發明之實施例,其中向量友好指令格式支持下列:64位元組(byte)向量運算元長度(或大小)其具有32位元(4位元組)或64位元(8位元組)資料元件寬度(或大小)(以及因此,64位元組向量由16個雙字(doubleword)大小元件或替代地,8個四倍字(quadword)大小元件);64位元組向量運算元長度 (或大小)其具有16位元(2位元組)或8位元(1位元組)資料元件寬度;32位元組向量運算元長度(或大小)其具有32位元(4位元組)、64位元(8位元組)或8位元(1位元組)資料元件寬度(或大小);以及16位元組向量運算元長度(或大小)其具有32位元(4位元組)、64位元(8位元組)或8位元(1位元組)資料元件寬度(或大小);替代實施例可以支持更多、更少及/或不同的向量運算元大小(例如,256位元組向量運算元)其具有更多、更少或不同的資料元件寬度(例如,128位元(16位元組)的資料元件寬度)。
圖28A中的類別A指令模板包括:1)無記憶體存取2805指令模板內,示出了無記憶體存取、全捨入控制類型運算2810指令模板以及無記憶體存取資料變換類型運算2815指令模板;以及2)記憶體存取2820指令模板內,示出了記憶體存取暫時2825指令模板以及記憶體存取非暫時2830指令模板。圖28B中的類別B指令模板包括:1)無記憶體存取2805指令模板內,示出了無記憶體存取、寫入遮罩控制、部分捨入控制類型運算2812指令模板以及無記憶體存取寫入遮罩控制向量長度(VSIZE)類型運算2817指令模板;以及2)記憶體存取2820指令模板內,示出了記憶體存取寫入遮罩控制2827指令模板。
通用向量友好指令格式2800包括在圖28A至28B所示的順序中於下方所列出的以下欄位。
格式欄位2840-在此欄位的一特定值(指令格式識別符值)唯一識別該向量友好指令格式,且因此在指令串流中的向量友好指令格式中的指令發生。因此,該欄位是可選的,因為它不需要用於僅具有通用向量友好指令格式的指令集。
基底運算欄位(Base operation field)2842-其內容區分不同的基底運算。
暫存器索引欄位(Register index field)2844-它的內容,直接地或透過位址產生,指定來源的位置和目的地運算元,無論是在暫存器或記憶體中。這些包括足夠數目的位元用以從P×Q個(例如32x512、16x128、32x1024、64x1024)暫存器檔選擇N個暫存器。而在一個實施例中,N可以是多達三個來源和一個目的地暫存器,替代的實施例可支持更多或更少的來源和目的地暫存器(例如,可以支持多達兩個來源,其中這些來源中的一者還可作為目的地、可以支持多達到三個來源,其中這些來源中的一者還可作為目的地、可以支持多達兩個來源和一個目的地)。
修飾符欄位(Modifier field)2846-其內容區分在通用向量指令格式中的指令的出現,其指定從那些不在無記憶體存取2805指令模板和記憶體存取2820指令模板之間的記憶體存取。記憶體存取運算讀取及/或寫入至記憶體階層(在某些情況下,使用在暫存器中的值來指定來源及/或目的地位址),而非記憶體存取運算則不讀取及/或 寫入(例如,來源和目的地為暫存器)。而在一個實施例中,此欄位還在三種不同的方式之間選擇以執行記憶體位址計算,替代實施例可支持更多、更少或不同的方式以執行記憶體位址計算。
擴充運算欄位(Augmentation operation field)2850-其內容區分各種不同的運算中的哪一個以在除基底運算之外被執行。此欄位是上下文特定。在本發明的一個實施例中,該欄位被劃分成類別欄位2868,α欄位2852、β欄位2854。擴充運算欄位2850允許將在單個指令中而不是2個、3個或4個的指令中被執行之運算的通用群組。
比例欄位(Scale field)2860-其內容允許索引欄位的內容之縮放用於記憶體位址產生(例如,使用2比例*索引+基底之位址產生)。
位移欄位(Displacement Field)2862A-其內容被使用作為記憶體位址產生的一部分(例如,使用2比例*索引+基底+位移之位址產生)。
位移因子欄位(Displacement Factor Field)2862B(注意,在位移欄位2862A的正上方的位移因子欄位2862B之並列指示一個或另一個被使用)-其內容作為位址產生的部分;其指定位移因子是藉由記憶體存取(N)的大小而被縮放-其中N是記憶體存取中的位元組數(例如,對於採用2比例*索引+基底+經定標之位移)。冗餘低階位元被忽略,且因此,位移因子欄位的內容與記憶體運算元總大小(N)相乘,以產生最終的位移以被使用於計 算有效位址。N的值是依據全運算碼欄位(full opcode field)2874(在後續描述)以及資料操縱欄位2854C在運行期間藉由處理器硬體所決定。位移欄位2862A以及位移因子欄位2862B在他們沒有被用於無記憶體存取2805指令模板時為可選的,以及/或不同的實施例可能只實施兩者之一或兩者皆無。
資料元件寬度欄位(Data element width field)2864-其內容區分數個資料元件寬度中的哪一者被使用(在一些實施例中用於所有指令;在一些實施例中只用於指令中的一些)。此欄位是可選的,如果只有一個資料素寬度被支持及/或使用運算碼中的一些態樣而使資料元件寬度被支持,則不須要此欄位。
寫入遮罩欄位(Write mask field)2870-其內容,在每資料元件位置基礎上,控制在目的地向量運算元中的資料元件位置是否反應出基底運算和擴充運算的結果。類別A指令模板支持合併寫入遮蓋(merging-writemasking),而類別B指令模板支持支持合併和歸零寫入遮蓋(zeroing-writemasking)兩者。當合併時,向量遮罩允許目的地中的任何一組元件被保護而在任何運算的執行期間(由基底運算和擴充運算所指定)免於更新;在另一個實施例中,保留其對應的遮罩位元具有0之目的地中的每一個元件的舊值。相反地,當歸零向量遮罩允許目的地中的任何一組元件在任何運算的執行期間(由基底運算和擴充運算所指定)被歸零時;在另一個實施例中,當 對應的遮罩位元具有0時,目的地中的元件被設定為0。此功能性的一子集為用以控制被執行的運算的向量長度之能力(也就是,從第一個到最後一個正被修改的元件的跨距);然而,被修改的元件不須要是連續的。寫入遮罩欄位(write mask field)2870允許部分的向量運算,其包括載入、儲存、運算、邏輯等。而本發明的實施例描述為,其中寫入遮罩欄位2870的內容在數個包含將被使用的寫入遮罩的寫入暫存器中選擇一者(以及因此寫入遮罩欄位2870的內容非直接地識別將被執行的遮蓋),替代的實施例替代或額外的允許遮罩寫入欄位2870的內容用以直接地指定將被執行的遮罩。
立即數欄位(Immediate field)2872-其內容允許立即數的規格。此欄位是可選的,其不出現在不支持立即數的通用向量友善格式的實施中且其不出現在不使用立即數的指令中。
類別欄位(Class field)2868-其內容區分兩個不同類別間的指令。參照圖28A至B,此欄位的內容在類別A和類別B之間選擇。在圖28A至B中,圓弧框被用以指示欄位中出現的特定值(例如,分別於圖28A至B中用於類別欄位2868的類別A 2868A和類別B 2868B)。
類別A的指令模板
在類別A的無記憶體存取2805指令模板的情況中,α欄位2852被解譯為RS欄位2852A,其內容區分不同的擴 充運算類型中的哪一者將被執行(例如,捨入(round)2852A.1和資料變換(data transform)2852A.2分別被指定用於非記憶體存取、捨入類型運算2810和無記憶體存取、資料變換類型運算2815指令模板),而β欄位2854區分所指定的類型的運算中的哪一者將被執行。在無記憶體存取2805指令模板中,沒有出現比例欄位2860、位移欄位2862A和位移比例欄位2862B。
無記憶體存取指令模板-完全捨入控制類型運算(Full Round Control Type Operation)
在無記憶體存取完全捨入控制類型運算2810指令模板中,β欄位2854被解譯為捨入控制欄位2854A,其內容提供靜態捨入。而在本發明所描述的實施例中,捨入控制欄位2854A包括抑制所有浮點數異常(suppress all floating point exceptions,SAE)欄位2856以及捨入運算控制欄位2858,替代實施例可支持將這兩者概念編碼進相同欄位或這些概念/欄位中的一者或另一者(例如,可只具有捨入運算控制欄位2858)。
SAE欄位2856-其內容區分是否禁能異常事件回報;當SAE欄位2856的內容指示抑制被致能,給定的指令並未回報任何種類的浮點數異常旗標且未引起任何浮點數異常處理。
捨入運算控制欄位(Round operation control field)2858-其內容區分一組捨入運算中的哪一者要執行(例 如,向上捨入、向下捨入、向零捨入和就近捨入)。因此,捨入運算控制欄位2858允許在每一指令基礎上改變捨入模式。在其中處理器包括用於指定捨入模式的控制暫存器的本發明的一個實施例中,捨入運算控制欄位2850的內容覆寫該暫存器值。
無記憶體存取指令模板-資料變換類型運算
在無記憶體存取資料變換類型運算2815指令模板中,β欄位2854被解譯為資料變換欄位2854B其內容區分將要執行的數個資料變換中的哪一者(例如,無資料變換、拌和(swizzle)、廣播)。
在類別A的記憶體存取2820指令模板的情況中,α欄位2852被解譯為驅逐提示欄位(eviction hint field)2852B其內容區別哪一個驅逐提示將被使用(在圖28A中之時效性(temporal)2852B.1和非時效性(non-temporal)2852B.2分別被指定用於記憶體存取、時效性2825指令模板和記憶體存取、非時效性2830指令模板),而β欄位2854被解譯為資料操縱欄位2854C,其內容區分數種資料操縱運算(亦習知為基元)中的哪一者將被執行(例如,無操縱;廣播;來源向上轉換;以及目的地向下轉換)。記憶體存取2820指令模板包括比例欄位2860以及可選地位移欄位2862A或位移比例欄位2862B。
向量記憶體指令執行來自記憶體的向量加載和至記憶 體的向量儲存,具有轉換支援。如同常規向量指令,向量記憶體指令以逐個資料元件方傳送來自記憶體的資料或傳送資料到記憶體,其中由被選為寫入遮罩的向量遮罩的內容決定實際傳送的元件。
記憶體存取指令模板-時效性
時效性資料是可能足夠快被再次使用以受益於快取的資料。然而,這就是提示,以及不同的處理器可以不同的方式來實施它,包括完全地忽視該提示。
記憶體存取指令模板-非時效性
非時效性資料是不太可能足夠快地被再次使用以受益於第一層中快取中的快取且應被給定優先級用於驅逐。然而,這就是提示,以及不同的處理器可以不同的方式來實施它,包括完全地忽視該提示。
類別B的指令模板
在類別B的指令模板的情況中,將α欄位2852解譯為寫入遮罩控制(Z)欄位2852C,其之內容區別由寫入遮罩欄位2870所控制之寫入遮蓋是否應為合併或歸零。
在類別B的無記憶體存取2805指令模板的情況中,將β欄位2854的一部份解譯為RS欄位2857A,其之內容區分不同的擴充運算類型中的哪一者將被執行(例如,針對無記憶體存取寫入遮罩控制部分捨入控制類型運算 2812指令模板及無記憶體存取寫入遮罩控制VSIZE類型運算2817指令模板分別指名捨入2857A.1及向量長度(VSIZE)2857A.2),而β欄位2854的其餘部分則區分所指明的類型的運算中的哪一者將被執行。在無記憶體存取2805指令模板中,沒有出現比例欄位2860、位移欄位2862A和位移比例欄位2862B。
在無記憶體存取寫入遮罩控制部分捨入控制類型運算2810指令模板中,β欄位2854的其餘部分被解譯為捨入運算欄位2859A且禁能異常事件回報(給定的指令不會回報任何種類的浮點異常旗標且不會引起任何浮點異常處理)。
捨入運算控制欄位(Round operation control field)2859A-如同捨入運算控制欄位2858,其內容區分一組捨入運算中的哪一者要執行(例如,向上捨入、向下捨入、向零捨入和就近捨入)。因此,捨入運算控制欄位2859A允許在每一指令基礎上改變捨入模式。在其中處理器包括用於指定捨入模式的控制暫存器的本發明的一個實施例中,捨入運算控制欄位2850的內容覆寫該暫存器值。
無記憶體存取寫入遮罩控制VSIZE類型運算2817指令模板中,β欄位2854的一部份被解譯為向量長度欄位2859B,其之內容區分將要執行的數個資料向量長度中的哪一者(例如,128、256、或512位元組)。
在類別B的記憶體存取2820指令模板的情況中,將 β欄位2854的一部分解譯為廣播欄位2857B,其之內容區分廣播類型資料操縱運算是否執行,而將β欄位2854的其餘部分解譯為向量長度欄位2859B。記憶體存取2820指令模板包括比例欄位2860以及可選地位移欄位2862A或位移比例欄位2862B。
關於通用向量友善指令格式2800,顯示全運算碼欄位2874,包括格式欄位2840、基底運算欄位2842及資料元件寬度欄位2864。示出一個實施例,其中全運算碼欄位2874包括所有這些欄位,在不支持他們全部的實施例中,全運算碼欄位2874包括少於這些欄位的全部。全運算碼欄位2874提供運算碼(opcode)。
擴充運算欄位2850、資料元件寬度欄位2864和寫入遮罩欄位2870允許在通用向量友善指令格式中每指令基礎上指明這些特徵。
寫入遮罩欄位和資料元件寬度欄位的組合創造鍵入指令,它們允許基於不同的資料元件寬度來施加遮罩。
在類別A及類別B內可見的各種指令模板在不同情況中有益。本發明的一些實施例中,不同的處理器或處理器內的不同核心可能只支持類別A、只支持類別B或支持兩個類別。例如,預期用於通用計算的高效能通用無序核心可以僅支持類別B、預期用於圖形及/或科學(通量)計算的核心可僅支持類別A以及預期用於兩者的核心可支持兩者(當然,具有來自兩個類別的模板和指令的某些混合但不是所有的模板和指令都來自這兩個類別的核心是落 入本發明的範圍內)。而且,單一處理器可包括多個核心,其中所有的核心支持相同的類別或其中不同的核心支持不同的類別。例如,在具有分開的圖形和通用核心的處理器中,預期主要用於圖形及/或科學計算的圖形核心中的一者可僅支持類別A,而通用核心的一或多者可以是高效能通用核心,其具有無序執行和預期用於通用計算的暫存器重新命名,僅支持類別B。不具有分開的圖形核心的另一處理器,可包括支持類別A和類別B兩者的一或多個通用有序或無序核心。當然,在本發明的不同實施例中,來自一個類別的特徵也可在其他類別中實施。用高階語言所寫的程式可以被放入(例如,即時編譯或靜態編譯)各種不同的執行形式,包括:1)僅具有用於執行之由目標處理器所支持的類別的指令之形式;或2)具有使用所有類別的指令的不同組合所編寫的替代例程且具有基於當前執行碼的處理器所支持的指令來選擇例程的控制流程碼之形式。
示例性特定向量友好指令格式
圖29是根據本發明的實施例,示出示例性特定向量友好指令格式的方框圖。圖29示出特定向量友好指令格式2900,在指定欄位的位置、大小、解譯和次序以及那些欄位中的一些的值之意義上,向量友好指令格式是特定的。特定向量友好指令格式2900可被用於擴展x86指令集,且因此欄位中的一些與在現有的x86指令集和其擴展 中(例如,AVX)所使用的那些相似或相同。這種格式與現有的x86指令集的前綴編碼欄位、實際運算碼位元組欄位、MOD R/M欄位、SIB欄位、位移欄位以及立即數欄位保持一致。將描述從圖28的欄位到圖29的欄位。
應該理解的是,即使出於說明性目的在通用向量友好格式2800的上下文中參考特定向量友好指令格式2900描述本發明的實施例,本發明除了所聲明之處外並不受限於特定向量友好指令格式2900。例如,通用向量友好指令格式2800設想用於各種欄位的各種可能的大小,而特定向量友好指令格式2900被示出為具有特定的大小的欄位。藉由特定的示例方式,資料元件寬度欄位2864被闡釋為特定向量友好指令格式2900中的一個位元欄位,而本發明並不受限於此(也就是說,通用向量友好指令格式2800設想其他大小的資料元件寬度欄位2864)。
通用向量友好指令格式2800包括在圖29A所示的順序中於下方所列出的以下欄位。
EVEX前綴(位元組0-3)2902-是以四位元組形式編碼。
格式欄位2840(EVEX位元組0,位元[7:0])-第一位元組(EVEX位元組0)是格式欄位2840且其包含0x62(在本發明的一個實施例中使用於分辨向量友好指令格式的唯一值)。
第二到第四位元組(EVEX位元組1-3)包括提供特定能力的數個位元欄位。
REX欄位2905(EVEX位元組1,位元[7-5])-由EVEX.R位元欄位(EVEX位元組1,位元[7]-R)、EVEX.X位元欄(EVEX位元組1,位元[6]-X)、以及2857BEX位元組1,位元[5]-B)所組成。EVEX.R、EVEX.X和EVEX.B位元欄位提供與對應的VEX位元欄位相同的功能性,以及使用1的補數形式來編碼,例如ZMM0被編碼為1111B,ZMM15被編碼為0000B。指令的其他欄位編碼本領域中已知的暫存器索引的較低三個位元(rrr、xxx和bbb),使得Rrrr、Xxxx和Bbbb可藉由添加EVEX.R、EVEX.X和EVEX.B來形成。
REX’欄位2910-這是REX’欄位2910的第一部份且是被用於編碼擴展的32暫存器集的上部16或下部16的EVEX.R’位元欄位(EVEX位元組1,位元[4]-R’)。在本發明的一個實施例中,此位元,以及下面所指示的其他位元,是以位元反轉格式所儲存,以與BOUND指令區別(習知的x86 32位元模式),BOUND指令的實際運算碼位元組是62,但在MOD R/M欄位(以下描述)中不接受MOD欄位中的值11;本發明的替代實施例不以反轉格式儲存此位元和下面的其他所指示的位元。值1被用來編碼下部16暫存器。換句話說,R’Rrrr是藉由組合EVEX.R’、EVEX.R和來自其他欄位的其他RRR來形成。
運算碼映射欄位2915(EVEX位元組1,位元[3:0]-mmmm)-其內容編碼暗示的前導運算碼位元組(0F、0F 38、或0F 3)。
資料元件寬度欄位2864(EVEX位元組2,位元[7]-W)是由符號EVEX.W所表示。EVEX.W被用來定義資料類型(32位元資料元件或64位元資料元件)的粒度(大小)。
EVEX.vvvv欄位2920(EVEX位元組2,位元[6:3]-vvvv)-EVEX.vvvv的角色可包括以下:1)EVEX.vvvv編碼以反轉(1的補數)形式指定的第一來源暫存器運算元,且對帶有2個或以上的來源運算元的指令有效;2)EVEX.vvvv編碼目的地暫存器運算元,該目的地暫存器運算元是以1的補數形式指定以用於特某些向量位移;或3)EVEX.vvvv不編碼任何運算元,該欄位被保留且應包含1111b。因此,EVEX.vvvv欄位2920編碼以反轉(1的補數)形式所儲存的第一來源暫存器的指定符的4個低階位元。依據指令,額外不同的EVEX位元欄位被用於擴展指定符的大小至32個暫存器。
EVEX.U類別欄位2868(EVEX位元組2,位元[2]-U)-如果EVEX.U=0,則它指示類別A或EVEX.U0;如果EVEX.U=1,則它指示類別B或EVEX.U1。
前綴編碼欄位2925(EVEX位元組2,位元[1:0]-pp)-為基底運算欄位提供額外位元。除了提供對以EVEX前綴格式的傳統SSE指令的支持外,這也具有壓縮SIMD前綴的益處(不像需要一位元組來表示SIMF前綴,EVEX前綴僅需2個位元)。在一個實施例中,欲在傳統格式和EVEX前綴格式兩者中支持使用SIMD前綴 (66H、F2H、F3H)的傳統SSE指令,將這些傳統SIMD前綴編碼到SIMD前綴編碼欄位中;並在被提供給解碼器的PLA之前,在運行時被擴展到傳統SIMD前綴中(因此PLA可以無須修改就執行這些傳統指令的傳統格式和EVE格式兩者)。儘管較新的指令可以直接將EVEX前綴編碼欄位的內容用作運算碼擴展,某些實施例以相似的方式以便獲得一致性,但允許這些傳統SIMD前綴指明不同的含義。替代的實施例可以重新設計PLA以便支持2位元SIMD前綴編碼,且因此不需擴展。
α欄位2852(EVEX位元組3,位元[7]-EH;亦稱為EVEX.EH,EVEX.rs、EVEX.RL、EVEX.寫入遮罩控制和EVEX.N;也用α描述)-如先前所描述,此欄位是上下文特定的。
β欄位2854(EVEX位元組3,位元[6:4]-SSS,亦稱為EVEX.s2-0、EVEX.r2-0、EVEX.rr1、EVEX.LL0、EVEX.LLB;也用βββ描述)-如先前所描述,此欄位是上下文特定的。
REX’欄位2810-這是REX’欄位的剩餘部份且可以是被用於編碼擴展的32暫存器集的上部16或下部16的EVEX.V’位元欄位(EVEX位元組3,位元[3]-V’)。此位元以位元反轉格式被儲存。值1被用來編碼下部16暫存器。換言之,藉由結合EVEX.V’、EVEX.vvvv來形成V’VVVV。
寫入遮罩欄位2870(EVEX位元組3,位元[2:0]- kkk)-其內容如前述般指明寫入遮罩暫存器中之一個暫存器的索引。在本發明之一實施例中,特定值EVEX.kkk=000具有特殊動作,意味著針對特定指令不使用寫入遮罩(這可以各種方式實現,包括使用對全部一的寫入遮罩硬體或繞過遮蓋硬體的硬體)。
真實運算碼欄位2930(位元組4)亦習知為運算碼位元組。運算碼的部分被指明在此欄位中。
MOD R/M欄位2940(位元組5)包括MOD欄位2942、Reg欄位2944、及R/M欄位2946。如先前所描述的,MOD欄位2942的內容在記憶體存取和無記憶存取運算之間做區分。Reg欄位2944的角色可被總結為兩種:編碼目的地暫存器運算元或來源暫存器運算元,或被看待成運算碼擴展,且不被用以編碼任何指令運算元。R/M欄位2946的角色可包括以下:編碼參照記憶體位址的指令運算元,或編碼目的地暫存器運算元或來源暫存器運算元。
比例、索引、基底(SIB)位元組(位元組6)-如先前所描述的,比例欄位2850的內容被用於記憶體位址產生。SIB.xxx 2954和SIB.bbb 2956-這些欄位的內已經先前參照相關暫存器索引Xxxx和Bbbb。
位移欄位2862A(位元組7-10)-當MOD欄位2942包含10時,位元組7-10是位移欄位2862A,且和傳統32位元位移(disp32)作用相同且作用如位元組粒度。
位移因子欄位2862B(位元組7)-當MOD欄位 2942包含01時,位元組7是位移因子欄位2862B。此欄位的位置和傳統x86指令集8位元位移(disp8)相同,其作用如位元組粒度。由於disp8為正負號擴展的,其僅可定址於-128和127位元組偏移之間:就64位元組快取線來說,disp8使用8位元,其僅可被設定成四個真正有用的值-128、-64、0和64;由於時常需要更大範圍,使用disp32;然而,disp32需要4位元組。相較於disp8及disp32,位移因子欄位2862B係disp8的重新解譯;當使用位移因子欄位2862B時,由位移因子欄位的內容乘上記憶體運算元存取的大小(N)來決定實際的位移。這種位移稱為disp8*N。這減少平均指令長度(針對位移使用的單一位元組但具有更大的範圍)。這種壓縮的位移係基於在有效位移為記憶體存取之粒度的倍數之假設上,且因此,不需編碼位址偏移的冗餘低階位元。換言之,位移因子欄位2862B取代傳統x86指令集8位元位移。因此,以與x86指令集8位元的相同方式編碼位移因子欄位2862B(所以在ModRM/SIB編碼規則中無改變),而僅有的例外是disp8被過載至disp8*N。換句話說,編碼規則或編碼長度沒有改變,但在硬體對位移值的解譯中有變(其需要以記憶體運算元的大小定標位移來獲得逐位元組之位址偏移)。
立即數欄位2872如前述般運算 全運算碼欄位
圖29B為描述根據本發明之一個實施例的構成全運算碼欄位2874之特定向量友善指令格式2900的欄位之方框圖。具體地,全運算碼欄位2874包括格式欄位2840、基底運算欄位2842和資料元件寬度(W)欄位2864。基底運算欄位2842包括前綴編碼欄位2925、運算碼映射欄位2915及真實運算碼欄位2930。
暫存器索引欄位
圖29C為描述根據本發明之一個實施例的構成暫存器索引欄位2844之特定向量友善指令格式2900的欄位之方框圖。具體地,暫存器欄位2844包括REX欄位2905、REX’欄位2910、MODR/M.reg欄位2944、MODR/M.r/m欄位2946、VVVV欄位2920、xxx欄位2954以及bbb欄位2956。
擴充運算欄位
圖29D為描述根據本發明之一個實施例的構成擴充運算欄位2850之特定向量友善指令格式2900的欄位之方框圖。當類別(U)欄位2868含有0時,其代表EVEX.U(類別A 2868A);當其含有1時,其代表EVEX.U1(類別B 2868B)。當U=0且MOD欄位2942包含11(代表無記憶體存取運算)時,α欄位2852(EVEX位元組3,位元[7]-EH)被解譯為rs欄位2852A。當rs欄位2852A包含1(捨入2852A.1)時,β欄位2854(EVEX位元組 3,位元[6:4]-SSS)被解譯為捨入控制欄位2854A。捨入控制欄位2854A包括一位元SAE欄位2856和兩位元捨入運算欄位2858。當rs欄位2852A包含0(資料變換2852A.2)時,β欄位2854(EVEX位元組3,位元[6:4]-SSS)被解譯為三位元資料變換欄位2854B。當U=0且MOD欄位2942包含00、01、或10(代表記憶體存取運算)時,α欄位2852(EVEX位元組3,位元[7]-EH)被解譯為驅逐提示(EH)欄位2852B,以及β欄位2854(EVEX位元組3,位元[6:4]-SSS)被解譯為三位元資料操縱欄位2854C。
當U=1,α欄位2852(EVEX位元組3,位元[7]-EH)被解譯為寫入遮罩控制(Z)欄位2852C。當U=1且MOD欄位2942包含11(代表記憶體存取運算)時,β欄位2854的部分(EVEX位元組3,位元[4]-S0)被解譯為RL欄位2857A;當其包含1(捨入2857A.1)時,β欄位2854的其餘部分(EVEX位元組3,位元[6-5]-S2-1)被解譯為捨入運算欄位2859A,而當RL欄位2857A包含0(VSIZE 2857.A2)時,β欄位2854(EVEX位元組3,位元[6-5]-S2-1)的其餘部分被解譯為向量長度欄位2859B(EVEX位元組3,位元[6-5]-L1-0)。當U=1且MOD欄位2942包含00、01、或10(代表記憶體存取運算)時,β欄位2854(EVEX位元組3,位元[6:4]-SSS)被解譯為向量長度欄位2859B(EVEX位元組3,位元[6-5]-L1-0)及廣播欄位2857B(EVEX位元組3,位元[4]-B)。
示例性暫存器架構
圖30是根據本發明的一個實施例的暫存器架構3000的方框圖。在所描述的實施例中,存在有512位元寬的32個向量暫存器3010;這些暫存器稱為zmm0至zmm31。下部16zmm暫存器的低階256位元覆加在暫存器ymm0-16上。下部16zmm暫存器的低階128位元(ymm暫存器之低階128位元)覆加在暫存器xmm0-15上。特定向量友善指令格式2900在如下表中所示之這些被覆加的暫存器檔上運算。
換言之,向量長度欄位2859B在最大長度及一或多個其他較短長度之間做選擇,其中每個這種較短長度為前一長度之一半的長度;且無向量長度欄位2859B的指令模板在最大向量長度上運算。此外,在一個實施例中,特定向量友善指令格式2900的類別B指令模板在分包或比例單/雙精準浮點資料及分包或純量整數資料上運算。純量運算 為在zmm/ymm/xmm暫存器中的最低階資料元件位置上執行的運算;取決於實施例,較高階資料元件位置保持與在指令之前一樣或是被歸零。
寫入遮罩暫存器3015-在所示實施例中,有8個寫入遮罩暫存器(k0至k7),各具有64位元的大小。在替代的實施例中,寫入遮罩暫存器3015是16位元的大小。如先前所描述,在本發明的一個實施例中,向量遮罩暫存器k0不可用為寫入遮罩;當正常會指示k0的編碼被用於寫入遮罩時,其選擇0xFFFF之固線寫入遮罩,實際上針對該指令而禁能寫入遮蓋。
通用暫存器3025-在所示實施例中,有十六個64位元的通用暫存器,其連同現有x86定址模式一起用於定址記憶體運算元。以名稱RAX、RBX、RCX、RDX、RBP、RSI、RDI、RSP、及R8至R15參照這些暫存器。
純量浮點堆疊暫存器檔(x87堆疊)3045,其上別名有MMX分包整數免暫存器檔3050-在所示實施例中,該x87堆疊為8元件堆疊,其被用於在32/64/80位元浮點資料上使用x87指令集擴展來執行純量浮點運算;而MMX暫存器用來在64位元分包整數資料上執行運算,以及針對在MMX與XMM暫存器之間執行的一些運算保持運算元。
本發明的替代實施例可使用更寬或更窄的暫存器。另外,本發明之實施例可使用更多、更少或不同的暫存器檔及暫存器。
示例性核心架構、處理器以及電腦架構
處理器可以不同的方式實施、用於不同的目的、以及在不同的處理器中。例如,這種核心的實施可包括:1)意圖用於通用計算的通用有序核心;2)意圖用於通用計算的高效能通用無序核心;3)主要意圖用於圖形及/或科學(通量)計算的專用核心。不同的處理器的實施可包括:1)包括意圖用於通用計算的一或多個通用有序核心及/或意圖用於通用計算的一或多個通用無序核心的CPU;以及2)包括主要意圖用於圖形及/或科學(通量)計算的一或多個專用核心的共處理器。這種不同的處理器導致不同的電腦系統架構,其包括:1)在與該CPU不同的晶片上的共處理器:2)在與CPU相同封裝中的不同晶片上的共處理器;3)在與CPU相同晶粒上的共處理器(在此情況中,這種共處理器是有時候被稱為專用邏輯,像是整合圖形及/或科學(通量)邏輯、或被稱為專用核心);以及4)片上系統,其可包括在相同晶粒上所描述的CPU(有時候被稱為應用核心或應用處理器)、上述共處理器以及額外的功能。示例性核心架構接著被描述,接著示例性處理器和電腦架構的描述。
示例性核心架構 有序和無序核心方框圖
圖31A是根據本發明的實施例,示出一示例性的有序 管線和一示例性的暫存器重新命名、無序問題/執行管線兩者的方框圖。圖31B是根據本發明的實施例,示出被包括在處理器中的一示例性的有序架構核心和一示例性的暫存器重新命名、無序問題/執行架構核心兩者的方框圖。圖31A至B中的實線框描述有序管線和有序核心,而可選的額外虛線框描述暫存器重新命名、無序問題/執行管線和核心。鑑於在有序態樣是無序態樣的一個子集,將對無序態樣進行說明。
在圖31A中,處理器管線3100包括提取級3102、長度解碼級3104、解碼級3106、分配級3108、重新命名級3110、排程(也稱為調度或問題)級3112、暫存器讀取/記憶體讀取級3114、執行級3116、寫回/記憶體存寫入級3118、異常處理級3122、以及提交級3124。
圖31B示出了包括耦合到執行引擎單元3150的前端單元3130之處理器核心3190,並且兩個單元都連接到記憶體單元3170。核心3190可以是精簡指令集計算(RISC)核心、複雜指令集計算(CISC)核心、超長指令字組(VLIW)核心、或混合的或替代的核心類型。作為另一種選擇,核心3190可以是專用的核心,像是,例如,網路或通信核心、壓縮引擎、共處理器核心、通用計算圖形處理單元(GPGPU)核心、圖形核心,或類似物。
前端單元3130包括耦合到指令快取單元3134的分支預測單元3132,指令快取單元3134耦合到轉譯後備緩衝區(translation lookaside buffer,TLB)3136,轉譯後備緩 衝區3136耦合到指令擷取單元3138,指令擷取單元3138耦合到解碼單元3140。解碼單元3140(或解碼器)可以解碼指令,並產生作為輸出的一或多個微操作、微碼入口點、微指令、其他指令、或其它控制信號,其是從原始的指令解碼、或者以其他方式反映、或衍生出來的。解碼單元3140可使用各種不同的機制來實現。合適的機制的例子包括,但不限於,查找表、硬體實施、可編程邏輯陣列(PLA),微碼唯讀記憶體(ROM)等。在一個實施例中,核心3190包括其儲存用於某些巨指令的微碼之微碼ROM或其他媒體(例如,在解碼單元3140中或者是在前端單元3130內)。解碼單元3140耦合到執行引擎單元3150中的重新命名/分配器單元3152。
執行引擎單元3150包括耦合到退休單元3154和一組一或多個排程器單元3156的重新命名/分配器單元3152。排程器單元3156表示任何數目的不同排程器,包括保留站、中央指令視窗等。排程器單元3156耦合實體暫存器檔單元3158。每個實體暫存器檔單元3158代表一或多個實體暫存器檔,其不同的各者儲存一或多個不同的資料類型,如純量整數、純量浮點、分包整數、分包浮點、向量整數、向量浮點、狀態(例如,是下一個指令將被執行的位址的指令指標)等。在一個實施例中,實體暫存器檔單元3158包括向量暫存器單元、寫入遮罩暫存器單元、和純量暫存器單元。這些暫存器單元可以提供架構向量暫存器、向量遮罩暫存器和通用暫存器。實體暫存器檔單元 3158是藉由退休單元3154重疊,來說明其中的暫存器重新命名和無序執行的各種方式可以被實施(例如,使用重新排序緩衝器和退休暫存器檔;使用未來檔、歷史緩衝器和退休暫存器檔;使用暫存器地圖和暫存器的池等等)。退休單元3154和實體暫存器檔單元3158耦合到執行叢集3160。執行叢集3160包括一組一或多個執行單元3162和一組一或多個記憶體存取單元3164。執行單元3162可以執行各種操作(例如,移位、加、減、乘)和各種類型的資料(例如,純量浮點、分包整數、分包浮點、向量整數、向量浮點)。雖然一些實施例可以包括多個專用於特定功能或一組功能的執行單元的,其他實施例可僅包括一個執行單元或多個執行單元,其所有執行所有功能。排程器單元3156、實體暫存器檔單元3158和執行叢集3160示出為可能複數,因為某些實施例中創建用於特定類型的數據/運算的獨立管線(例如,純量整數管線、純量浮點/分包整數/分包浮點/向量的整數/向量浮點管線,及/或記憶體存取管線,其每個都具有自己的排程器單元、實體暫存器檔單元及/或執行叢集-和在一個單獨的記憶體存取管線的情況下,某些實施例中被實現,其中只有該管線的執行叢集具有記憶體存取單元3164)。還應該理解的是,其中,使用單獨的管線時,這些管線中的一或多個可以是無序問題/執行,其餘的有序。
該組記憶體存取單元3164耦合到記憶體單元3170,它包括耦合到資料快取單元3174的資料TLB單元3172, 該資料快取單元3174耦合到2階(L2)快取單元3176。在一個示範性實施例中,記憶體存取單元3164可以包括載入單元、儲存位址單元、儲存資料單元,其中的每一個耦接到在記憶體單元3170中資料TLB單元3172。指令快取單元3134被進一步耦合到記憶體單元3170的階2(L2)快取單元3176中。L2快取單元3176被耦合到快取的一或其他階以及最終耦合到主要記憶體。
以舉例的方式,示例性暫存器重新命名、無序問題/執行核心架構可實施管線3100如下:1)指令擷取3138執行擷取與長度解碼級3102和3104;2)解碼單元3140執行解碼級3106;3)重新命名/分配器單元3152執行分配級3108和重新命名級3110;4)排程器單元3156執行排程級3112;5)實體暫存器檔單元3158和記憶體單元3170執行暫存器讀取/記憶體讀取級3114;執行叢集3160執行執行級3116;6)記憶體單元3170以及實體暫存器檔單元3158執行寫回/記憶體寫入級3118;7)各種單元可涉及異常處理級3122;以及8)退休單元3154以及實體暫存器檔單元3158執行提交級3124。
核心3190可以支持一或多個指令集(例如,x86指令集(與已經添加較新的版本的一些擴展);加州桑尼維爾,MIPS科技的MIPS指令集;加州桑尼維爾,ARM控股的ARM指令集(具有可選的額外擴展像是NEON)中的例如氖),包括本發明所描述的指令。在一個實施例中,核心3190包括邏輯,其用以支持分包的資料指令集 擴展(例如,AVX1、AVX2),藉此使用分包資料允許由許多多媒體應用所使用的運算被執行。
但是應當理解的是,核可以支持多執行緒(執行兩個或多個平行組運算或執行緒),並且可以這樣做以多種方式進行,包括時間分片的多執行緒、同步多執行緒(其中單個實體核心提供邏輯核心用於實體核心是同步地多執行緒的每一個執行緒),或它們的組合(例如,時間切片擷取和解碼和以及此後的同時多執行緒,像是Intel® Hyperthreading(超線程)技術)。
而暫存器重新命名是描述在無序執行的上下文中,但是應該理解的是,暫存器重新命名可以在有序結構中被使用。儘管所描述的處理器的實施例還包括分開的指令和資料快取單元3134/3174和共享的L2快取3176,替代的實施例可具有用於指令和資料兩者的單一內部快取,像是,例如,第1階(Level 1,L1)的內部快取,或多階的內部快取。在一些實施例中,系統可包括內部快取和對核心及/或處理器是外部的外部快取的組合。可替換地,所有的快取可以是對核心及/或處理器是外部的。
具體示例性有序核心架構
圖32A至B示出了更具體的示例性的有序核心架構的方框圖,該核心將是晶片中的幾個邏輯區塊(包括相同類型及/或不同類型的其他核心)中的一者。邏輯區塊透過一高帶寬互連網路(例如,一個環形網路)與一些固定 功能邏輯、記憶體I/O介面、及其它必要的I/O邏輯通訊,這取決於應用。
圖32A是根據本發明的實施例的一單一處理器核心的方框圖,隨著其連接到片上互連網路3202,以及隨著其第2階(Level 2,L2)快取3204的本地子集。在一個實施例中,指令解碼器3200支持x86指令集與分包資料指令集擴展。L1快取3206允許對快取記憶體至純量和向量單元的低延遲存取。雖然在一個實施例中(為了簡化設計)、純量單元3208和向量單元3210使用單獨的暫存器組(分別是純量暫存器3212和向量暫存器3214)和在它們之間傳輸的資料被寫入記憶體,然後接著從1階(L1)快取3206,本發明的替代實施例可使用不同的方法(例如,使用單一暫存器組或包括允許資料在兩個暫存器檔之間傳輸而不會被寫和讀回的通信路徑)。
L2快取3204的本地子集是被分成單獨的本地子集、一個每處理器核心的一個總體的L2快取的部分。每一個處理器核心對L2快取3204的其自己本地子集具有直接存取路徑。由處理器核心讀出的資料儲存在其L2快取子集3204中,並且可以迅速地進行存取,與存取他們自己的本地L2快取子集平行。由處理器核心所寫入的資料儲存在其自己的L2快取子集3204中,並如果需要的話從其它子集刷新。環狀網路確保共享資料的一致性。環狀網絡是雙向的,以允許如處理器核心、L2快取和其他邏輯區塊以在晶片內彼此進行通信。每個環狀資料路徑是每方向 1012位元寬。
圖32B是根據本發明實施例示出在圖32A中的處理器核心的一部分的延伸視圖。圖32B包括L1資料快取3206A、L1快取3204的部分以及有關的向量單元3210和向量暫存器3214的更多的細節。具體地,向量單元3210是16寬向量處理單元(VPU)(見16寬ALU 3228),其執行一或多個整數、單精度浮點和雙精度浮點指令。VPU支持在記憶體輸入上以拌和單元3220拌和、以數字轉換單元3222A-B作數字轉換、以及以複製單元3224複製。寫入遮罩暫存器3226允許推測結果向量寫入。
具有整合的記憶體控制器和圖形的處理器
圖33是根據本發明的實施例,其可具有一個以上的核心,可能具有整合記憶體控制器,以及可具有整合圖形的處理器3300的方框圖。圖33中的實線框示出具有單核心3302A的處理器3300、系統代理3310、一組一或多個匯流排控制器單元3316,而可選的額外虛線框示出了替代處理器3300,其具有多個核心3302A-N、系統代理單元3310中的一組一或多個整合記憶體控制器單元3314、以及專用邏輯3308。
因此,處理器3300的不同實施可以包括:1)具有專用邏輯3308的CPU係整合圖形及/或科學(通量)邏輯(其可包括一或多個核心),並且核心3302A-N是一或多個通用核心(例如,通用有序核心、通用無序核心、兩 者的組合);2)具有核心3302A-N的共處理器是大量專用核心,其意圖主要用於圖形及/或科學(通量);以及3)具有核心3302A-N的共處理器,其是大量的通用有序核心。因此,處理器3300可以是通用處理器、共處理器或專用處理器,諸如,例如,網路或通訊處理器、壓縮引擎、圖形處理器、GPGPU(通用圖形處理單元)、高通量可透過許多整合核心(MIC)共處理器(包括30個或更多的核心)、嵌入式處理器,或類似物。處理器可以在一或多個晶片上實施。處理器3300可以是一部分的及/或可以使用任意數量的製程技術而在一或多個基板上實施,像是,例如,BiCMOS、CMOS或NMOS。
記憶體階層包括核心內的快取中的一或多個階、一組一或多個共享快取單元3306、及耦合到該組整合記憶體控制器單元3314的外部記憶體(未示出)。該組快取單元3306可包括一或多個中級快取(例如,第2階(L2)、第3階(L3)、第4階(L4)、或其他階的快取、最末階快取(LLC)、及/或它們的組合。而在一個實施例中,環狀基互連單元3312使整合圖形邏輯3308、該組共享快取單元3306以及系統代理單元3310/整合記憶體控制器單元3314互連,替代實施例可使用任何數量的習知技術用於互連這些單元。在一個實施例中,在一或多個快取單元3306和核心3302-A到N之間維持一致性。
在一些實施方案中,一個核心3302A-N的一個或多個能夠多線程。系統代理3310包括這些元件協調和運行 核心3302A-N。系統代理單元3310可包括例如功率控制單元(PCU)和顯示單元。在PCU可以是或包括需要用於調節核心3302A-N和整合圖形邏輯3308的功率狀態的邏輯和元件。顯示單元是用於驅動一或多個外部連接的顯示器。
核心3302A-N可以是就結構指令集而言為均相或非均相;也就是,兩個或多個核心3302A-N可以是能夠執行相同的指令集,而其他也是能夠執行該指令集或不同的指令集的一個子集。
示例性電腦架構
圖34-37是示例性電腦結構的方框圖。其他系統的設計和組態在本領域中習知為用於筆記型電腦、桌上型電腦、手持電腦、個人數位助理、工程工作站、伺服器、網路裝置、網路集線器、交換器、嵌入式處理器、數位信號處理器(DSP)、圖形裝置、視訊遊戲裝置、機上盒、微控制器、手機、攜帶式媒體播放器、手持裝置、和各種其他電子裝置,也是合適的。在一般情況下,能夠併入如本發所揭示的處理器及/或其他執行邏輯大量的各種系統或電子裝置通常是合適的。
現在參照圖34示出根據本發明的一個實施例的系統3400的方框圖。系統3400可以包括一或多個處理器3410、3415,其耦合到控制器集線器3420。在一個實施例中,控制器集線器3420包括圖形記憶體控制器集線器 (GMCH)3490和輸入/輸出集線器(IOH)3450(其可以是不同的晶片);GMCH 3490包括其耦合於記憶體3440和共處理器3445的記憶體和圖形控制器:IOH 3450耦合輸入/輸出(I/O)裝置3460至GMCH 3490。可替代地,記憶體和圖形控制器中的一者或兩者都被整合在處理器內(如本文所述),記憶體3440和共處理器3445利用IOH 3450被直接地耦合到處理器3410以及在單一晶片中的控制器集線器3420。
額外的處理器3415的可選性以圖7中的虛線來表示。每個處理器3410、3415可包括一或多個在此描述的處理核心或,且其可以是一些處理器3300的一些版本。
記憶體3440可以是,例如,動態隨機存取記憶體(DRAM)、相變記憶體(PCM)、或者是兩者的組合。對於至少一個實施例中,控制器集線器3420經由像是前側匯流排(FSB)的多點匯流排與處理器3410、3415、像是QuickPath互連(QPI)的點對點介面、或類似的連接3495通信。
在一個實施例中,共處理器3445是一個專用處理器,諸如,例如,高通量的MIC處理器、網路或通信處理器、壓縮引擎、圖形處理器、GPGPU、嵌入式處理器或類似物。在一個實施例中,控制器集線器3420可以包括整合的圖形加速器。
可以有就包括建築、微架構、熱、功率消耗特性等的指標的頻譜方面的各種在處理器3410、3415之間的差 異。
在一個實施例中,處理器3410執行其控制一般類型的資料處理運算的指令。指令內的嵌入可能是共處理器指令。處理器3410識別這些共處理器指令為應該由附加的共處理器3445所執行的類型。因此,處理器3410在共處理器匯流排或其它互連上發出這些共處理器指令(或代表共處理器指令的控制信號)至共處理器3445。共處理器3445接受並執行接收到的共處理器指令。
現在參照圖35示出根據本發明的一個實施例的第一更詳細的示例性系統3500的方框圖。如圖35,多處理器系統3500是點對點互連系統,且包括第一處理器3570和第二處理器3580其經由點對點互連3550耦合。處理器3570和3580各者可能是處理器3300的一些版本。在本發明的一個實施例中,處理器3570和3580分別為處理器3410和3415,而共處理器3538是共處理器3445。在另一個實施例中,處理器3570和3580分別為處理器3410、共處理器3445。
處理器3570和3580示出為分別包括整合記憶體控制器(IMC)單元3572和3582。處理器3570還包括作為其匯流排控制器單元點對點(P-P)介面3576和3578的一部分;同樣地,第二處理器3580包括P-P介面3586和3588。處理器3570和3580可以經由點對點(P-P)互連3550使用P-P介面電路3578和3588來交換資訊。如圖35,IMC 3572和3582將處理器耦合到各自的記憶體,即 記憶體3532和記憶體3534,其可以是本地連接到相應處理器的主要記憶體的部分。
處理器3570和3580可各經由各別P-P介面3552、3554使用點對點介面電路3576、3594、3586和3598與晶片組3590交換資訊。晶片組3590可選地經由一高效能連接3539與共處理器3538交換資訊。在一個實施例中,共處理器3538是一個專用處理器,諸如,例如,高通量的MIC處理器、網路或通信處理器、壓縮引擎、圖形處理器、GPGPU、嵌入式處理器或類似物。
共享快取(未示出)可以被包括在兩個處理器之外或任一處理器中,但未經由P-P互連與處理器連接,以使得如果處理器被置於低功率模式時,任一個或兩個處理器的本地快取資訊可被儲存在共享快取中。
晶片組3590可以經由介面3596耦合到第一匯流排3516。在一個實施例中,第一匯流排3516可以是周邊組件互連(PCI)匯流排、或像是PCI Express匯流排或另一種第三代I/O互連匯流排的匯流排,但是本發明的範圍並不局限於此。
如圖35所示,各種I/O裝置3514可以被耦合到第一匯流排3516,連同匯流排橋3518,其耦合第一匯流排3516到第二匯流排3520。在一個實施例中,一或多個額外處理器3515,如共處理器、高通量的MIC處理器、GPGPU的處理器、加速器(如,例如,圖形加速器或數位信號處理(DSP)單元)、現場可編程閘陣列,或任何 其它的處理器,皆耦合到第一匯流排3516。在一個實施例中,第二匯流排3520可以是低針腳計數(low pin count,LPC)匯流排。在一個實施例中,各種裝備可以被耦合到第二匯流排3520,其包括例如,鍵盤及/或鼠標3522、通訊裝置3527和像是硬碟或其它大容量存儲裝置的儲存單元3528,其可以包括指令/碼和資料3530。此外,音頻I/O 3524可以被耦合到第二匯流排3520。注意,其它架構也是可能的。例如,除了圖35的點對點架構,系統可以實現多點匯流排或其它這種架構。
現在參照圖36示出根據本發明的一個實施例的第二更詳細的示例性系統3600的方框圖。像在圖35和36中具有相似的附圖標記的元件,以及為了避免模糊圖36的其他方面而使圖35的某些方面已經從圖36中刪去。
圖36示出了處理器3570、3580可分別包括整合記憶體及I/O控制邏輯(「CL」)3572和3582。因此,CL 3572、3582包括整合記憶體控制器單元,以及包括I/O控制邏輯。圖36示出,不僅是記憶體3532、3534耦合到CL 3572、3582,而且該I/O裝置3614也耦合到控制邏輯3572、3582。傳統I/O裝置3615耦合到晶片組3590。
現在參照圖37示出根據本發明的一個實施例的SoC 3700的方框圖。在圖33中相似的元件具有類似的參考圖標。另外,虛線方框是更進階的SoC上的可選功能。在圖37中,互連單元3702被耦接到:應用處理器3710,其包括一組一或多個核心202A-N及共享快取單元3306;系統 代理單元3310;匯流排控制器單元3316;整合記憶體控制器單元3314;一組或一或多個共處理器3720其可包括整合圖形邏輯、影像處理器、音訊處理器和視訊處理器;靜態隨機存取記憶體(SRAM)單元3730;直接記憶體存取(DMA)單元3732;及用於耦合到一或多個外部顯示器的顯示單元3740。在一個實施例中,共處理器3720是一個專用處理器,諸如,例如,網路或通信處理器、壓縮引擎、GPGPU、高通MIC處理器、嵌入式處理器或類似物。
本文公開的機制的實施例可以以硬體、軟體、韌體或這些實施方式的組合來實現。本發明的實施例可以被實施為在可編程系統上執行的電腦程式或程式碼,系統包含至少一個處理器、儲存系統(包括易失性和非易失性記憶體及/或儲存元件)、至少一輸入裝置,以及至少一輸出裝置。
例如在圖35所示的碼3530的程式碼,可應用於輸入指令以執行本文描述的功能和產生輸出資訊。輸出資訊可以被應用於一或多個輸出裝置,以習知的方式。對於本申請的目的,處理系統包括在任何系統中,其具有處理器,像是,例如:數位信號處理器(DSP)、微控制器、應用特定積體電路(ASIC)、或微處理器。
程式碼可以以高階程序或物件導向編程語言以與處理系統進行通信來實現。程式碼還可以以組合或機器語言來實現,如果需要的話。事實上,本文描述的機制並不限於 任何特定的程式語言的範圍。在任何情況下,語言可以是編譯或解譯語言。
至少一個實施例的一或多個方面可以由儲存在機器可讀介質上代表的指令來實施,其表示處理器內的各種邏輯,其當由機器讀取時使機器製造邏輯以執行本文描述的技術。這樣的表示,習知為「IP核心」可以被儲存在有形的、機器可讀介質上和被供給到各種客戶或生產設施以載入到製造機器,其實際上製造邏輯或處理器。
這樣的機器可讀儲存介質可以包括,但不受限於,藉由機器或裝置所形成或製造的非揮發、實體配置的物體,其包括儲存媒體,例如硬碟或其他包括軟碟、光碟、唯讀光碟記憶體(CD-ROM)、可重寫光碟(CD-RW)和磁光碟的其他類型的硬碟、像是唯讀記憶體(ROM)的半導體裝置、像是動態隨機存取記憶體(DRAM)、靜態隨機存取記憶體(SRAM)的隨機存取記憶體(RAM)、可擦除可編程唯讀記憶體(EPROM)、快閃記憶體、電可擦除可編程唯讀記憶體(EEPROM)、相變記憶體(PCM)、磁或光卡、或適用於儲存電子指令的任何其它類型的媒體
因此,本發明的實施例還包括非暫態的、包含指令或包含設計資料的有形機器可讀媒體,像是硬體描述語言(HDL),其為本文所描述的限定的結構、電路、設備、處理器及/或系統功能。這樣的實施例也可以被稱為程式產品。
仿真(包括二進制翻譯,碼漸變等)
在某些情況下,指令轉換器可用於將指令從源指令集轉換為目標指令集。例如,指令轉換器可轉譯(例如,使用靜態二進制轉譯、包括動態編譯的動態二進制轉譯)、變形、模擬、或以其他方式的指令轉換成一或多個指令以被核心所處理。指令轉換器可以以軟體、硬體、韌體,或其組合來實現。指令轉換可能是開啟處理器、關閉處理器、或部開啟和部分關閉處理器。
圖38是根據本發明的實施例示出對比使用軟體指令轉換器用以將在來源指令集中的二進制指令轉換成在目標指令集中的二進制指令;在所示實施例中,指令轉換器是一個軟體指令轉換器,雖然可替代地,指令轉換器可以以軟體、韌體、硬體、或它們的各種組合來實現。圖38示出高階語言3802的程式可以使用x86編譯器3804,以產生x86的二進制碼3806,其可被具有至少一個x86指令集核心3816的處理器執行。具有至少一個x86指令集核心3816的處理器表示可以執行與具有至少一個x86指令集核心的Intel處理器的實質上相同功能的任何處理器,其藉由相容地執行或甚至處理(1)Intel x86指令集核心的指令集的實質部分或(2)目標在具有至少一個x86指令集核心的Intel處理器上運行的應用程式的物件碼版本或其他軟體,以實現實質上與具有至少一個x86指令集核心的Intel處理器相同的結果。在x86編譯器3804表示編譯器,其可操作以產生86的二進制碼3806(例如,物件 碼),其可利用或不利用額外連結處理而在具有至少一個x86指令集核心3816的處理器上執行。類似地,圖38示出在高階語言3802中的程式可以使用替代的指令集編譯器3808來編譯,以產生替代的指令集編譯的二進制碼3810,其可以由不含至少一個x86指令集核心3814的處理器本身執行(例如,執行加州桑尼維爾的MIPS科技的MIPS指令集及/或其執行加州桑尼維爾的ARM控股的ARM指令集)。指令轉換器3812被用於轉換x86二進制碼3806成可由不具有x86指令集核心3814的處理器執行的碼。此轉換的碼並不像是一樣的替代的指令集二進制碼3810,因為能夠這樣的指令轉換器很難製造;然而,此轉換後的碼將完成的一般操作,並從替換指令集被做成指令。因此,指令轉換器3812代表軟體、韌體、硬體、或其組合,透過仿真、模擬或任何其他製程,允許不具有x86指令集處理器或核心的處理器或其他電子裝置來執行x86二進制代碼3806。

Claims (18)

  1. 一種用於資料推測性執行的設備,包含:硬體解碼器,用以解碼指令,該指令用以包括運算碼和欄位,用以識別供運算元儲存後備位址的一部分;以及執行硬體,用以執行解碼的該指令,以藉由啟動資料推測延伸(DSX)追蹤硬體以追蹤推測記憶體存取和檢測在DSX區域中的排序違規,以及藉由儲存程式執行所將要處置誤推測之處的該後備位址,來初始化該DSX區域,其中在該DSX區域中儲存被緩衝而載入則未被緩衝。
  2. 如申請專利範圍第1項的設備,其中該後備位址的該部分是將被加入至緊跟在由該執行硬體所解碼的該指令之後的指令的指令指標的位移值。
  3. 如申請專利範圍第1項的設備,其中該後備位址的該部分是一個完整的位址。
  4. 如申請專利範圍第1項的設備,其中所述用以儲存該後備位址的部分的運算元是立即數值。
  5. 如申請專利範圍第1項的設備,其中所述用以儲存該後備位址的部分的運算元是暫存器。
  6. 如申請專利範圍第1項的設備,其中該執行硬體用以進一步決定限制交易記憶體(RTM)交易正發生以及處理RTM交易。
  7. 如申請專利範圍第1項所述之設備,進一步包含:DSX巢狀計數器,用以儲存對應於一些DSX區域開 始而沒有對應的DSX區域結束的值,其中該執行硬體另外地回應於所解碼的該指令增加該DSX巢狀計數器。
  8. 一種用於資料推測性執行的方法,包含:使用硬體解碼器解碼指令,該指令用以包括運算碼和欄位,用以識別供運算元儲存後備位址的一部分;以及執行解碼的指令,以藉由啟動資料推測延伸(DSX)追蹤硬體以追蹤推測記憶體存取和檢測在DSX區域中的排序違規,以及藉由儲存程式執行所將要處置誤推測之處的該後備位址,來初始化該DSX區域,其中在該DSX區域中儲存被緩衝而載入則未被緩衝。
  9. 如申請專利範圍第8項的方法,其中該後備位址的該部分是將被加入至緊跟在由該執行硬體所解碼的該指令之後的指令的指令指標的位移值。
  10. 如申請專利範圍第8項的方法,其中該後備位址的該部分是一個完整的位址。
  11. 如申請專利範圍第8項的方法,其中所述用以儲存該後備位址的部分的運算元是立即數值。
  12. 如申請專利範圍第8項的方法,其中所述用以儲存該後備位址的部分的運算元是暫存器。
  13. 如申請專利範圍第8項的方法,其中該執行進一步包含:決定限制交易記憶體(RTM)交易正發生以及處理RTM交易。
  14. 如申請專利範圍第8項所述之方法,進一步包 含:儲存對應於一些DSX區域開始而沒有對應的DSX區域結束的值。
  15. 一種儲存指令的非暫態機器可讀媒體,當該些指令由機器所執行時,使電路被製造,該電路包含:硬體解碼器,用以解碼指令,該指令用以包括運算碼和欄位,用以識別供運算元儲存後備位址的一部分;以及執行硬體,用以執行解碼的該指令,以藉由啟動資料推測延伸(DSX)追蹤硬體以追蹤推測記憶體存取和檢測在DSX區域中的排序違規,以及藉由儲存程式執行所將要處理誤推測之處的該後備位址,來初始化該DSX區域,其中在該DSX區域中儲存被緩衝而載入則未被緩衝。
  16. 如申請專利範圍第15項的非暫態機器可讀媒體,其中該後備位址的該部分是將被加入至緊跟在由該執行硬體所解碼的該指令之後的指令的指令指標的位移值。
  17. 如申請專利範圍第15項的非暫態機器可讀媒體,其中該後備位址的該部分是一個完整的位址。
  18. 如申請專利範圍第15項的非暫態機器可讀媒體,其中所述用以儲存該後備位址的部分的運算元是立即數值。
TW104138794A 2014-12-24 2015-11-23 用於資料推測性執行的系統、設備及方法 TWI657371B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/582,717 US10303525B2 (en) 2014-12-24 2014-12-24 Systems, apparatuses, and methods for data speculation execution
US14/582,717 2014-12-24

Publications (2)

Publication Number Publication Date
TW201643700A TW201643700A (zh) 2016-12-16
TWI657371B true TWI657371B (zh) 2019-04-21

Family

ID=56151336

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138794A TWI657371B (zh) 2014-12-24 2015-11-23 用於資料推測性執行的系統、設備及方法

Country Status (9)

Country Link
US (1) US10303525B2 (zh)
EP (1) EP3238032A4 (zh)
JP (1) JP6867082B2 (zh)
KR (1) KR102453594B1 (zh)
CN (1) CN107003853B (zh)
BR (1) BR112017011104A2 (zh)
SG (1) SG11201704300TA (zh)
TW (1) TWI657371B (zh)
WO (1) WO2016105786A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10942744B2 (en) 2014-12-24 2021-03-09 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10061583B2 (en) 2014-12-24 2018-08-28 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10387158B2 (en) 2014-12-24 2019-08-20 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10387156B2 (en) 2014-12-24 2019-08-20 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10061589B2 (en) 2014-12-24 2018-08-28 Intel Corporation Systems, apparatuses, and methods for data speculation execution
WO2017086983A1 (en) * 2015-11-19 2017-05-26 Hewlett Packard Enterprise Development Lp Prediction models for concurrency control types
GB2554096B (en) * 2016-09-20 2019-03-20 Advanced Risc Mach Ltd Handling of inter-element address hazards for vector instructions
CN107506329B (zh) * 2017-08-18 2018-06-19 浙江大学 一种自动支持循环迭代流水线的粗粒度可重构阵列及其配置方法
WO2020119050A1 (en) 2018-12-11 2020-06-18 Huawei Technologies Co., Ltd. Write-write conflict detection for multi-master shared storage database
CN114489518B (zh) * 2022-03-28 2022-09-09 山东大学 测序数据质量控制方法及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040049657A1 (en) * 2002-09-10 2004-03-11 Kling Ralph M. Extended register space apparatus and methods for processors
TWI231450B (en) * 2002-10-22 2005-04-21 Ip First Llc Processor including fallback branch prediction mechanism for far jump and far call instructions
US20050204119A1 (en) * 2004-03-09 2005-09-15 Bratin Saha Synchronization of parallel processes
US20110029490A1 (en) * 2009-07-28 2011-02-03 International Business Machines Corporation Automatic Checkpointing and Partial Rollback in Software Transaction Memory
WO2013115818A1 (en) * 2012-02-02 2013-08-08 Intel Corporation A method, apparatus, and system for transactional speculation control instructions

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4864930A (en) 1985-05-09 1989-09-12 Graphics Microsystems, Inc. Ink control system
US5511172A (en) 1991-11-15 1996-04-23 Matsushita Electric Co. Ind, Ltd. Speculative execution processor
DE69327688T2 (de) 1992-08-12 2000-09-07 Advanced Micro Devices Inc Befehlsdecoder
JP3670290B2 (ja) 1995-02-14 2005-07-13 富士通株式会社 特殊機能を提供する高性能投機的実行プロセッサの構造及び方法
US5872947A (en) 1995-10-24 1999-02-16 Advanced Micro Devices, Inc. Instruction classification circuit configured to classify instructions into a plurality of instruction types prior to decoding said instructions
KR100203131B1 (ko) 1996-06-24 1999-06-15 김영환 반도체 소자의 초저접합 형성방법
US6128703A (en) 1997-09-05 2000-10-03 Integrated Device Technology, Inc. Method and apparatus for memory prefetch operation of volatile non-coherent data
US6640315B1 (en) 1999-06-26 2003-10-28 Board Of Trustees Of The University Of Illinois Method and apparatus for enhancing instruction level parallelism
US6748589B1 (en) 1999-10-20 2004-06-08 Transmeta Corporation Method for increasing the speed of speculative execution
US6629234B1 (en) 2000-03-30 2003-09-30 Ip. First, L.L.C. Speculative generation at address generation stage of previous instruction result stored in forward cache for use by succeeding address dependent instruction
US6854048B1 (en) 2001-08-08 2005-02-08 Sun Microsystems Speculative execution control with programmable indicator and deactivation of multiaccess recovery mechanism
US7117347B2 (en) 2001-10-23 2006-10-03 Ip-First, Llc Processor including fallback branch prediction mechanism for far jump and far call instructions
US6845442B1 (en) 2002-04-30 2005-01-18 Advanced Micro Devices, Inc. System and method of using speculative operand sources in order to speculatively bypass load-store operations
US6950925B1 (en) 2002-08-28 2005-09-27 Advanced Micro Devices, Inc. Scheduler for use in a microprocessor that supports data-speculative execution
US6862664B2 (en) 2003-02-13 2005-03-01 Sun Microsystems, Inc. Method and apparatus for avoiding locks by speculatively executing critical sections
US20040163082A1 (en) 2003-02-13 2004-08-19 Marc Tremblay Commit instruction to support transactional program execution
US7363470B2 (en) 2003-05-02 2008-04-22 Advanced Micro Devices, Inc. System and method to prevent in-flight instances of operations from disrupting operation replay within a data-speculative microprocessor
US7185323B2 (en) 2003-05-16 2007-02-27 Sun Microsystems, Inc. Using value speculation to break constraining dependencies in iterative control flow structures
US20070006195A1 (en) 2004-03-31 2007-01-04 Christof Braun Method and structure for explicit software control of data speculation
TWI305323B (en) 2004-08-23 2009-01-11 Faraday Tech Corp Method for verification branch prediction mechanisms and readable recording medium for storing program thereof
US7856537B2 (en) 2004-09-30 2010-12-21 Intel Corporation Hybrid hardware and software implementation of transactional memory access
US20070118696A1 (en) 2005-11-22 2007-05-24 Intel Corporation Register tracking for speculative prefetching
US7404041B2 (en) 2006-02-10 2008-07-22 International Business Machines Corporation Low complexity speculative multithreading system based on unmodified microprocessor core
CN100568173C (zh) * 2006-09-01 2009-12-09 上海大学 多微控制器系统任务调用方法
US7711678B2 (en) * 2006-11-17 2010-05-04 Microsoft Corporation Software transaction commit order and conflict management
US7681015B2 (en) 2007-01-30 2010-03-16 Nema Labs Ab Generating and comparing memory access ranges for speculative throughput computing
JP5154119B2 (ja) 2007-03-26 2013-02-27 テレフオンアクチーボラゲット エル エム エリクソン(パブル) プロセッサ
US9075622B2 (en) 2008-01-23 2015-07-07 Arm Limited Reducing errors in pre-decode caches
CN101546282B (zh) * 2008-03-28 2011-05-18 国际商业机器公司 用于在处理器中执行写拷贝的方法和设备
US8739141B2 (en) 2008-05-19 2014-05-27 Oracle America, Inc. Parallelizing non-countable loops with hardware transactional memory
WO2010014200A1 (en) 2008-07-28 2010-02-04 Advanced Micro Devices, Inc. Virtualizable advanced synchronization facility
US20120227045A1 (en) 2009-12-26 2012-09-06 Knauth Laura A Method, apparatus, and system for speculative execution event counter checkpointing and restoring
US8438571B2 (en) 2010-02-24 2013-05-07 International Business Machines Corporation Thread speculative execution and asynchronous conflict
CN101872299B (zh) * 2010-07-06 2013-05-01 浙江大学 冲突预测实现方法及所用冲突预测处理装置事务存储器
US20120079245A1 (en) 2010-09-25 2012-03-29 Cheng Wang Dynamic optimization for conditional commit
US10387324B2 (en) 2011-12-08 2019-08-20 Intel Corporation Method, apparatus, and system for efficiently handling multiple virtual address mappings during transactional execution canceling the transactional execution upon conflict between physical addresses of transactional accesses within the transactional execution
CN102725741B (zh) * 2011-12-31 2014-11-05 华为技术有限公司 高速缓冲存储器控制方法、装置和系统
US9268596B2 (en) 2012-02-02 2016-02-23 Intel Corparation Instruction and logic to test transactional execution status
WO2013115816A1 (en) 2012-02-02 2013-08-08 Intel Corporation A method, apparatus, and system for speculative abort control mechanisms
US9652242B2 (en) 2012-05-02 2017-05-16 Apple Inc. Apparatus for predicate calculation in processor instruction set
US8688661B2 (en) * 2012-06-15 2014-04-01 International Business Machines Corporation Transactional processing
US9298631B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Managing transactional and non-transactional store observability
US9348642B2 (en) 2012-06-15 2016-05-24 International Business Machines Corporation Transaction begin/end instructions
US9811340B2 (en) 2012-06-18 2017-11-07 Intel Corporation Method and apparatus for reconstructing real program order of instructions in multi-strand out-of-order processor
US9396115B2 (en) 2012-08-02 2016-07-19 International Business Machines Corporation Rewind only transactions in a data processing system supporting transactional storage accesses
US9367471B2 (en) 2012-09-10 2016-06-14 Apple Inc. Fetch width predictor
GB2519107B (en) * 2013-10-09 2020-05-13 Advanced Risc Mach Ltd A data processing apparatus and method for performing speculative vector access operations
US9262206B2 (en) 2014-02-27 2016-02-16 International Business Machines Corporation Using the transaction-begin instruction to manage transactional aborts in transactional memory computing environments
US9454370B2 (en) 2014-03-14 2016-09-27 International Business Machines Corporation Conditional transaction end instruction
US10387156B2 (en) 2014-12-24 2019-08-20 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US20160357556A1 (en) 2014-12-24 2016-12-08 Elmoustapha Ould-Ahmed-Vall Systems, apparatuses, and methods for data speculation execution
US9785442B2 (en) 2014-12-24 2017-10-10 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10061583B2 (en) * 2014-12-24 2018-08-28 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10387158B2 (en) 2014-12-24 2019-08-20 Intel Corporation Systems, apparatuses, and methods for data speculation execution
US10061589B2 (en) 2014-12-24 2018-08-28 Intel Corporation Systems, apparatuses, and methods for data speculation execution

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040049657A1 (en) * 2002-09-10 2004-03-11 Kling Ralph M. Extended register space apparatus and methods for processors
TWI231450B (en) * 2002-10-22 2005-04-21 Ip First Llc Processor including fallback branch prediction mechanism for far jump and far call instructions
US20050204119A1 (en) * 2004-03-09 2005-09-15 Bratin Saha Synchronization of parallel processes
US20110029490A1 (en) * 2009-07-28 2011-02-03 International Business Machines Corporation Automatic Checkpointing and Partial Rollback in Software Transaction Memory
WO2013115818A1 (en) * 2012-02-02 2013-08-08 Intel Corporation A method, apparatus, and system for transactional speculation control instructions

Also Published As

Publication number Publication date
EP3238032A4 (en) 2018-08-15
JP6867082B2 (ja) 2021-04-28
BR112017011104A2 (pt) 2017-12-26
SG11201704300TA (en) 2017-07-28
CN107003853A (zh) 2017-08-01
US20160188382A1 (en) 2016-06-30
JP2017539008A (ja) 2017-12-28
EP3238032A1 (en) 2017-11-01
WO2016105786A1 (en) 2016-06-30
KR20170098803A (ko) 2017-08-30
CN107003853B (zh) 2020-12-22
KR102453594B1 (ko) 2022-10-12
US10303525B2 (en) 2019-05-28
TW201643700A (zh) 2016-12-16

Similar Documents

Publication Publication Date Title
TWI657371B (zh) 用於資料推測性執行的系統、設備及方法
TWI575452B (zh) 用於資料推測性執行的系統、設備及方法
US9785442B2 (en) Systems, apparatuses, and methods for data speculation execution
TWI610230B (zh) 用於資料推測執行的系統、設備及方法
TWI575453B (zh) 用於資料預測執行之系統、設備及方法
TWI620122B (zh) 用於資料推測執行的設備和方法
TWI630550B (zh) 用於資料推測執行之系統設備及方法
TWI567643B (zh) 用於資料推測執行的系統、設備和方法