TWI596653B - 半導體裝置的製造方法及光阻 - Google Patents

半導體裝置的製造方法及光阻 Download PDF

Info

Publication number
TWI596653B
TWI596653B TW104138008A TW104138008A TWI596653B TW I596653 B TWI596653 B TW I596653B TW 104138008 A TW104138008 A TW 104138008A TW 104138008 A TW104138008 A TW 104138008A TW I596653 B TWI596653 B TW I596653B
Authority
TW
Taiwan
Prior art keywords
segment
group
polymer
junction
photoresist
Prior art date
Application number
TW104138008A
Other languages
English (en)
Other versions
TW201705201A (zh
Inventor
訾安仁
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201705201A publication Critical patent/TW201705201A/zh
Application granted granted Critical
Publication of TWI596653B publication Critical patent/TWI596653B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)

Description

半導體裝置的製造方法及光阻
本發明係有關於半導體裝置製程之光阻層技術,特別有關於紫外線曝光及顯影製程技術。
半導體積體電路(IC)產業歷經快速的成長,積體電路材料及設計技術的進步產生了數個世代的積體電路,其中每個世代具有比先前世代更小且更複雜的電路。然而,這些進步增加積體電路製程與製造上的複雜度,為了實現這些進步,積體電路的製程與製造上需要有相似的發展。在積體電路發展的過程中,功能的密度(亦即每晶片面積的互連的裝置數)一般會提升,並且特徵(feature)尺寸(亦即使用製程能產生的最小元件)縮小。這種縮小特徵尺寸的實現可歸因於微影製程例如極紫外線(extreme ultraviolet,EUV)微影製程的改善。
然而,儘管極紫外線微影製程可造成較小的特徵(feature)尺寸(例如小於20奈米的特徵尺寸),可能發生一些問題例如線邊緣粗糙度(line edge roughness,LER)及/或線寬粗糙度(line width roughness,LWR)尺寸的增加。一般線寬粗糙度的尺寸可能不會與特徵尺寸等比例縮小,也就是說,特徵尺寸愈小,線寬粗糙度百分比愈大。這種線寬粗糙度的尺寸和線寬粗糙度的百分比的增加可能對於之後形成的裝置的性能及可 靠度有不利的影響。因此,需要有將圖案化材料的問題最小化或排除的製程及材料。
本揭示提供半導體裝置的製造方法。在一實施例中,方法包含形成光阻材料在基底上,光阻材料具有聚合物其包含具有鏈段和聯結基的主鏈,鏈段包含碳鏈和紫外線(UV)可硬化基,紫外線可硬化基耦接於碳鏈和聯結基;實施第一曝光製程其經由將聯結基與每個鏈段的連接的紫外線可硬化基的去耦接來打斷聚合物的主鏈,實施第二曝光製程以形成圖案化的光阻層,以及將圖案化的光阻層顯影。
本揭示提供半導體裝置的製造方法。在另外的實施例中,製造半導體裝置的方法包含形成光阻材料在基底上,光阻材料具有聚合物其包含具有至少兩個鏈段的主鏈且第一聯結基和第二聯結基互相連接,其中第一聯結基和第二聯結基耦接於至少兩個鏈段的第一鏈段和第二鏈段之間,將光阻材料圖案化以形成圖案化的光阻層,用一方式處理圖案化的光阻層其藉由讓第一聯結基與第二聯結基的斷開來打斷聚合物的主鏈,以及顯影圖案化的光阻層。
本揭示提供光阻。在另外的實施例中,光阻包含聚合物具有可打斷的主鏈,其中主鏈包含至少兩個鏈段及第一聯結基,每個鏈段包含碳鏈及紫外線(UV)可硬化基耦接至碳鏈,且與第一聯結基連接。
100、400‧‧‧方法
102、104、106、108、110、402、404、406、408、410‧‧‧步驟
200、202、500、502、602、604‧‧‧聚合物
201‧‧‧紫外光輻射
204、504‧‧‧第一聚合物鏈段
206、506‧‧‧第二聚合物鏈段
208‧‧‧紫外線可硬化(UV curable)基
210‧‧‧聯結基
302、304、702、704、706、708、710、712、714、716、 718、720、722、724、726、728、730、732‧‧‧化學結構
503‧‧‧酸
505‧‧‧處理製程
508‧‧‧第一聯結基
510‧‧‧第二聯結基
本揭示的每個觀點可由以下詳細的敘述及所附的 圖示充分理解。需注意的是,根據業界標準常規,圖式中的各種特徵並未按比例繪製,事實上,為了清楚說明,各種特徵的大小可隨意放大或縮小。
第1圖為依據本揭示各種觀點製造半導體裝置的方法的實施例之流程圖。
第2A圖為依據本揭示各種觀點繪示光阻材料在處理製程之前的實施例。
第2B圖為依據本揭示各種觀點繪示光阻材料在處理製程之後的實施例。
第3圖依據本揭示的各種觀點顯示在關於第1圖的方法的第一曝光製程106之前,第2A圖的聚合物200的例子。
第4圖為依據本揭示的各種觀點用於製造半導體的方法的實施例之流程圖。
第5A圖為依據本揭示的各種觀點繪示在處理製程之前的光阻材料的實施例。
第5B圖為依據本揭示的各種觀點繪示在處理製程之後的光阻材料的實施例。
第6圖依據本揭示的各種觀點顯示光阻層的聚合物主鏈的打斷之實施例。
第7圖依據本揭示的各種觀點顯示包含在光阻材料的光酸產生器(photo acid generator,PAG)及/或熱酸產生器(thermal acid generator,TAG)的例子。
可以理解的是,以下揭示提供許多不同實施例或例 子以實施各種特徵,以下敘述元件及配置的特定例子是為了簡化本揭示,這些當然僅為舉例而並非用以限定本發明。例如,在說明書中形成第一特徵於第二特徵上,其可能包含第一特徵與第二特徵直接接觸的實施例,也可能包含第一特徵與第二特徵之間有另外的特徵形成,使得第一特徵與第二特徵並非直接接觸的實施例。另外,本揭示在各種實施例中可能重複使用參考符號/或用字。這些重複的符號或用字係為了簡化與清晰之目的,其並非用以規定各種實施例及/或所述結構之間的關係。
隨著積體電路元件尺寸的縮小(例如次微米(sub-micron)尺寸),需要放置更多元件在半導體積體電路的既定面積中,因此,將更小的特徵精確且準確無誤地留印在半導體基底上的微影製程變得愈來愈具挑戰性。最近,極紫外線(extreme ultraviolet,EUV)輻射源用來提供短曝光波長以為了提供更縮小的最小可留印尺寸在基底上。然而,在這種小尺寸,光阻層的邊緣的粗糙度變得難以控制。
光阻的線寬粗糙度(line width roughness,LWR)在半導體特徵(feature)的尺寸縮小(例如尺寸小於20奈米)時扮演愈來愈關鍵的角色。上述的線寬粗糙度可能起因於包含光阻材料的聚合物的晶粒(grain)尺寸、光阻材料的聚合物的晶粒之尺寸分布等任何因素。通常,較小的聚合物的晶粒尺寸可能導致較小的光阻線寬粗糙度,較小的聚合物的晶粒尺寸分布(亦即較窄的分布)可能導致較小的光阻線寬粗糙度。然而,使用在一般製程的傳統光阻材料包含最小晶粒尺寸限制在約2奈米的聚合物。這種最小晶粒尺寸的限制可能導致光阻線寬粗糙度 具有不想要的尺寸。因此,本揭示提供光阻材料及相應的製造方法來改善光阻材料的線寬粗糙度。
第1圖為依據各種實施例繪示製造半導體裝置的方法100的流程圖。方法100以提供基底的步驟102為開始。在一些實施例中,基底包含矽。在一些其他的實施例中,基底可另外或額外地包含其他合適的半導體材料例如鍺(Ge)、鍺化矽(silicon germanium,SiGe),碳化矽(silicon carbide,SiC),砷化鎵(gallium arsenic,GaAs)、鑽石(diamond)、砷化銦(indium arsenide,InAs)、磷化銦(indium phosphide,InP)、碳鍺化矽(silicon germanium carbide,SiGeC)、及磷銦化鎵(gallium indium phosphide,GaInP)。基底可能也包含各種特徵例如各種摻雜區(doped region)、淺溝槽隔離(shallow trench isolation,STI)區域、源極/汲極特徵、閘極堆疊物、介電特徵,及/或多重內連線(multilevel interconnects)。
依據各種實施例,方法100繼續進行步驟104,形成光阻材料在基底上。光阻材料具有包含主鏈的聚合物。主鏈具有任何數量的鏈段及複數個聯結基。另外,每個鏈段包含紫外線可硬化(UV curable)基,光阻材料的細節提供在第2A圖及第2B圖。
參照第1圖,形成光阻材料在基底上之後,方法100繼續進行步驟106,實施第一曝光製程來打斷聚合物的主鏈。依據各種實施例,第一曝光製程包含不使用光罩的曝光製程。此類聚合物的主鏈的打斷可有利地將光阻材料的聚合物的晶粒尺寸縮小,及/或使光阻材料的聚合物的晶粒尺寸的分布變 窄,進而縮小光阻線寬粗糙度。依據各種實施例的說明,第一曝光製程可由將光阻材料曝光於具有紫外線波長例如波長介於10奈米至400奈米之間的輻射之下來實施。在一些實施例中,第一曝光製程(亦即在方法100中的步驟106)可於形成光阻材料在基底上(亦即在方法100中的步驟104)的相同腔室中來實施。以下以第2A圖及2B圖分別敘述關於打斷聚合物的主鏈的細節。
方法100之後繼續進行步驟108,在光阻材料上實施第二曝光製程。在第二曝光製程期間,將基底在輻射光束下曝光藉此圖案化光阻材料。使用微影系統以輻射光束將形成於基底上的光阻進行曝光,此微影系統提供根據積體電路設計佈局的輻射圖案。在一實施例中,微影系統包含紫外線(UV)輻射、深紫外線(deep ultraviolet,DUV)輻射、極紫外線輻射(extreme ultraviolet,EUV)、X射線輻射及/或其他合適的輻射型態。在另外的實施例中,微影系統包含帶電粒子微影系統例如電子束微影系統或離子束微影系統。
應當注意的是步驟108實施的第二曝光製程與步驟106實施的第一曝光製程不同。例如,在步驟108的第二曝光製程包含透過光罩使基底曝光,藉此在光阻層中形成潛像(latent image)。相比之下,如上述,步驟106實施的第一曝光製程不使用遮罩因此在光阻層中沒有潛像形成。另外,在一些特定的實施例中,第一曝光製程可包含使用紫外線光源作為輻射源且第二曝光製程可包含使用極紫外線光源作為輻射源。
方法100繼續進行步驟110,將曝光的光阻層顯影以 形成罩幕元件(masking element)。罩幕元件可用來形成半導體裝置特徵。可使用顯影劑使經曝光的光阻形成光阻圖案在基底上。此處,光阻層為由步驟110中的負型顯影劑來顯影的負型光阻層。「負型顯影劑」一詞是指選擇性地將未接收曝光劑量(或在預定的臨界曝光劑量值之下的曝光劑量)的範圍溶解並移除的顯影劑。在另一實施例中,顯影劑可包含有機溶劑或有機溶劑的混合物,例如甲基戊基酮(methyl amyl ketone,MAK)或包含甲基戊基酮的混合物。在另一實施例中,顯影劑包含水為基底的顯影劑例如氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)。使用包含將顯影劑噴灑在曝光的光阻層上的顯影劑,例如由旋轉塗佈製程來噴灑。在另一實施例中,光阻層為由步驟110中的正型顯影劑來顯影的正型光阻層。「正型顯影劑」一詞是指選擇性地將接收曝光劑量(或在預定的臨界曝光劑量值之上的曝光劑量)的範圍溶解且移除的顯影劑。
方法100可繼續進行在此未特定敘述但此技術領域中具有通常知識者可理解的步驟,例如,可使基底經過潤洗製程,例如去離子(de-ionized,DI)水潤洗。此潤洗製程可移除殘餘粒子。
第2A圖顯示在第一曝光製程(亦即關於在第1圖中步驟106實施的第一曝光製程)之前的光阻層的聚合物200的實施例的示意圖。如第2A圖所示,聚合物200包含聚合物主鏈,其中主鏈更包含第一聚合物鏈段204、第二聚合物鏈段206、耦接至第一聚合物鏈段204和第二聚合物鏈段206的各別鏈段的紫外線可硬化(UV curable)基208,及聯結基210。第一聚合物 鏈段和第二聚合物鏈段可包含下列至少其中之一:聚羥基苯乙烯(poly-hydroxy-styrene,PHS)聚合物、丙烯酸酯聚合物,具1-10碳原子的碳鏈,或任何合適的此技術領域中已知的聚合物。紫外線可硬化基208可具有包含下列其中之一的化學式:-C(=O)S-、-C(=O)O-、-C(=O)NH-、-C(=O)ONH-、-C(=O)O-、-C=C-O-C-、-C=C-C(=O)O-、-O-C(=O)O-、-C(=O)O-X-SH、-C=C-C(=O)O-及X-Si(OCH3)n,n=1~3,其中X為脂肪基或芳香基、具有附接氫、氧或鹵素原子的支鏈(branched)/無支鏈(unbranched)、環狀/非環狀的碳數在1-9的飽和碳鏈,例如烯基(alkene)、烷基(alkyl)、或苯(benzene)。關於聯結基210,聯結基210可包含芳香族化合物及/或脂肪族化合物。更特定地說,聯結基210可包含具有附接氫、氧及/或鹵素族的支鏈/無支鏈、環狀/非環狀的飽和碳鏈(具1-9碳數)。例如烯化合物、烷基化合物、及/或苯化合物。
參照第2A圖,藉由讓光阻層在紫外光輻射201下曝光,使聚合物主鏈可分割為至少兩個鏈段。第2B圖顯示光阻層的聚合物200在第一曝光製程(亦即關於第1圖中步驟106實施的第一曝光製程)之後的實施例之示意圖。如第2B圖顯示,在紫外光曝光製程後,第一聚合物鏈段204和第二聚合物鏈段206因拋棄聯結基210而互相斷開,進而打斷聚合物主鏈成為至少兩個聚合物鏈段。此類聚合物主鏈的打斷可有益地提供如上述較小的聚合物的晶粒尺寸。在一實施例中,在紫外光曝光製程之後,可能對紫外線可硬化基208發生陽離子型光聚合(photopolymerization)過程,因此打斷聚合物主鏈。
第3圖繪示光阻層的聚合物200在第一曝光製程之前之實施例的具體例子。在繪示的實施例中,聚合物200能夠以化學結構302來實現,其至少包含關於上述的第2A圖的第一聚合物鏈段204、第二聚合物鏈段206、紫外線可硬化基208,及聯結基210。在一些具體例子中,聚合物200能夠以化學結構304來實現,其至少包含第一聚合物鏈段204、第二聚合物鏈段206、紫外線可硬化基208,及聯結基210。聚合物200也能夠以化學結構306來實現,其至少包含第一聚合物鏈段204、第二聚合物鏈段206、紫外線可硬化基208,及聯結基210。又在一些實施例中,聚合物200能夠以化學結構308來實現,其至少包含第一聚合物鏈段204、第二聚合物鏈段206、紫外線可硬化基208,及聯結基210。
參照第4圖,依據各種實施例顯示半導體裝置的製造方法400。方法400以提供基底的步驟402為開始,在一些實施例中,基底包含矽。在一些其他的實施例中,基底可另外或額外地包含合適的半導體材料例如鍺(germanium,Ge)、鍺化矽(silicon germanium,SiGe),碳化矽(silicon carbide,SiC),砷化鎵(gallium arsenic,GaAs),鑽石(diamond),砷化銦(indium arsenide,InAs),磷化銦(indium phosphide,InP),碳鍺化矽(silicon germanium carbide,SiGeC),及磷銦化鎵(gallium indium phosphide,GaInP)。基底也可包含各種特徵,例如各種摻雜區、淺溝槽隔離(shallow trench isolation,STI)、源極/汲極特徵、閘極堆疊物、介電特徵,及/或多重內連線(multilevel interconnects)。
依據各種實施例,方法400繼續進行步驟404,形成光阻材料在基底上。光阻材料具有聚合物,其包含具有至少兩個鏈段和第一聯結基和第二聯結基的主鏈。在一些實施例中,第一聯結基和第二聯結基互相連接。更特定地說,第一聯結基和第二聯結基耦接於至少兩個鏈段的第一鏈段和第二鏈段之間。光阻材料的細節以下於第5A圖說明。
方法400繼續進行步驟406,基底於輻射光束下曝光,藉以圖案化光阻材料。使用微影系統以輻射光束將形成於基底上的光阻進行曝光,此微影系統提供根據積體電路設計佈局的輻射圖案。在一實施例中,微影系統包含紫外線(UV)輻射、深紫外線(deep ultraviolet,DUV)輻射、極紫外線(extreme ultraviolet,EUV)輻射、X-射線輻射,及/或其他類型的輻射。在另外的實施例中,微影系統包含帶電粒子微影系統,例如電子束或離子束微影系統。
參照第4圖,之後方法400繼續進行步驟408,對圖案化的光阻材料實施熱處理製程。在一些實施例中,此熱處理可稱為後烤(post-baking)製程或曝光後烘烤(post-exposure baking,PEB)製程。在後烤製程期間,使用合適的烘烤機制例如加熱板(hotplate)或烘箱讓具有圖案化的光阻材料的基底加熱至提高的烘烤溫度。在一實施例中,可使烘烤溫度在100℃至250℃或更熱的範圍來實施。另外,可使用其他合適的烘烤溫度。在一些實施例中,後烤製程可為硬烘烤(hard baking)製程。
方法400繼續進行步驟410,將已處理的光阻層顯影 以形成罩幕元件(masking element)。罩幕元件可用來形成半導體裝置特徵。可對已處理的光阻施以顯影劑以在基底上形成光阻圖案。此處,光阻層為由步驟410中的負型顯影劑來顯影的負型光阻層。「負型顯影劑」一詞是指選擇性地將未接收曝光劑量(在預定的臨界曝光劑量值之下的曝光劑量)的範圍溶解並移除的顯影劑。在另外的實施例中,顯影劑可包含有機溶劑或有機溶劑的混合物,例如甲基戊基酮(methyl amyl ketone,MAK)或包含甲基戊基酮的混合物。在其他的實施例中,顯影劑包含水為基底的顯影劑例如氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)的顯影劑。顯影劑的實施包含噴灑顯影劑在曝光的光阻層上,例如由旋轉塗佈製程來實施。在一實施例中,顯影劑可移除光阻的未曝光區域且留下已受曝光的區域。在另外的實施例中,光阻層為步驟410中由正型顯影劑來顯影的正型光阻層。「正型顯影劑」一詞是指選擇性地將接收曝光劑量(或在預定的臨界曝光劑量值之上的曝光劑量)的範圍溶解且移除的顯影劑。
方法400可以更進一步以此處未被特定敘述,但此技術領域中具有通常知識者可理解的步驟繼續。例如,使基底經過潤洗製程例如以去離子(de-ionized,DI)水潤洗,潤洗製程可移除殘餘粒子。
依據說明的各種實施例,光阻材料可含有光酸產生器(photo acid generator,PAG)。在一實施例中,當吸收光能量(例如在第4圖的步驟404中說明的輻射光束)時,光酸產生器分解且形成一數量的酸。光酸產生器的例子為以下提供的能夠在 曝光之後即產生酸的化合物。應當理解的是可使用單獨的光酸產生器或以兩種或更多種的光酸產生器的混合的組合。合適的光酸產生器包含鎓鹽(onium salts)、硒鹽(selenium salts)、磷鹽(phosphonium salts)、碘鹽(iodonium salts)、鋶鹽(sulfonium salts)、有機鹵(organic halogen)化合物、鄰-硝基苯磺酸鹽(o-nitrobenzylsulfonate)化合物、N-亞胺磺酸鹽(N-iminosulfonate)化合物、N-亞胺基磺酸鹽(N-imidosulfonate)化合物、重氮磺酸鹽(diazosulfonate)化合物、磺醯亞胺(sulfonamide)化合物、重氮二磺酸鹽(diazodisulfonate)化合物及二碸(disulfone)化合物。
在依據各種說明的實施例中,光阻材料也可包含熱酸產生器(thermal acid generator,TAG)。在熱處理之後(例如在第4圖的步驟408中所繪示的處理製程),熱酸產生器分解且形成一數量的酸。一般熱酸產生器在溫度上非敏感性,意即熱酸產生器接收熱能量時比接收光能量可反應形成酸。也就是,熱酸產生器在上述步驟406的曝光製程期間不形成酸。取而代之的是,在步驟406期間實施的曝光製程期間只有光酸產生器在光阻層中形成酸。
如以上所述,在步驟408的熱處理製程可在約250℃的溫度實施,更適合的是約150℃或約100℃。也就是,在一實施例中,在約100℃至約250℃之間的溫度實施熱處理製程。在此處使用的熱酸產生器的例子包含離子(ionic)熱酸產生器例如包含氟化磺酸鹽(fluorinated sulfonate)的磺酸鹽。鹽類的實施例包含銨鹽(ammonium salts)。應當理解的是,可使用單一 熱酸產生器或使用兩種或更多種熱酸產生器的混合物的組合。
在一些實施例中,熱酸產生器在熱處理後即產生具有pKa小於約2(或小於約1,或小於約0)的酸。在一些實施例中,熱酸產生器不含有芳香環族(moiety)。在一些實施例中,熱酸產生器包括(或在加熱後產生)具有1或更多個碳原子的陰離子成分。
第5A圖顯示在處理製程(亦即在關於第4圖中的步驟408所實施的熱處理製程)之前,形成在基底上的光阻層的聚合物500的實施例的示意圖。如第5A圖所示,聚合物500包含聚合物主鏈,其中主鏈更包含第一聚合物鏈段504、第二聚合物鏈段506、第一聯結基508及第二聯結基510。在第5A圖繪示的實施例中,第一和第二聚合物鏈段透過第一聯結基508和第二聯結基510的聯結互相耦接。第一和第二聚合物鏈段可包含下列至少其中之一:聚羥基苯乙烯(poly-hydroxy-styrene,PHS)聚合物、丙烯酸酯聚合物、具有1-10碳原子的碳鏈及在習知技術中已知的任何合適的聚合物。第一聯結基508可具有一化學式其包含下列至少其中之一:-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-。至於第二聯結基510,第二聯結基510可包含酸不穩定基(acid-labile group,ALG),及/或具有孤電子對例如-C-O-C-、-C-OH-、-C-NH-C-、-R-NH2-及-R-S-R-,其中R可為具有以直鏈、支鏈或環狀結構的碳氫化合物結合至氫原子的烷基。烷基也可含有雜原子例如氮或氧。在一些實施例中,烷基可包含CF3、C2F5或C3F7的一個或更多個。在其他的實施例中,酸不 穩定基為合併酸不穩定基和鹼的功能的化合物。鹼可包含含有氮的鹼,其選自任何合適的鹼,含有胺(-NH2、-NHR)、硫胺(sulfonium amines,-SO2NH2、-SO2NHR)、-CONH2、-CONHR、-CSNH2、-C=CNH2、-C=CNHR、吡啶-NH2(pyridine-NH2)、苯胺(phenyl-NH2)、吡咯-NH2(pyrrole-NH2)或噻吩-NH2(thiophene-NH2),其中R表示烷基、芳香基、取代的烷基、取代的芳香基、雜芳香環(hetero aromatic ring)、雜原子、環狀基或取代的環狀基。在一些實施例中,酸不穩定基為基底的化合物包含具有三級碳作為良好脫離基(leaving group)的大型單位(bulky unit)。酸不穩定基為基底的化合物可選自於三級丁基(t-butyl)、三級丁氧基羰基(tert-butoxycarbonyl)、二級降莰基(iso-norbornyl)、2-甲基-2金鋼烷基(2-methyl-2-adamantyl)、2-乙基-2-金鋼烷基(2-ethyl-2-adamantyl)、3-四氫呋喃基(3-tetrahydrofuran,THF)、內酯基(lactone)、2-四氫呋喃基(2-THF)或2-四氫哌喃基(2-tetrahydropyranyl,THP)基的酯類。在各種實施例中,酸不穩定基為基底的化合物包含在熱烘烤之後可與光阻聚合物交聯的交聯劑端(cross-linker site)。在其他的實施例中,酸不穩定基為基底的化合物不包含交聯劑側且在熱烘烤之後擴散。
參照第5A圖,經由實施熱處理製程(亦即關於第4圖的步驟408),聚合物主鏈由第一聯結基508吸收酸503後可分成至少兩個鏈段。酸503可由光酸產生器及/或熱酸產生器。更特定地說,在曝光製程期間(亦即關於第4圖的步驟406),酸503可由光酸產生器製造;在熱處理製程(步驟408)期間,酸503可 由熱酸產生器。在依據各種說明的實施例中,光酸產生器和熱酸產生器都不包含在聚合物主鏈中。第5B圖更顯示在熱處理製程(亦即關於第4圖的步驟408)之後,光阻層的聚合物500的實施例的示意圖。如第5B圖所示,在熱處理製程之後,第一聚合物鏈段504和第二聚合物鏈段506耦接。更特定地說,第一聯結基508吸收酸503且第一聯結基508與第二聯結基510斷開,因此,進而,聚合物主鏈打斷成為至少兩個聚合物鏈段。此類聚合物主鏈的打斷可有利地提供如上述的較小的聚合物的晶粒尺寸。
第6圖顯示光阻層的聚合物主鏈的打斷之實施例。更特定地說,聚合物602顯示聚合物主鏈的一例子,聚合物主鏈包含,第一聚合物鏈段504、第二聚合物鏈段506、在處理製程(亦即關於第4圖所實施的熱處理步驟408)之前的第一聯結基508和第二聯結基510;聚合物604顯示聚合物主鏈經由第一聯結基508接收由光酸產生器及/或熱酸產生器在處理製程之後的酸503而打斷的一例子。第7圖更顯示光酸產生器和熱酸產生器的例子,在一些實施例中,光酸產生器可包含化學結構702、704(A為烷基)、706、708、710、712、714、716和718的至少其中之一。熱酸產生器可包含化學結構720、722、724、726、728、730和732的至少其中之一。
各種優點可存在於此處敘述的方法、裝置和組成的實施例中。本揭示提供用於光阻層的材料,使用這些材料和方法的實施例可由將光阻聚合物的晶粒尺寸縮小及/或將光阻聚合物的晶粒尺寸分布變窄來提供改善的光阻線寬粗糙度(意即 較小的線寬粗糙度)。另外,可經由透過紫外線預曝光(pre-exposure UV)製程或曝光後烘烤(post-exposure baking)製程將聚合物主鏈打斷來實現此類晶粒尺寸的減小。因此,此處揭示的材料和方法可提供更準確的圖案化、更清楚的圖案解析度、更低的重加工(rework)或廢料率及/或其他的益處。
本揭示提供半導體裝置的製造方法。在一實施例中,方法包含形成光阻材料在基底上,光阻材料具有聚合物其包含具有鏈段和聯結基的主鏈,鏈段包含碳鏈和紫外線(UV)可硬化基,紫外線可硬化基耦接於碳鏈和聯結基;實施第一曝光製程其經由將聯結基與每個鏈段的連接的紫外線可硬化基的去耦接來打斷聚合物的主鏈,實施第二曝光製程以形成圖案化的光阻層,以及將圖案化的光阻層顯影。
在另外的實施例中,製造半導體裝置的方法包含形成光阻材料在基底上,光阻材料具有聚合物其包含具有至少兩個鏈段的主鏈且第一聯結基和第二聯結基互相連接,其中第一聯結基和第二聯結基耦接於至少兩個鏈段的第一鏈段和第二鏈段之間,將光阻材料圖案化以形成圖案化的光阻層,用一方式處理圖案化的光阻層其藉由讓第一聯結基與第二聯結基的斷開來打斷聚合物的主鏈,以及顯影圖案化的光阻層。
在另外的實施例中,使用在光蝕刻法圖案化製程的光阻材料包含具有可打斷的聚合物的主鏈。更特定地說,主鏈包含至少兩個鏈段和第一聯結基,其中每個鏈段包含碳鏈和紫外線(UV)可硬化基其耦接至具有與第一聯結基連接的碳鏈。
關於前述幾個實施例的概要特徵,本技術領域中具 有通常知識者應當理解其可容易地使用本揭示作為基礎用於設計或調整其他製程及結構以實現相同用途及/或達成此處提出的相同的優點。本技術領域中具有通常知識者也應當理解此相等的建構並不背離本揭示的精神與範圍,及本技術領域中具有通常知識者可能做出的各種改變、取代及交替皆不背離本揭示的精神及範圍。
100‧‧‧方法
102、104、106、108、110‧‧‧步驟

Claims (10)

  1. 一種半導體裝置的製造方法,包括:形成一光阻材料在一基底上,該光阻材料具有一聚合物其包含一具有一鏈段及一聯結基的主鏈,該鏈段包含一碳鏈及一紫外線(UV)可硬化基,該紫外線可硬化基與該碳鏈及該聯結基耦接;實施一第一曝光製程,其經由將該聯結基與每個鏈段的該連接的紫外線可硬化基去耦接來打斷該聚合物的該主鏈;實施一第二曝光製程,以形成一圖案化的光阻層;以及將該圖案化的光阻層顯影。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中實施該第一曝光製程包含:不使用光罩實施該第一曝光製程;以及讓該光阻材料曝光於一紫外線光源,其中該紫外線光源使用介於10奈米至400奈米之間的波長。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中實施該第二曝光製程包含:使用一光罩實施該第二曝光製程;以及讓該光阻材料曝光於一輻射源,該輻射源包含至少下列其中之一:一使用極紫外光波長的輻射源及一電子束(e-beam)源。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該紫外線可硬化基具有一化學式,其包含至少下列其中之一:-C(=O)S-、-C(=O)O-、-C(=O)NH-、-C(=O)ONH-、 -C(=O)O-、-C=C-O-C-、-C=C-C(=O)O-、-O-C(=O)O-、-C(=O)O-X-SH、-C=C-C(=O)O-及X-Si(OCH3)n,n=1~3,其中X為脂肪基或芳香基、具有氫、氧或鹵素原子的支鏈、無支鏈、環狀或非環狀的碳數在1~9的飽和碳鏈,且該聯結基包含至少下列其中之一:支鏈、無支鏈、環狀飽和碳鏈及非環狀飽和碳鏈。
  5. 一種半導體裝置的製造方法,包括:形成一光阻材料在一基底上,該光阻材料具有一聚合物其包含一具有至少兩個鏈段及互相連接的一第一聯結基和一第二聯結基的主鏈,其中該第一聯結基和該第二聯結基耦接於該至少兩個鏈段的一第一鏈段和一第二鏈段;將該光阻材料圖案化以形成一圖案化的光阻層;用一方式處理該圖案化層,其藉由讓該第一聯結基與該第二聯結基斷開來打斷該聚合物的該主鏈;以及顯影該圖案化的光阻層。
  6. 如申請專利範圍第5項所述之半導體裝置的製造方法,其中該第一聯結基具有一化學式,其包含至少下列其中之一:-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-。
  7. 如申請專利範圍第5項所述之半導體裝置的製造方法,其中該第二聯結基包含下列其中之一:一酸不穩定基及一具有一孤電子對的供電子基團。
  8. 如申請專利範圍第5項所述之半導體裝置的製造方法,其中該第一聯結基與該第二聯結基的斷開更包含耦接至該第一 鏈段與該第二鏈段的該第一聯結基在接收一酸之後與該第二鏈段去耦接,且耦接至該第一鏈段與該第二鏈段的該第二聯結基與該第一聯結基斷開之後與該第一鏈段去耦接,且該酸由一光活化的酸產生器、一光基質(photo-base)的產生器、一光可分解的淬滅體,一對輻射能量或熱能反應的熱活化的酸產生器或前述之組合提供。
  9. 一種光阻,包括:一聚合物具有一可打斷的主鏈,其中該主鏈包含至少兩個鏈段及一第一聯結基,每個該鏈段包含一碳鏈及一紫外線(UV)可硬化基耦接至該碳鏈,且與該第一聯結基連接。
  10. 如申請專利範圍第9項所述之光阻,其中該主鏈包含至少兩個鏈段及一互相連接的一第二聯結基和一第三聯結基,其中該第二聯結基和該第三聯結基耦接於該至少兩個鏈段的該第一鏈段和該第二鏈段之間,且該第二聯結基具有一化學式其包含至少下列其中之一:-S-,-P-,-P(O2)-,-C(=O)S-,-C(=O)O-,-O-,-N-,C(=O)N-,-SO2O-,-SO2S-,-SO-,-SO2-,且該第三聯結基包含下列其中之一:一酸不穩定基及一具有一孤電子對的供電子基團。
TW104138008A 2015-07-29 2015-11-18 半導體裝置的製造方法及光阻 TWI596653B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/811,955 US10466593B2 (en) 2015-07-29 2015-07-29 Method and apparatus of patterning a semiconductor device

Publications (2)

Publication Number Publication Date
TW201705201A TW201705201A (zh) 2017-02-01
TWI596653B true TWI596653B (zh) 2017-08-21

Family

ID=57883020

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138008A TWI596653B (zh) 2015-07-29 2015-11-18 半導體裝置的製造方法及光阻

Country Status (4)

Country Link
US (3) US10466593B2 (zh)
KR (1) KR101941740B1 (zh)
CN (1) CN106406034B (zh)
TW (1) TWI596653B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757639B (zh) * 2018-09-28 2022-03-11 台灣積體電路製造股份有限公司 半導體元件及其形成方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10073347B1 (en) * 2017-08-24 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method of protecting wafer from bevel contamination
CN112305860A (zh) * 2019-08-02 2021-02-02 东莞新科技术研究开发有限公司 一种用于半导体的曝光显影方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100022092A1 (en) * 2006-10-12 2010-01-28 Nissan Chemical Industries, Ltd. Method of producing semiconductor device using resist underlayer film by photo-crosslinking curing

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5600035A (en) * 1994-07-13 1997-02-04 Ppg Industries, Inc. Positive photoactive compounds based on 2,6-dinitro benzyl groups and 2,5-dinitro benzyl groups
JP2003012643A (ja) 2001-06-27 2003-01-15 Fuji Photo Film Co Ltd 画像形成材料及びそれに用いるオリゴマー
US6872505B1 (en) * 2003-09-16 2005-03-29 Intel Corporation Enabling chain scission of branched photoresist
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP5158370B2 (ja) 2008-02-14 2013-03-06 信越化学工業株式会社 ダブルパターン形成方法
KR20100000706A (ko) 2008-06-25 2010-01-06 주식회사 동부하이텍 미세 패턴 형성 방법
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US20140120469A1 (en) * 2012-10-31 2014-05-01 Rohm And Haas Electronic Materials Llc Thermal acid generators for use in photoresist
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP6287552B2 (ja) * 2013-06-14 2018-03-07 三菱ケミカル株式会社 レジスト用共重合体、およびレジスト用組成物
US9063420B2 (en) * 2013-07-16 2015-06-23 Rohm And Haas Electronic Materials Llc Photoresist composition, coated substrate, and method of forming electronic device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100022092A1 (en) * 2006-10-12 2010-01-28 Nissan Chemical Industries, Ltd. Method of producing semiconductor device using resist underlayer film by photo-crosslinking curing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757639B (zh) * 2018-09-28 2022-03-11 台灣積體電路製造股份有限公司 半導體元件及其形成方法

Also Published As

Publication number Publication date
KR20170015072A (ko) 2017-02-08
US20170032961A1 (en) 2017-02-02
US20220365437A1 (en) 2022-11-17
CN106406034B (zh) 2019-08-13
US11762296B2 (en) 2023-09-19
TW201705201A (zh) 2017-02-01
KR101941740B1 (ko) 2019-01-23
US10466593B2 (en) 2019-11-05
US11460776B2 (en) 2022-10-04
CN106406034A (zh) 2017-02-15
US20200064740A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10281819B2 (en) Silicon-containing photoresist for lithography
US11762296B2 (en) Method and apparatus of patterning a semiconductor device
US8822347B2 (en) Wet soluble lithography
TW201901294A (zh) 微影圖案化的方法
US20230359124A1 (en) Materials and methods for forming resist bottom layer
CN108121160B (zh) 光刻图案化方法
KR101786223B1 (ko) 부유 보호제를 갖는 리소그래픽 레지스트
US12050404B2 (en) Photoresist with polar-acid-labile-group
CN108333866B (zh) 光刻图案化的方法
CN110875175B (zh) 半导体装置的制造方法
CN105990104B (zh) 制造一半导体装置的方法
US10520813B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
TWI754801B (zh) 半導體裝置的製造方法
US20160252815A1 (en) Photoresist with Floating-OOB-Absorption Additive
US20030228538A1 (en) E-beam curable resist and process for e-beam curing the resist
TW201923456A (zh) 半導體結構的形成方法
KR20060074193A (ko) 포토레지스트 조성물 및 이를 이용한 패턴 형성 방법