TWI572993B - 用於判定一微影製程之製程窗之方法、相關設備及一電腦程式 - Google Patents

用於判定一微影製程之製程窗之方法、相關設備及一電腦程式 Download PDF

Info

Publication number
TWI572993B
TWI572993B TW104123458A TW104123458A TWI572993B TW I572993 B TWI572993 B TW I572993B TW 104123458 A TW104123458 A TW 104123458A TW 104123458 A TW104123458 A TW 104123458A TW I572993 B TWI572993 B TW I572993B
Authority
TW
Taiwan
Prior art keywords
parameter value
processing parameter
actual
initial
substrate
Prior art date
Application number
TW104123458A
Other languages
English (en)
Other versions
TW201617740A (zh
Inventor
溫 提波 泰爾
法蘭克 史達爾
保羅 克利絲丁安 希尼
雷納 瑪麗亞 強布洛特
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201617740A publication Critical patent/TW201617740A/zh
Application granted granted Critical
Publication of TWI572993B publication Critical patent/TWI572993B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

用於判定一微影製程之製程窗之方法、相關設備及一電腦程式
本發明係關於用於判定一微影製程之製程窗之方法,及相關設備及一電腦程式。
微影設備為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於(例如)積體電路(IC)之製造中。在彼情況下,圖案化裝置(其替代地被稱作光罩或比例光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影設備包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上或藉由使用(例如)粒子束及電子束將圖案寫入至輻射敏感材料中而將圖案自圖案化裝置轉印至基板。
為了監視微影製程,量測經圖案化基板之參數。舉例而言,參 數可包括形成於經圖案化基板中或上之順次層之間的疊對誤差,及經顯影感光性抗蝕劑之臨界線寬。可在產品基板上及/或在專用度量衡基板上藉由量測圖案之一部分及/或藉由量測專用度量衡目標來執行此量測。存在用於進行在微影製程中形成之顯微結構之量測的各種技術,包括使用掃描電子顯微鏡及各種專門工具。專門檢測工具之快速且非侵入性形式為散射計,其中輻射光束經導向至基板之表面上之目標上,且量測經散射或經反射光束之屬性。藉由比較光束在其已由基板反射或散射之前與之後的屬性,可判定基板之屬性。舉例而言,可藉由比較反射光束與儲存於與已知基板屬性相關聯之已知量測庫中的資料而進行此判定。兩種主要類型之散射計為吾人所知。光譜散射計將寬頻帶輻射光束導向至基板上且量測散射至特定窄角度範圍中之輻射之光譜(依據波長而變化的強度)。角度解析散射計使用實質上單色輻射之窄頻帶輻射光束且量測依據角度而變化的散射輻射之強度。
在某一微影設定(例如,微影設備、波長、NA等等)下,電路設計在仍產生功能晶片的同時可容許之散焦及曝光劑量變化之量被稱為設計之「製程窗」。製程窗之特徵常常在於在二維聚焦曝光矩陣標繪圖(進一步亦被稱作FEM標繪圖)中之區域或區,其中「F」表示聚焦值或散焦,且「E」表示曝光劑量變化。又,微影設備或微影工具之其他設定(例如,NA)及其他處理參數可具有對製程窗之影響。
當基板上之層曝光至製程窗內之特定聚焦及曝光條件時,該基板上之彼層將最可能係功能性的(再次,除了可已發生之局域非系統性缺陷之外,諸如局域地損害功能性之灰塵粒子)。當基板上之所有層在其各別製程窗內之聚焦及曝光條件下曝光時,該基板上之完整裸片將為功能性的(除了如之前所提及之非系統性缺陷之外)。當基板上之層曝光至製程窗外部之另一特定聚焦及曝光條件時,基板上之彼層及(很可能)所有裸片將不為功能性的。製程窗之不正確判定可引起使 用落在經不正確判定之製程窗內(因此,預期該層係功能性的)但引起有缺陷裸片之聚焦及曝光條件。
需要提供一種用於判定用於一微影製程之一製程窗之改良型方法。
本發明之一第一態樣係關於一種如技術方案1的判定一製程窗之方法。本發明之一第二態樣係關於一種如技術方案14的將一設計佈局之一部分處理至一基板上之方法。本發明之一第三態樣係關於一種如技術方案15之電腦程式產品。本發明之一第四態樣係關於一種如技術方案16的用於判定一製程窗之圖案。本發明之一第五態樣係關於一種如技術方案17之微影系統,該微影系統包含微影處理工具、量測系統及處理器。本發明之一第六態樣係關於一種如技術方案22的校準一微影模型之方法。本發明之一第七態樣係關於一種如技術方案36的用於校準微影模型之電腦程式產品。本發明之一第八態樣係關於一種如技術方案37的用於校準微影模型之圖案。
根據本發明之該第一態樣之該方法包含如下步驟:自基板上之複數個結構判定用於該等結構中之每一者之一輸出參數值,每一輸出參數值係與微影製程經設定以用於在該基板上產生該結構之一對應初始處理參數值相關聯,其中該複數個結構中之該等結構係使用一初始處理參數值範圍予以處理。一下一步驟包含針對該複數個結構中之每一者判定供處理該結構之實際處理參數值。且最後藉由針對該等相關聯輸出參數值中之每一者用該實際處理參數值替換該初始處理參數值且藉由判定在哪一實際處理參數值下該輸出參數值超過一臨限值來判定該製程窗。本發明人已意識到,初始處理參數值可不為實際上已在基板上發生特定曝光之處理值。歸因於(例如)微影工具中之一些設定之參數漂移,微影製程經設定以用於在基板上產生結構之處理參數值 相比於實際處理參數值可不同。初始處理參數值與實際處理參數值之間的此差可引起在判定微影製程之總製程窗方面之誤差。如之前所指示,在設計佈局至基板上之處理期間使用有缺陷製程窗可在基板上引起有缺陷裸片。藉由判定實際處理參數值且藉由用實際處理參數值替換初始處理參數值,可判定正確處理窗,因此改良經判定製程窗。
如之前所指示,在一實施例中,製程窗可由二維標繪圖定義,且因此緊接於初始處理參數值及實際處理參數值,製程窗之判定亦可使用一初始另外處理參數值及一實際另外處理參數值。為了判定較準確處理窗,相似於用實際處理參數值替換初始處理參數值,可用該實際另外處理參數值替換該初始另外處理參數值。最後,可藉由判定在哪一另外處理參數值下該輸出參數超過該臨限值或另一臨限值來判定該製程窗。在此實施例中,該初始處理參數值可(例如)為該微影工具經設定以用於將該結構產生至該基板上之一初始聚焦值。隨後用(例如)對該基板量測之一實際聚焦值替換此初始聚焦值。該初始另外處理參數值可(例如)為該微影工具經設定以用於將該結構產生至該基板上之一初始劑量值。隨後用(例如)對該基板量測之實際劑量值替換此初始劑量值。使用該實際聚焦值及該實際劑量值以判定該總製程窗將引起該製程窗之一較準確判定。
自該結構判定之該輸出參數值包括來自包含如下各者之清單中的一或多者:尺寸、臨界尺寸、側壁角、影像對數斜率、溫度、圖案置放、疊對、抗蝕劑高度及缺陷度。該抗蝕劑高度可(例如)包括在結構之產生之後的剩餘抗蝕劑高度。該初始處理參數值及/或該初始另外處理參數值係選自包含如下各者之一清單:聚焦、劑量、圖案置放、疊對、雷射頻寬、雷射波長、像差及系統動力學。該系統動力學可(例如)包括載物台動力學,諸如,當在x方向、y方向及甚至z方向(其實質上平行於微影系統之光軸)上定位載物台時之動力學。該初始 處理參數值及/或該初始另外處理參數值為在用於執行該微影製程之至少一部分之微影處理工具處設定的前饋參數值。該實際處理參數值及/或該實際另外處理參數值包含對該基板執行之實際量測,該基板包含分別使用該初始處理參數及/或該初始另外處理參數產生之該等結構。緊接於對該基板執行之實際量測,該實際處理參數值及/或該實際另外處理參數值可使用由先前量測引起的所記錄資料。此等先前量測可包括對不同基板之量測,例如,供校正在微影工具處設定的初始處理參數值之漂移之先前經處理基板。可使用微影處理工具內部之感測器或使用與微影處理工具分離的度量衡工具來執行該等量測。
在一實施例中,該基板上之一特定部位處之該初始處理參數值及/或該基板上之一特定部位處之該初始另外處理參數值係分別由一相鄰初始處理參數值及/或相鄰初始另外處理參數值之內插產生。該內插可(例如)由相鄰測定值產生。在一實施例中,該基板上之一特定部位處之該實際處理參數值及/或該基板上之一特定部位處之該實際另外處理參數值係由一相鄰實際處理參數值及/或相鄰實際另外處理參數值之內插產生。在一實施例中,經處理至該基板上之該複數個結構中之每一結構包含該初始處理參數值及該初始另外處理參數值之一獨特組合。在另一實施例中,該臨限值或該另外臨限值係選自包含如下各者之一清單:尺寸、臨界尺寸(另外亦被稱作CD值)、側壁角、影像對數斜率值(另外亦被稱作ILS值)、溫度、圖案置放、疊對、抗蝕劑高度、抗蝕劑損耗及缺陷度。可存在作為結構之頂部損耗的抗蝕劑損耗,其被定義為如下情形:其中結構之抗蝕劑影像之部分在抗蝕劑之頂部處有缺陷。存在抗蝕劑中之頂部損耗之結構可在使用基板之蝕刻步驟繼續處理此結構時引起不良蝕刻品質,此情形可引起有缺陷結構(例如,在蝕刻CD改變或經由線之蝕刻(造成線之立即中斷)之後)。替代地,可存在作為底部損耗之抗蝕劑損耗,其中與基板之連接點處 之抗蝕劑寬度過窄(亦被稱作基腳)。此情形亦將造成蝕刻行為之改變,其與之前所指示相似。另外,基腳可嚴重使得經顯影線可在顯影之後落下。識別此等結構可用以界定製程窗之邊緣。
根據本發明之該第六態樣之該方法包含如下步驟:自一測試基板上之複數個結構判定用於結構中之每一者之一輸出參數值,該測試基板係使用微影製程予以處理,且每一輸出參數值係與該微影製程經設定以用於在該測試基板上產生該結構之一對應初始處理參數值相關聯,該複數個結構中之該等結構係使用一初始處理參數值範圍予以處理。一下一步驟包含針對該複數個結構中之每一者判定供處理該結構之實際處理參數值。及最後藉由針對該等相關聯輸出參數值中之每一者用該實際處理參數值替換該初始處理參數值且藉由基於來自該複數個結構之至少一些結構之該實際處理參數值及該相關聯輸出參數值而調適該微影模型之一模型參數來校準該微影模型。如之前所指示,本發明人已意識到,初始處理參數值可不為實際上已在測試基板上發生特定曝光之處理值。歸因於(例如)微影工具中之一些設定之參數漂移,微影製程經設定以用於在測試基板上產生結構之處理參數值相比於實際處理參數值可不同。初始處理參數值與實際處理參數值之間的此差可引起在校準微影模型方面之誤差,且因而引起來自此微影模型之經模擬結果之誤差。藉由判定實際處理參數值且藉由用實際處理參數值替換初始處理參數值,可判定正確處理窗,因此改良經判定製程窗。
可使用用以調適模型參數使得經校準模型更準確地預測相關聯微影製程之結果之實際校準製程,諸如…「校準方法」。
2‧‧‧寬頻帶輻射投影儀/輻射源
4‧‧‧光譜儀偵測器
10‧‧‧光譜
11‧‧‧背向投影式光瞳平面
12‧‧‧透鏡系統
13‧‧‧干涉濾光器
14‧‧‧參考鏡面
15‧‧‧顯微鏡接物鏡/透鏡系統
16‧‧‧部分反射表面/光束分裂器
17‧‧‧偏光器
18‧‧‧偵測器
30‧‧‧基板目標
500‧‧‧基板/晶圓/點
510‧‧‧經成像圖案/缺陷臨限值
520‧‧‧缺陷/虛線
530‧‧‧聚焦值範圍/雙頭箭頭
530A‧‧‧結構
530B‧‧‧結構
540‧‧‧聚焦量測結構/產品上以繞射為基礎之聚焦(DBF)度量衡目標
600‧‧‧點
610‧‧‧缺陷臨限值
620‧‧‧虛線
630‧‧‧雙頭箭頭
700‧‧‧流程圖/流程
710‧‧‧步驟
720‧‧‧步驟
730‧‧‧步驟
740‧‧‧步驟
750‧‧‧步驟
900‧‧‧流程圖
910‧‧‧步驟
920‧‧‧步驟
930‧‧‧步驟
940‧‧‧步驟
950‧‧‧步驟
AD‧‧‧調整器
B‧‧‧輻射光束
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
C‧‧‧目標部分
CH‧‧‧冷卻板
CO‧‧‧聚光器
DE‧‧‧顯影器
ED‧‧‧曝光劑量設定
F‧‧‧聚焦設定
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
I/O1‧‧‧輸入/輸出埠
I/O2‧‧‧輸入/輸出埠
LA‧‧‧微影設備
LACU‧‧‧微影控制單元
LB‧‧‧裝載匣
LC‧‧‧微影製造單元
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧圖案化裝置/光罩
MT‧‧‧支撐結構/光罩台
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PL‧‧‧投影系統
PU‧‧‧處理單元
PW‧‧‧第二定位器
RO‧‧‧基板處置器或機器人
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
TCU‧‧‧塗佈顯影系統控制單元
W‧‧‧基板
WTa‧‧‧基板台
WTb‧‧‧基板台
現在將僅借助於實例參看隨附示意性圖式來描述本發明之實施例,在該等圖式中對應元件符號指示對應部分且在該等圖式中: 圖1描繪微影設備;圖2描繪微影製造單元或叢集;圖3描繪第一散射計;圖4描繪第二散射計;圖5A描繪根據聚焦曝光矩陣測試而曝光之晶圓;圖5B及圖5C展示用於擬合Bossung曲線以判定用於特定經成像結構之最佳聚焦值的量測資料;圖5D展示說明經由資料集合改良曲線之擬合的方法步驟之流程圖;圖6為描繪根據本發明之一實施例的用於判定用於微影製程之聚焦深度之方法的曲線圖;圖7展示根據本發明之一實施例的在一方法中可使用之基板上的場佈局;圖8為描繪根據本發明之一實施例的用於判定用於微影製程之邊緣置放窗之方法的曲線圖;及圖9展示說明根據本發明之一實施例之方法步驟的流程圖。
圖1示意性地描繪微影設備。該設備包含:-照明系統(照明器)IL,其經組態以調節輻射光束B(例如,UV輻射或DUV輻射);-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化裝置(例如,光罩)MA,且連接至經組態以根據某些參數來準確地定位該圖案化裝置之第一定位器PM;-基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數而準確地定位該基板之第二定位器PW;及 -投影系統(例如,折射投影透鏡系統)PL,其經組態以將由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構支撐(亦即,承載)圖案化裝置。支撐結構以取決於圖案化裝置之定向、微影設備之設計及其他條件(諸如,圖案化裝置是否被固持於真空環境中)之方式來固持圖案化裝置。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化裝置。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化裝置(例如)相對於投影系統處於所要位置。可認為本文中對術語「比例光罩」或「光罩」之任何使用皆與更一般術語「圖案化裝置」同義。
本文所使用之術語「圖案化裝置」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何裝置。應注意,例如,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中產生之裝置(諸如,積體電路)中之特定功能層。
圖案化裝置可為透射的或反射的。圖案化裝置之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
如此處所描繪,設備屬於透射類型(例如,使用透射光罩)。替代地,設備可屬於反射類型(例如,使用上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。
微影設備可屬於具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上光罩台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。
微影設備亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影設備中之其他空間,例如,光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。本文所使用之術語「浸潤」不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當輻射源為準分子雷射時,輻射源及微影設備可為單獨實體。在此等狀況下,不認為輻射源形成微影設備之部分,且輻射光束係憑藉包含(例如)合適導向鏡面及/或擴束器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,例如,當輻射源為水銀燈時,輻射源可為微影設備之整體部分。輻射源SO及照明器IL連同光束遞送系統BD(在需要時)可被稱作輻射系統。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台MT)上之圖案化裝置(例如,光罩MA)上,且係由該圖案化裝置而圖案化。在已橫穿光罩MA後,輻射光束B穿過投影系統PL,投影系統PL將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如,干涉量測裝置、線性編碼器、2D編碼器或電容性感測器),可準確地移動基板台WT,例如,以便在輻射光束B之路徑中定位不同目標部分C。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位光罩MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現光罩台MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,光罩台MT可僅連接至短衝程致動器,或可固定。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準光罩MA及基板W。儘管所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在一個以上晶粒提供於光罩MA上之情形中,光罩對準標記可位於該等晶粒之間。
所描繪設備可用於以下模式中至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使光罩台MT及基板台WT保持基本上靜止(亦 即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中成像之目標部分C之大小。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描光罩台MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PL之放大率(縮小率)及影像反轉特性來判定基板台WT相對於光罩台MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使光罩台MT保持基本上靜止,從而固持可程式化圖案化裝置,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,上文所提及之類型之可程式化鏡陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。
如圖2所展示,微影設備LA可用作微影製造單元LC(有時亦被稱作叢集)之部分,微影製造單元LC亦包括用以對基板執行曝光前製程及曝光後製程之設備。通常,此等設備包括用以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、冷卻板CH,及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板、在不同製程設備之間移動基板,且將基板遞送至微影設備之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等裝置係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監 督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影設備。因此,不同設備可經操作以最大化產出率及處理效率。
為了確保正確且一致地曝光由微影設備曝光之基板,較佳的是檢測經曝光基板(中之至少一些)以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等之屬性。若偵測到誤差,則可對後續基板之曝光進行調整,尤其是在檢測可足夠迅速地且快速地進行而使得同一批量之其他基板仍待曝光的情況下。因此,在一較佳實施例中,微影製造單元亦包含檢測設備或量測設備或量測工具--例如,直列式檢測工具或直列式量測工具,其經組態以用於量測緊接在基板離開微影設備或微影工具之後之屬性,或(例如)緊接在基板離開烘烤板BK之後之屬性,其通常改良潛影中之對比度。又,已經曝光之基板可被剝離及重工--以改良良率--或被捨棄,藉此避免對已知有缺陷之基板執行曝光。在基板之僅一些目標部分有缺陷之狀況下,可(例如)僅對在預定義規範內之彼等目標部分考慮進一步曝光。
檢測設備或量測設備或量測系統係用以判定基板或基板上之結構化層之屬性及品質,且詳言之,判定不同基板或同一基板之不同層之屬性如何在不同層之間變化。檢測設備可整合至微影設備LA或微影製造單元LC中,或可為單機裝置。為了實現最快速量測,需要使檢測設備緊接在曝光之後量測經曝光抗蝕劑層中之屬性。然而,抗蝕劑中之潛影具有相當低對比度--在抗蝕劑之已曝光至輻射之部分與抗蝕劑之尚未曝光至輻射之部分之間僅存在極小的折射率差--且並非所有檢測設備皆具有對進行潛影之有用量測的充分敏感度。因此,可在曝光後烘烤步驟(PEB)之後採取量測,曝光後烘烤步驟(PEB)通常為對經曝光基板進行之第一步驟且增加抗蝕劑之經曝光部分與未經曝光部分之間的對比度。在此階段,抗蝕劑中之影像可被稱作半潛像(semi- latent)。亦有可能量測經顯影抗蝕劑影像--此時已移除抗蝕劑之經曝光部分或未經曝光部分--或在諸如蝕刻之圖案轉印步驟之後量測經顯影抗蝕劑影像。後者限制重工有缺陷基板之可能性,但仍可提供有用資訊。
圖3描繪可用作本發明中之檢測設備或量測工具的散射計。該散射計通常包含將輻射投影至基板W上之寬頻帶(白光)輻射投影儀2。反射輻射傳遞至光譜儀偵測器4,該光譜儀偵測器4量測鏡面反射輻射之光譜10(依據波長λ而變化的強度I)。自此資料,可由處理單元PU重新建構引起經偵測光譜之結構或剖面,例如,藉由嚴密耦合波分析及非線性回歸,或藉由與圖3之底部處所展示之經模擬光譜庫的比較。一般而言,對於重新建構,結構之一般形式為吾人所知,且自供製造結構之製程之知識來假定一些參數,從而僅留下結構之幾個參數以自散射量測資料予以判定。此散射計可經組態為正入射散射計或斜入射散射計。此等散射計量測可引起關於圖案或結構在基板上之置放之資訊,或可在使用特定量測標記以判定圖案或結構係由微影製程工具處理之實際聚焦值時較佳使用此等散射計量測。
圖4中展示可供本發明使用之另一散射計。在此裝置中,由輻射源2發射之輻射係使用透鏡系統12而準直且透射通過干涉濾光器13及偏光器17、由部分反射表面16反射且經由顯微鏡接物鏡15而聚焦至基板W上,顯微鏡接物鏡15具有高數值孔徑(NA),較佳地為至少0.9且更佳地為至少0.95。浸潤散射計可甚至具有數值孔徑高於1之透鏡。反射輻射接著通過部分反射表面16而透射至偵測器18中,以便使散射光譜被偵測。偵測器可位於背向投影式光瞳平面11中,背向投影式光瞳平面11處於透鏡系統15之焦距,然而,該光瞳平面可代替地運用輔助光學件(圖中未繪示)而再成像至偵測器上。光瞳平面為輻射之徑向位置定義入射角且角度位置定義輻射之方位角之平面。偵測器較佳為 二維偵測器,使得可量測基板目標30之二維角度散射光譜。偵測器18可為(例如)CCD或CMOS感測器陣列,且可使用為(例如)每圖框40毫秒之積分時間。
參考光束常常用以(例如)量測入射輻射之強度。為了進行此量測,當輻射光束入射於光束分裂器16上時,輻射光束之部分朝向參考鏡面14作為參考光束而透射通過該光束分裂器。參考光束接著投影至同一偵測器18之一不同部分上或替代地投影至不同偵測器(圖中未繪示)上。
干涉濾光器13之集合可用以選擇在為(比如)405奈米至790奈米或甚至更低(諸如,200奈米至300奈米)之範圍內之所關注波長。該干涉濾光器可為可調諧的,而非包含不同濾光器之集合。可使用光柵以代替干涉濾光器。
偵測器18可量測散射光在單一波長(或窄波長範圍)下之強度、分離地在多個波長下之強度,或遍及一波長範圍而積分之強度。此外,偵測器可分離地量測橫向磁偏光光及橫向電偏光光之強度,及/或橫向磁偏光光與橫向電偏光光之間的相位差。
使用寬頻帶光源(亦即,具有寬光頻率或波長範圍且因此具有寬顏色範圍之光源)係可能的,其給出大光展量(etendue),從而允許多個波長之混合。寬頻帶中之複數個波長較佳地各自具有為△λ之頻寬及為至少2△λ(亦即,為該頻寬之兩倍)之間隔。若干輻射「源」可為已使用光纖束而分裂的延伸型輻射源之不同部分,以此方式,可並行地在多個波長下量測角解析散射光譜。可量測3-D光譜(波長及兩個不同角度),其相比於2-D光譜含有更多資訊。此情形允許量測更多資訊,此情形增加度量衡製程穩固性。EP1,628,164A中更詳細地描述此情形。
基板W上之目標30可為1-D光柵,其經印刷成使得在顯影之後, 桿體係由固體抗蝕劑線形成。目標30可為2-D光柵,其經印刷成使得在顯影之後,光柵係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。桿體、導柱或通孔可替代地經蝕刻至基板中。此圖案可(例如)對微影投影設備中之色像差敏感。特別地,投影系統PL及照明對稱性及此等像差之存在將使其自身表現為經印刷光柵中之變化。經印刷光柵之散射量測資料係用以重新建構光柵。1-D光柵之參數(諸如,線寬及形狀)或2-D光柵之參數(諸如,導柱或通孔寬度或長度或形狀)可經輸入至藉由處理單元PU自印刷步驟及/或其他散射量測製程之知識而執行之重新建構製程。
如上文所描述,目標30通常係在基板之表面上。此目標常常係由構成光柵之一系列線或呈2-D陣列之形式的實質上矩形結構構成。自此繞射光譜,(例如)使用嚴密光學繞射理論或使用含有不同目標形狀之預演算之繞射光譜的查找表來提取目標形狀資訊。自此目標形狀資訊,可導出目標(可能相對於另一目標)之實際臨界尺寸(CD)、CD均一性及置放資訊。疊對度量衡為量測位於基板中之兩個不同層中的兩個目標之疊對以便判定基板上之兩個層是否對準之量測方法學。CD均一性為指示微影處理工具能夠處理橫越基板或在基板上之晶粒內之結構尺寸之均一性程度的量測。
當使用微影設備來照明或印刷晶圓或其他基板時,理想地,晶圓之光敏層應置放於投影光學件之焦平面處或遠離焦平面之所指明部位處。然而,如今之投影光學件之焦平面相對小,且許多因素影響光敏層相對於焦平面之位置;舉例而言,局域基板高度變化、在曝光期間之基板傾斜及甚至微影設備之不完美機械控制影響光敏層相對於焦平面之相對位置。因此,在焦平面之位置與基板上之光敏層之實際位置之間常常存在小偏差。彼偏差被稱為散焦聚焦值或有時僅僅被稱為「散焦」,且可由通常在奈米範圍內之距離單位表示,例如,50奈米。 散焦值可為局域值(例如,歸因於基板高度變化及傾斜),此將額外缺陷引入成像路徑中。
此外,為了適當地產生經由投影光學件而成像至基板上之光敏層上之結構,使用亦被指示為曝光劑量之較佳光強度來使該等結構成像,該光強度通常使用每平方公分毫焦(例如,20毫焦/平方公分)被指示。然而,在生產期間,確切曝光劑量(甚至局域地)可(例如)歸因於經施加有光敏層之基板表面之反射率變化、歸因於聚焦變化之劑量變化(如上文所指示)及曝光工具之照明控制之漂移而變化。又,供適當地產生結構之最佳曝光劑量可歸因於(例如)光敏層之非均一性及/或(例如)光敏層之高度差而變化。因此,在如在曝光步驟期間在微影工具處設定之初始曝光劑量與在晶粒上之(局域地)沈積之實際曝光劑量之間總是存在小偏差。此偏差被稱為曝光劑量變化,或有時僅僅被稱為「曝光」,且可由與理想曝光劑量之偏差百分比表示,例如,10%。
歸因於諸如如上文所指示之聚焦及曝光之處理參數之變化,經圖案化之晶圓特徵之尺寸可能不與設計所需之尺寸確切地匹配。因為不同結構以不同方式對諸如聚焦變化及曝光變化之處理參數值變化作出回應,所以運用有限參數集合描述電路圖案中之每一個別結構之回應有挑戰性。又,對於一層中之每一個別結構,可定義與該個別結構相關聯之輸出參數值之可接受範圍。舉例而言,此輸出參數可為結構之CD或缺陷度。當個別結構在輸出參數值之可接受範圍內變化時,不會預期該個別結構使該裝置有缺陷。製程窗定義個別結構之輸出參數值保持在可接受範圍內的同時諸如聚焦及/或劑量之處理參數值可變化之範圍。許多處理參數及輸出參數可用以界定製程窗。在半導體工業中,製程窗常常被指示為由圍繞標稱聚焦之可接受聚焦值之第一範圍及圍繞標稱劑量之劑量值變化之可接受第二範圍界定的二維區 域,而輸出參數值可(例如)為個別結構之CD或個別結構之缺陷度。缺陷度為指示個別結構是否有缺陷之參數,例如,特定結構是否不再完好,或特定結構是否並未(良好)地產生或不再豎立(抗蝕劑結構在顯影之後倒翻,此係(例如)因為抗蝕劑結構歸因於過高曝光劑量而變得過窄)。應實質上在此製程窗之中心將微影製程作為目標,以在避免產生有缺陷裝置的同時允許諸如聚焦及曝光劑量之處理參數之充分變化。
用以特性化微影製程之一個輸出參數為(例如)圖案化於用於給定技術之給定製程層上之最小特徵的寬度。此最小尺寸通常被稱作「臨界尺寸」或CD。雖然臨界尺寸實際上意欲表示三維抗蝕劑剖面,但術語CD通常係與通過抗蝕劑線之一維片斷(亦被稱作線寬)相關聯。以較寬鬆定義,術語CD常常用以指用於裝置之特定層之最小特徵之寬度,或甚至指任何線寬量測(即使其並非裝置上之最小尺寸)。在此文件中,「臨界尺寸」係指用於特定層之最小特徵,除非另有明確指示。
用於完整微影製程之製程窗可被定義為用於運用微影製程產生的所有不同圖案之重疊製程窗或共同製程窗。通常,用於完整微影製程之共同製程窗小於或等於最臨界結構之製程窗。原因在於:電路設計內之不同圖案具有不同製程窗,且此等不同製程窗可相對於彼此在聚焦或曝光劑量方面以及聚焦及曝光之可接受範圍之改變方面移位。為了成功印刷,不同圖案亦可具有不同準則,該等準則亦定義個別製程窗之差。雖然對於一些非臨界特徵可容許高達+/-15%之CD變化,但對最臨界結構之容許度可僅為一半。不同圖案之故障模式亦可極不同。一些圖案可歸因於過度CD變化而被認為不可接受的,其他圖案歸因於其側壁剖面之過度改變而被認為不可接受的,且其他圖案可遭受過度線端拉回或隅角圓化。嚴重圖案故障歸因於相鄰結構之相互作 用亦係可能的。在給定特徵經印刷為經隔離結構的情況下可接受之CD變化可能造成不同局部環境中之橋接、頸縮或其他不可接受的圖案變化。
已依據作為製程能力之度量的CD效能或缺陷度而用片語表達就此而言使用之共同製程窗之定義。事實上,用於可行製程之共同製程窗必須使得如實複寫整個三維圖案,包括在顯影之後之剩餘抗蝕劑圖案之側壁剖面及高度。完整共同製程窗將保證在顯影期間之CD、側壁角(SWA)及抗蝕劑損耗(RL)皆在針對經圖案化之電路層中之所有結構的規範內。然而,實務上,常常僅依據CD或缺陷度來定義製程窗。典型製程窗可被定義為聚焦曝光平面之區,在該區內臨界尺寸在可接受容許度內(諸如,標稱目標尺寸的+1-10%)經圖案化。
除了聚焦及曝光劑量以外,許多其他處理參數亦可具有對共同製程窗之不利影響,包括(但不限於):殘餘透鏡誤差(諸如,像差、光散射及其他中等範圍至長範圍之空間頻率誤差)、微影工具之照明系統之缺陷(諸如,均一性、局域化部分相干性,及光瞳填充之局域化變化,及照明設定中之殘餘誤差),及(例如)所應用光學近接校正之品質。因而,可替代地使用上文所提及之此等處理參數中之任一者來定義製程窗或共同製程窗。
常常在半導體工業中使用兩種方法以判定微影製程之所產生之製程窗:方法1:針對特定結構之複數個曝光使用不同聚焦值及曝光劑量值而在基板上產生該等個別曝光(通常遍及基板之表面而分佈),且因此產生聚焦曝光矩陣(FEM)。隨後,量測在不同聚焦及曝光劑量值下之每一特定結構之臨界尺寸(CD),且判定使該特定結構之臨界尺寸保持在臨界尺寸之可接受範圍內的聚焦值及曝光劑量值之範圍。該製程窗被定義為經判定聚焦值範圍及經判定曝光劑量範圍;或 方法2:曝光如上文所指示之相似聚焦曝光矩陣(FEM),而現在檢測特定結構之缺陷,諸如圖案完整性及/或渠溝之非顯影,等等。製程窗之邊緣可(例如)被定義為開始發生缺陷之聚焦值及/或曝光劑量值。
如之前所指示,聚焦及劑量為用於半導體工業中之共同處理參數。然而,先前段中所描述之處理參數中之任一者可能用以定義與上文所描述相似的製程窗。
圖5示意性地展示聚焦曝光矩陣(FEM)在基板500上(例如,在晶圓500上)之產生。FEM係由圖案510構成,該圖案510在不同聚焦設定F及不同曝光劑量設定ED下重複地成像至晶圓500上。聚焦設定F亦被稱作初始聚焦值,且曝光劑量設定亦被稱作初始曝光劑量值。圖案510包含複數個結構530A、530B,自該複數個結構530A、530B可量測(例如)結構530A、530B之臨界尺寸。如圖5中所展示之結構530A及530B可用以量測(例如)兩個部位處之中心線之臨界尺寸。當在中心線之延伸型區域處量測結構530A、530B之中心線時,可量測「經隔離線」之臨界尺寸,而當在光柵之中心處量測結構530A、530B之中心線時,可量測「緻密線」之臨界尺寸。圖5之放大部分中展示之圖案510亦含有聚焦量測結構540,可自該聚焦量測結構540量測實際聚焦值(在該聚焦量測結構540處,使圖案510實際上在微影製程期間成像)。最初,晶圓500上之每一經成像圖案510係與各別初始聚焦值及初始曝光劑量值相關聯,且量測所需臨界尺寸值。自此資料,可產生使測定臨界尺寸在可接受範圍內之初始聚焦值範圍及初始曝光劑量值範圍待定義初始製程窗的標繪圖。然而,此標繪圖可不表示實際製程窗,此係因為其可含有聚焦及劑量誤差。因此,在根據本發明產生製程窗之方法中,(例如)使用聚焦量測結構540來量測用於晶圓上之經成像圖案510中之每一者的實際聚焦值。隨後,用實際聚焦值替換初 始聚焦值以判定實際製程窗。亦可對於初始曝光劑量值進行此操作,可用實際曝光劑量值替換初始曝光劑量值以判定實際製程窗。當然,其他結構亦可用作聚焦量測結構540,且圖案510亦可包括用以量測(例如)實際曝光劑量值或其他相關局域處理參數之額外量測結構。
作為對用以判定如上文所解釋之製程窗之臨界尺寸之量測的替代例,可使用結構之缺陷度。在圖5中,缺陷520亦被識別為圖案510內之小圓點。缺陷520之密度(或小圓點之密度)指示在各別初始聚焦值及初始曝光劑量值下之圖案510之成像品質。在使用在每一圖案510內部之可接受缺陷520之數目之臨限值的情況下或在使用僅可允許特定缺陷之臨限值的情況下,可判定製程窗之邊緣。藉由用實際聚焦值替換初始聚焦值(與之前所解釋相似),判定製程窗之較準確指示。且緊接於用實際聚焦值替換初始聚焦值,亦可用實際曝光劑量值替換初始曝光劑量值以進一步改良經判定製程窗之品質。
因此,在基本實施例中,判定製程窗之方法可包含:●在複數個不同處理參數下(例如,在不同聚焦值下)及/或在複數個不同另外處理參數下(例如,在不同曝光劑量值下)將圖案510曝光至基板上;●量測在基板上圖案510之結構530A、530B以獲得用於該基板上之複數個部位之輸出參數值(例如,CD或缺陷度)集合;●獲得用於基板上之相同複數個點之實際處理參數值(例如,實際聚焦值)集合,使得對於每一部位,獲得輸出參數值及對應實際處理參數值--可自對曝光於晶圓上之結構之實際量測及/或自由微影設備在曝光期間記錄之資料導出該等實際處理參數,如將在下文中更詳細地解釋;●自輸出參數值及實際處理參數值判定該製程窗;及●使用該經判定製程窗以為了後續微影製程最佳化處理參數。
關於上文已描述之調適方法1之更特定實例,所提議方法可包含以下步驟:●針對經由聚焦及曝光劑量之各種值曝光聚焦曝光矩陣(FEM)--可使用含有圖案510之光罩來執行此曝光,圖案510包含產品特徵及產品上DBF度量衡目標540;●視情況,在此曝光序列期間收集實際微影設備設定以產生FEM,例如,基板位階量測資料及伺服資料;●量測用於每一場之CD(或另一輸出參數值);●對於每一CD量測部位,獲得彼部位處之實際聚焦值(或另一實際處理參數值);●標繪測定CD相對於實際測定聚焦值;及●自CD相對於實際測定聚焦值之變化判定製程窗。可基於關於CD之改變是否超過臨限值之判定來進行此判定。
在一替代實施例中,代替判定製程窗(例如,如可接受聚焦值範圍),可使用資料以判定在特定曝光劑量下之特定結構之實際最佳聚焦(另外亦被指示為BF)。為了判定最佳聚焦,可使用含有測定CD相對於實際測定聚焦值之標繪圖。在半導體工業中,針對特定曝光劑量經由聚焦之測定CD值之變化通常遵循充當趨勢線(亦被稱為「Bossung曲線」)的二階多項式。用於當前製程之最佳聚焦值位於經由聚焦之測定CD之最小值(對於光罩上之透明結構)或最大值(對於光罩上之不透明結構)處。亦對於此等「Bossung曲線」,藉由針對關聯CD值中之每一者用實際處理參數值(實際聚焦值,例如使用度量衡工具而量測)替換初始處理參數值(初始聚焦值),達成「Bossung曲線」之改良型準確度,且達成判定最佳聚焦之改良型準確度。圖5B展示用於對多個晶圓量測CD之不透明線的此Bossung曲線,其中使用初始設定聚焦值。替代地,可用實際測定聚焦值(圖中未繪示)替換初始設 定聚焦值,此情形將引起沿著水平軸線之點之更散射分佈。為了量測實際聚焦值,所使用之CD目標亦可具有緊接該CD目標之特定目標,以用於局域地量測如實際上在此等CD目標之微影處理期間發生的聚焦值(圖中未繪示)。在圖5B中,水平軸線展示聚焦值(以奈米為單位--[nm]),且垂直軸線展示測定CD(以奈米為單位--[nm])。當然,代替CD,亦可使用其他輸出參數以判定特定趨勢線,且代替變化之聚焦,亦可使用其他處理參數。對於熟習此項技術者將顯而易見,代替初始設定集合聚焦量測而使用實際聚焦量測進行改良型「Bossung曲線」的此判定可具有較寬用途且可在本發明之範疇內及超出本發明之範疇而使用,且因此可在製程窗判定之內部及外部兩種情況下使用,如在此文件之剩餘部分中所描述。
為了進一步改良「Bossung曲線」之品質,亦可將形狀不變模型回歸應用於資料以縮減可存在於資料中的系統性製程變化,例如,來自實際量測中之變化、所執行曝光中之變化,等等。此等變化可被視為存在於資料中之「指紋」。對於單一晶圓FEM,此等變化可為場內指紋,且對於多晶圓FEM,該等變化可表示所有晶圓平均場內及場間指紋。可藉由使用圖5D之流程圖700中所展示之以下步驟來實施微影製程控制之特定實例中的此形狀不變模型回歸:●在步驟710中,產生第一標繪圖,其中包括個別量測之所有可用資料(例如,微影製程中之多個晶圓及多個設定點的可用資料)。可在圖5B中使用初始設定聚焦值找到此標繪圖之實例;●在步驟720中,經由所有可用資料點判定線或趨勢線,以判定表示製程之部分的趨勢線(平滑曲線)之全域形狀。資料點係與處理參數值之組合相關聯,例如,對於每一資料點,一個實際處理參數值及一個輸出參數值(圖中未繪示),或對於每一資料點,微影製程經設定以用於將結構產生至基板上之一個初始處理參數值及一個輸出參數值 (如圖5B中所展示)。較佳地,應在允許趨勢線採取與資料擬合之實質上任何形狀且幾乎允許經由所有資料而擬合之形狀之許多自由度的同時進行趨勢線之判定。在關於Bossung曲線之實施例中,針對一特定CD目標及經由聚焦值之特定曝光劑量之來自所有晶圓的所有測定CD資料係用以判定趨勢線之全域形狀(使用實際處理參數值相對於測定CD或初始處理參數值相對於測定CD(如由圖5B中之平滑線所展示));●在步驟730中,使用經判定全域形狀以判定(且視情況校正)針對相對於線或趨勢線之個別量測或量測之群組之偏移值及/或縮放值。校正將實際上暗示針對個別點或點之群組應用關於經判定全域形狀的偏移及/或縮放。此步驟之實施可關於對點之群組之圖案辨識以找到點群組內之全域形狀之最佳擬合,以判定個別點或點群組之所需偏移及/或縮放。
此外,該方法亦可用以防止由Bossung曲線之特定形狀引起的偽缺陷。藉由使用所有可用資料產生第一標繪圖,判定曲線之實際形狀,因此防止對資料實施預期形狀(在Bossung曲線之狀況下,預期形狀可(例如)為二階多項式)。詳言之,可使用此方法來校正經由圍繞頂部之曲線形狀的不對稱性之擬合偽缺陷或圍繞頂部不對稱地分佈之量測範圍,從而引起自Bossung曲線判定最佳聚焦之準確度改良。
在一實施例中,可對資料反覆地應用產生、擬合及校正之以上步驟直至滿足終止條件為止(步驟740中所檢查)。因此,只要不滿足終止條件(流程圖700中由「否」指示),就返回至步驟710且使用偏移及/或縮放經校正資料以產生所有可用偏移及/或縮放經校正資料之新標繪圖且經由偏移及/或縮放經校正資料而重新擬合新線或新趨勢線(其再次使能夠判定新偏移值及/或縮放值)等等來反覆該製程。待校正之偏移及/或縮放可選自包括如下各者之清單:水平移位、垂直移位、縮放或此等校正中之任一者之組合。替代地,此等偏移及/或縮 放可由標繪圖之軸線相對於資料之功能變形來描述。終止條件可(例如)為反覆之數目、或(例如)擬合之總品質、或(例如)圍繞趨勢線或全域擬合之資料之總頻寬。若滿足終止條件(在流程圖700中由「是」指示),則流程在步驟750處停止。可在圖5C中找到根據流程700之關於圖5B之資料之此等反覆的結果,在圖5C中,資料之總展度(頻寬)已顯著縮減,從而使得自圖5C之改良型Bossung曲線進行最佳聚焦之判定更準確。在以上方法係用於判定Bossung曲線之最佳聚焦位置之實施例中,終止條件可為自資料判定最佳聚焦值之準確度(例如,3均方偏差)。校正偏移值及/或縮放值之此應用縮減圍繞擬合線或趨勢線散射之資料點之頻寬,如在比較圖5B與圖5C時可明確地看到。如之前所指示,資料點中之製程變化之此反覆縮減最終引起(例如)關於當前製程的實際Bossung曲線之趨勢線之實際形狀的更準確判定。結果,可顯著增強最佳聚焦結果之判定。此外,對於熟習此項技術者將顯而易見的是,使用初始聚焦量測或實際聚焦量測之形狀不變模型回歸之此方法可具有較寬用途且可在本發明之範疇內及超出本發明之範疇而使用,且因此可在製程窗判定之內部及外部兩種情況下使用,如在此文件之剩餘部分中所描述。
如之前所指示,偏移及/或縮放可為在標繪圖中在水平及/或垂直方向上之偏移及/或縮放。替代地,此等偏移及/或縮放可由標繪圖之軸線相對於資料之功能變形來描述。
因此,一般而言,改良資料之擬合品質之方法包含如下反覆步驟:經由與實際處理參數值及輸出參數值之組合相關聯的資料點判定趨勢線,判定個別資料點或資料點群組相對於趨勢線之偏移及/或縮放,及 使用經判定偏移及/或縮放來校正個別資料點或資料點群組,其中執行反覆步驟直至滿足終止條件為止。結果,趨勢線之品質得以顯著改良,而且關於資料集合中之每一者之偏移及/或縮放資料(水平移位、垂直移位、水平縮放、垂直縮放)將為吾人所知。
在一實施例中,經由實質上所有資料點之初始趨勢線係用作初始粗略擬合,在此之後實質上圍繞所關注點調適資料點之範圍以供重新擬合。再次在使用Bossung曲線之頂部之判定之實例的情況下,使用經由所有資料點之趨勢線以獲得Bossung之頂部之位置的粗略指示。在下一步驟處,進行資料點之特定選擇以獲得圍繞Bossung之經判定頂部實質上對稱地分佈之資料點之第二集合,此後執行趨勢線之重新擬合。此情形將增強Bossung曲線之頂部之判定之品質。當然,可對資料之任何分佈(不僅是Bossung曲線)進行在圍繞所關注點進行特定選擇之選擇之後的此重新擬合步驟。
在一實施例中,可在判定趨勢線之前或在製程之反覆步驟中之一者期間限制趨勢線之自由度。趨勢線之自由度之此縮減可防止歸因於資料點集合中之離群值之趨勢線之局域改變。詳言之,關於每點擬合之自由度可被限制朝向來自趨勢線或全域擬合之值。
在一實施例中,可使用資料點群組之不同選擇來進行重新擬合步驟。此可能為識別資料點群組中之離群值之方式。若在自資料點群組移除一特定資料點時趨勢線之重新擬合顯著改變,則此經移除特定資料點可為離群值。此製程被稱為靴帶式且可應用於上文所提及之方法。使用此靴帶式將引起趨勢線及擬合演算法對離群值變得較不敏感,且將增強趨勢線之擬合之總準確度。且使用此靴帶式亦將增強(例如)自Bossung曲線之最佳聚焦值之判定的準確度。
相似地,如上文已描述之方法2亦可經調適以包含以下步驟:●在經由聚焦(及/或曝光劑量或另一處理參數)之各種值下曝光晶 圓;●視情況,在曝光序列期間收集實際微影設備設定以產生FEM,例如,基板位階量測資料及伺服資料;●在數個量測部位處檢測晶圓之缺陷--缺陷可為單層缺陷(圖案完整性/圖案顯影相關的)及/或多個層缺陷(疊對相關的);●在基板上之每一量測部位處量測實際局域聚焦值(或在適當時量測平移);●將製程窗定義為受到開始發生缺陷或缺陷達到或超過一臨限值之實際聚焦值限制的實際聚焦值範圍。
在處理參數為聚焦的情況下,可(例如)藉由如下操作獲得實際聚焦值:●使用(例如)諸如此文件中所描述之檢測工具的檢測工具對經曝光晶圓執行產品上聚焦量測(例如,經由以繞射為基礎之聚焦(DBF)技術)。可藉由將具有相對大製程窗且亦具有對聚焦或劑量之已知敏感度之特定特徵併入光罩上(參見圖5中之聚焦量測結構540)來達成此產品上聚焦量測。較佳地,可(例如)使用檢測工具來讀出此特定特徵。對於每一輸出參數值量測部位,可進行來自最近實際聚焦值量測之內插以便估計此等部位中之每一者處之實際聚焦值;●自微影設備內之一或多個感測器獲得資訊之估計。微影設備可包含用於(例如)對準、透鏡效能、位階感測、伺服控制之數個度量衡感測器。此等感測器可用以估計此等部位處之實際聚焦值。可自度量衡感測器直接獲得聚焦值估計,或可自在結構之微影曝光操作期間在使用此等感測器時獲得的所記錄資料判定聚焦值估計。
以上提供之聚焦量測實例中之每一者可隔離地或組合地使用。組合使用聚焦量測之此實施例之一實例可包含以下步驟:
●使用包含產品特徵及產品上度量衡目標(例如,DBF或位階驗 證測試(LVT)目標)之光罩來曝光基板;
●收集在此曝光期間為實際微影設備設定的實際處理參數值(例如,基板位階量測資料及伺服資料)--此等實際處理參數值(例如)在相對精細柵格處予以收集且由微影設備在曝光基板之後記錄;
●例如藉由量測產品上DBF目標而讀出經曝光晶圓。此情形提供關於相對粗略柵格上之絕對產品上聚焦值之資訊(此係因為通常僅存在有限數目個DBF目標,可能每經曝光場僅在10至30之間)。可與量測輸出參數值(諸如,CD)同時地執行此量測步驟;
●使用粗略柵格以錨定自由微影設備在曝光期間記錄之資料而獲得的聚焦值資訊之相對精細柵格。如所指示,在可使用DBF目標之產品上聚焦量測而校準或錨定之相對精細柵格處獲得所記錄資料。
●視情況,高度圖資料(其通常由微影設備在較精細柵格處獲得)可與資料點之精細柵格結合以甚至在資料點之間進一步內插或自資料點外插。
在以上所展示之實施例中,經收集相對精細柵格亦可替代地(例如)在能準確夠量測裝置之構形之外部度量衡工具中離線地量測。隨後可運用較佳橫越表面而分佈(例如,橫越晶粒而分佈)之實際聚焦量測來組合或校準此構形量測。因而,來自離線量測工具之此詳細精細柵格可用以在僅使用有限數目個實際處理參數值(例如,自基板量測)的同時判定用於量測部位中之每一者之實際處理參數。
可結合地使用之散焦量測實例兩者之替代實例可包含以下步驟:●使用包含產品上度量衡目標(例如,DBF及/或LVT目標)之光罩來曝光「裸」晶圓(亦即,不具有產品結構);●量測產品上度量衡目標,且藉由執行(例如)位階感測器誤差及產品誘發性晶圓平坦度之額外量測而獲得來自晶圓之額外資訊--其 為來自晶圓之高度資訊,而實際上不量測晶圓結構上之散焦(在曝光期間使用(例如)位階量測及伺服資料);●自此兩個步驟,導出可供導出粗略聚焦映像的高度值之粗略映像;●曝光產品結構且收集在產品曝光期間記錄之實際微影設備資料,例如,位階量測及伺服資料;●例如藉由CD量測而讀出經曝光晶圓;●組合首先自以上步驟導出之資料以獲得關於相對精細柵格之散焦資料。
DBF技術使用可能配置於光柵中之聚焦敏感結構,諸如,如圖5中所展示之聚焦量測結構540。在聚焦量測結構540之顯影之後之形狀係取決於在曝光期間所使用之聚焦。經組態以量測此等聚焦量測結構540之DBF度量衡工具之信號亦取決於此等聚焦量測結構之形狀,且因此取決於使個別聚焦量測結構成像之聚焦。因而,可判定晶圓上之聚焦量測結構之特定部位處之實際聚焦。聚焦敏感結構可包含(例如)高解析度特徵,高解析度特徵為尺寸接近微影設備之解析度極限使得其實質上僅在最佳聚焦位置處或附近完全印刷的特徵。愈遠離最佳聚焦,聚焦敏感結構之愈小部分良好地印刷。當聚焦敏感結構之僅一部分包含此等高解析度特徵時,遠離最佳聚焦位置之聚焦敏感結構之形狀將改變,此情形引起結構之不對稱性。因此,可量測此不對稱性,且使此不對稱性與使聚焦敏感結構成像之實際聚焦值相關。(例如)在據此以引用方式併入之WO2013189724中描述此等結構。
又,請牢記,以上實例參考DBF技術,但本發明應不僅限於DBF量測。
在一項實施例中,可藉由識別處理參數值及/或第一缺陷被識別之另外處理參數值來判定製程窗。結果,此等第一經識別缺陷之測定 聚焦值及/或測定曝光劑量值判定製程窗之邊緣(臨限值為缺陷之存在或不存在,或換言之,缺陷計數臨限值為1)。
在另一實施例中,可使用不同缺陷計數臨限值來判定缺陷臨限值。輸出參數值可為缺陷之數目,其中缺陷臨限值係藉由達到或超過此缺陷計數臨限值之FEM中之第一經曝光晶粒予以判定。在另一實施例中,每一輸出參數量測部位包含其自有處理參數量測結構,使得可判定用於每一輸出參數值之實際處理參數值。
圖6說明在使用此方法的情況下可如何使用缺陷計數以判定DoF。垂直軸線表示為缺陷計數dc之輸出參數值,而水平軸線表示為聚焦值F之處理參數值,其皆在單一預定曝光劑量值(例如,標稱曝光劑量值)下予以判定。該曲線圖上之每一所標繪點500表示在為對應初始聚焦值之對應初始處理參數值下曝光的測定晶粒內所識別之缺陷計數。可藉由含有達到或超過臨限值之數個缺陷之測定晶粒判定缺陷臨限值(在相對於標稱聚焦值之正聚焦值及負聚焦值下)510。DoF可(例如)被定義為缺陷臨限值510之間的聚焦值範圍。使用個別缺陷量測部位之實際聚焦值來判定表示聚焦值範圍之水平軸線。然而,當採取為如由微影處理工具在FEM之產生期間設定的初始聚焦值而非實際聚焦值之初始處理參數值時,此情形可引起不同DoF範圍。舉例而言,當使用初始聚焦值判定之DoF範圍將在用元件符號520指示之虛線之間時,較廣DoF範圍將被認為可接受,從而很可能引起在最終產品中具有缺陷之較高風險。在當前情形下,可僅藉由判定實際聚焦值而找到以元件符號530指示之雙頭箭頭指示的聚焦值範圍,且該聚焦值範圍可表示僅用初始處理參數值判定處理窗(如在已知判定方法中進行)之額外風險。
直接量測關於產品之聚焦值可未必總是可用以校準自微影設備資料記錄獲取之精細柵格聚焦資訊,如之前所提議。因此,在此等直 接聚焦量測不可用的情況下,判定缺陷臨限值之替代方法可包含以下步驟:
●以不同「扁平」聚焦曝光序列曝光複數個晶圓。「扁平」應被理解為意謂一批次多個晶圓,每一晶圓係以固定聚焦偏移而曝光。量測(例如)在不同晶圓(在不同聚焦設定下曝光)上之對應位置處之結構之臨界尺寸可用以針對晶圓上之此特定位置產生一種「Bossing」曲線,自其可判定用於晶圓上之彼特定位置之最佳聚焦值。針對晶圓上之多個部位進行此量測可引起晶圓之一種「最佳聚焦映像」,可使用該最佳聚焦映像來代替實際晶圓上聚焦量測。此「最佳聚焦映像」亦可用以校準較緻密聚焦量測--例如,如在晶圓之曝光期間自微影系統之晶圓位階量測系統記錄。
●判定判定製程窗及其部位之邊界的缺陷(包括分類)(或(例如)使用必須保持在預定義臨限值內以識別製程窗之邊界的臨界尺寸資料);
●針對選定場(相似於標準製程窗檢核場)集合計數依據晶圓上之部位而變化的此等缺陷;晶圓及其在「最佳聚焦」下曝光之場係用作缺陷參考。
●基於聚焦設定值判定DoF;及
●判定依據局域實際散焦(其係自微影設備資料而演算)而變化的在正散焦及負散焦下之缺陷臨限值。
該方法之缺點在於:所有晶圓間變化係藉由此「扁平」途徑而達到平均數。因此,此方法相比於應用產品上聚焦度量衡之方法較不準確。
亦應顯而易見,在使用本文所揭示之方法的情況下,不僅較準確地判定製程窗,而且最佳曝光劑量(亦常常被稱作最佳能量)之判定及/或最佳聚焦值之判定也變得較準確。因為經判定最佳能量及最佳 聚焦值通常用作用於處理實際產品之初始處理參數值,所以最佳曝光劑量及最佳聚焦值之此改良之判定將具有對實際產品效能之顯著總改良。
上文所描述之概念可延伸至除了聚焦以外的處理參數。舉例而言,亦可執行以上方法以藉由進行曝光劑量之實際估計/量測而非使用如在FEM之產生期間在微影工具中設定的初始曝光劑量值來判定在曝光劑量方面之製程窗。另一實例可為考慮在多個圖案化製程中在一特定處理層內之邊緣置放誤差,或在不同層中成像於同一基板上之結構之間的邊緣置放誤差。在此等製程中,除了CD以外,微影步驟之間的疊對誤差亦變得重要。
因此,提議定義「邊緣置放窗」(其另外亦被稱作EPW)作為定義製程窗之部分。邊緣置放窗主要類似於上文所描述之DoF範圍,但代替定義可用聚焦值範圍,其定義可接受置放及/或曝光劑量值之範圍。在圖案化製程中之邊緣置放誤差(EPE)可(例如)取決於層1之CD均一性(CDU)及置放誤差(Tx,Ty)與層2之CDU及置放誤差(Tx,Ty)之組合。CD均一性為描述(例如)由於微影處理工具之成像限制、晶粒內之晶圓上之高度變化、抗蝕劑非均一性或抗蝕劑層下方之構形變化之在一個晶粒內之臨界尺寸的變化之值。特定層之置放誤差係取決於微影處理工具相對於基板之確切位置判定及將特定層定位於基板上之所需位置處之能力。
此方法可包含以下步驟:
●將第一層之頂部上之第二層曝光於單一基板上,第一層之不同晶粒係在標稱處理參數值設定下曝光,且第二層之不同晶粒係在曝光劑量之預定義偏移(引起CD變化)及呈矩陣類型配置的晶粒置放之預定義偏移(在x及/或y上之平移/疊對)下曝光。曝光劑量及置放之此等預定義偏移為相對於第一層應用第二層之初始處理參數值。當然,代替 在不同曝光劑量值下曝光,亦可在不同聚焦設定下變化不同曝光。此配置可被認為類似於FEM的置放CD進程,但其中用平移偏移替換聚焦值偏移。替代地,第一層及第二層可一起形成單一微影層,其中第一層(例如)在多重圖案化製程中形成第一微影蝕刻步驟(亦另外被指示為LE步驟),而第二層(例如)在多重圖案化製程中形成第二LE步驟。因此,在標稱設定下曝光之層可取決於製程為(例如)經完全處理心軸層或將為第二微影蝕刻(LE)步驟(例如,屬於心軸層之切口-光罩)之入口的第一LE層。
●檢測所有場是否有缺陷,例如,比較每一場與具有標稱設定之場且使用(例如)電氣檢測以判定相比於標稱之缺陷之數目。對電氣檢測之替代,可藉由識別至心軸層中之切口品質或藉由識別可用以量化一圖案相對於另一圖案之置放差(不良連接或過多重疊,等等)的其他種類之缺陷來(例如,光學地)識別缺陷。
●重複以上曝光及檢測步驟,但其中反轉標稱層及預定義偏移層:以劑量/置放偏移曝光第一層以影響第一層之CD/置放。處理第一層且曝光此第一層之頂部上之標稱第二層。
●標繪相對於平移(在x及/或y上)及/或曝光劑量值之缺陷計數。在一較佳實施例中,應自基板量測或估計平移及/或曝光劑量值,而非相對於置放CD進程之初始處理參數值來標繪。然而,亦應瞭解,自如所揭示之置放CD進程進行邊緣置放窗之判定自身為新的(儘管使用設定點)。
●一旦缺陷窗在曝光劑量值及置放方面為吾人所知,亦有可能藉由定位置放製程窗之中心來判定最佳置放及最佳曝光劑量值。
圖7示意性地展示可能基板佈局,其中曝光複數個晶粒(每一晶粒係以一正方形指示)以判定如上文所描述之邊緣置放窗。在圖7中,第一行D包含相對於標稱劑量值(在晶粒中以數字「0」指示)在不同曝光 劑量值D(在該行中在晶粒中之每一者中以不同數字指示)下曝光的複數個晶粒。第二行係「nom」,其中在如由微影工具定義之標稱曝光劑量值及標稱置放下曝光所有晶粒。此第二行「nom」充當對相鄰晶粒之參考晶粒。第三行Tx,Ty包含使用相對於晶粒之標稱置放(在晶粒中以數字「0」指示)之在x方向(Tx)上或在y方向(Ty)上之不同預定義位移(在該行中在晶粒中之每一者中以不同數字指示)曝光的複數個晶粒。
可以與DoF之判定極相似的方式進行EPW以及用於平移及劑量之缺陷臨限值之判定。可如上文所指示使用電氣量測或(例如)用以(例如)判定結構之間的重疊程度之光學方法或量化缺陷之任何其他方式來判定缺陷。圖8說明此情形。垂直軸線表示為缺陷計數dc的輸出參數值,而水平軸線表示為在x方向上之平移Tx的處理參數值。可關於(例如)在y方向上之平移Ty、CD及/或曝光劑量來標繪相似曲線圖。該曲線圖上之每一所標繪點600表示在x方向上在為對應初始平移值之對應初始處理參數值下曝光的測定晶粒內識別之缺陷的計數。可藉由含有達到或超過臨限值之數個缺陷之測定晶粒判定缺陷臨限值(在相對於標稱位置值之正平移值及負平移值下)610。EPW可(例如)被定義為缺陷臨限值610之間的平移或位移值範圍。使用個別缺陷量測部位之實際平移值來判定表示平移值範圍之水平軸線。然而,當採取為如由微影處理工具在矩陣之產生期間設定的初始平移或位移值而非實際平移或位移值之初始處理參數值時,此情形可引起不同EPW範圍。舉例而言,使用初始平移值判定之EPW範圍可(例如)在用元件符號620指示之虛線之間,此情形將引起較廣EPW範圍且將(例如)引起在最終產品中具有缺陷之較高風險。在當前情形下,可僅在使用實際平移或位移值而非初始平移或位移值時找到以元件符號630指示之雙頭箭頭指示的平移值範圍,且該平移值範圍可表示僅用初始處理參數值判定處 理窗之額外風險。
必須注意,圖6及圖8中所展示之實例指示DoF或EPW將在使用實際處理參數而非(例如)如在結構在基板上之曝光期間在微影工具處設定的初始處理參數時減低。然而,使用實際處理參數之DoF或EPW可以許多不同方式改變,此取決於實際處理參數與初始處理參數之間的差。實際處理參數與初始處理參數之間的此差可歸因於校準誤差、殘餘光學誤差或工具中之校準設定之時間漂移。
圖9示意性地展示根據當前實施例之流程圖900,其指示判定製程窗之方法中之步驟中的一些。步驟910「將結構曝光於基板上」指示將不同處理參數下之結構曝光至諸如晶圓之基板上之步驟。通常,使用微影曝光工具來進行此曝光,微影曝光工具將複數個晶粒曝光於單一基板上,其中在不同處理參數值下曝光不同晶粒。此經曝光基板之典型實例為聚焦曝光矩陣,其中晶粒之矩陣曝光於晶圓上,其中實質上每一晶粒具有微影曝光工具之初始聚焦值設定及微影曝光工具之初始曝光劑量值設定的獨特組合。又,初始曝光劑量值表示如在曝光工具處設定之前饋設定曝光劑量值,且初始聚焦值設定表示如在曝光工具處設定之前饋聚焦值。歸因於(例如)工具漂移,此等初始曝光劑量值及初始聚焦值可偏離實際上供在晶圓上處理特定晶粒之實際曝光劑量值及實際聚焦值。接下來,步驟920「判定輸出參數」:判定輸出參數。如之前所指示,此輸出參數可(例如)為應保持在可接受範圍內之臨界尺寸值。替代地,可使用諸如結構之缺陷度之其他輸出參數值。隨後,在步驟930「將輸出參數與初始處理參數相關聯」中,在輸出參數與供處理特定輸出參數之處理參數之間進行關聯。步驟940「判定實際處理參數」指示用於經處理晶粒中之每一者的實際處理參數之判定。通常,對基板之一些量測可用以判定實際處理參數。舉例而言,可進行實際上供處理晶粒之實際聚焦值之局域量測以判定實際 聚焦值。緊接於實際量測,亦可使用在晶粒之曝光期間收集之曝光資料(可能除了直接量測以外),以判定局域實際處理參數。舉例而言,可使用微影工具處之位階量測或在微影工具中執行之晶圓不平坦度量測(可能連同局域量測),以定義晶粒內之每一點處之實際聚焦值。接下來,步驟950「用實際處理參數值替換初始處理參數值」:用實際處理參數值替換初始處理參數值。最後,在步驟960「判定製程窗」中,藉由判定在哪一實際處理參數值下輸出參數值符合或超過臨限值來判定實際製程窗。使用實際處理參數值以判定製程窗會引起製程窗之較準確判定,從而引起較可靠總製程。
在一替代實施例中,實際處理參數值之使用亦可用以校準微影模型,微影模型可(例如)經組態以用於模擬用於將設計佈局之一部分處理至基板上之微影製程的至少一部分。此微影模型通常係由數個參數組成,該等參數之值係根據經驗資料予以校準。校準製程為基於(例如)某些取樣點或量規處量測之模型及測定影像來判定模型之參數以最小化經模擬影像之間的差之校準製程。校準通常係由資料加權選擇及擬合演算法組成。
實際處理參數值用於微影模型校準之此替代實施例亦可在圖9之流程圖900中示意性地被展示。此流程圖900指示在根據當前實施例校準微影模型之方法中的一些步驟。在此替代實施例中,步驟910「將結構曝光於基板上」指示在不同處理參數下將結構曝光至諸如晶圓之基板上之步驟。通常使用微影曝光工具來進行此曝光,微影曝光工具將複數個晶粒曝光於單一基板上,其中在不同處理參數值下曝光不同晶粒。隨後,可量測此等經曝光晶粒,且此等量測可用作用於校準微影模型之經驗資料。此經曝光基板之典型實例為聚焦曝光矩陣,其中晶粒之矩陣曝光於晶圓上,其中實質上每一晶粒具有微影曝光工具之初始聚焦值設定及微影曝光工具之初始曝光劑量值設定之獨特組合。 又,初始曝光劑量值表示如在曝光工具處設定之前饋設定曝光劑量值,且初始聚焦值設定表示如在曝光工具處設定之前饋聚焦值。歸因於(例如)工具漂移,此等初始曝光劑量值及初始聚焦值可偏離實際上供在晶圓上處理特定晶粒之實際曝光劑量值及實際聚焦值。接下來,步驟920「判定輸出參數」:判定輸出參數。如之前所指示,此輸出參數可(例如)為應保持在可接受範圍內之臨界尺寸值。替代地,可使用諸如結構之缺陷度之其他輸出參數值。隨後,在步驟930「將輸出參數與初始處理參數相關聯」中,在輸出參數與供處理特定輸出參數之處理參數之間進行關聯。步驟940「判定實際處理參數」指示用於經處理晶粒中之每一者之實際處理參數之判定。通常,對基板之一些量測可用以判定實際處理參數。舉例而言,可進行實際上供處理晶粒之實際聚焦值之局域量測以判定實際聚焦值。緊接於實際量測,亦可使用在晶粒之曝光期間收集之曝光資料(可能除了直接量測以外),以判定局域實際處理參數。舉例而言,可使用微影工具處之位階量測或在微影工具中執行之晶圓不平坦度量測(可能連同局域量測),以定義晶粒內之每一點處之實際聚焦值。接下來,步驟950「用實際處理參數值替換初始處理參數值」:用實際處理參數值替換初始處理參數值。輸出參數與實際處理參數之此組合可用作用於校準微影模型之經驗資料之部分。最後,在步驟960「校準微影模式」中,執行實際校準製程,其中使用擬合演算法以判定供最小化經模擬輸出參數與測定輸出參數之間的差之模型參數。針對此校準製程使用實際處理參數值會顯著改良總微影模型品質且改良微影模式之總預測品質。
因此,作為概述,本文所揭示之實施例提供判定用於微影製程之製程窗之方法,該製程窗描述在微影製程期間至少一個處理參數之可接受變化程度。該方法包含獲得輸出參數值集合及對應實際處理參數值集合,該輸出參數值集合係在使用微影製程進行基板之曝光之後 自該基板上之複數個部位處執行之量測導出,該對應實際處理參數值集合包含在複數個部位中之每一者處之曝光期間之微影製程之處理參數的實際值。自輸出參數值及實際處理參數值判定製程窗。此製程窗可用以改良執行後續微影製程之處理參數之選擇。
儘管在本文中可特定地參考微影裝儘管在本文中可特定地參考微影設備在IC製造中之使用,但應理解,本文所描述之微影設備可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更一般術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理一次以上,例如,以便產生多層IC,使得本文所使用之術語「基板」亦可指已經含有多個經處理層之基板。
可使用以下條項來進一步描述本發明:
1.一種判定用於一微影製程之一製程窗之方法,該微影製程經組態以用於將一設計佈局之一部分處理至一基板上,該方法包含如下步驟:自該基板上之複數個結構判定用於每一結構之一輸出參數值,每一輸出參數值係與該微影製程經設定以用於在該基板上產生該結構之一對應初始處理參數值相關聯,該複數個結構中之該等結構係使用一初始處理參數值範圍予以處理,針對該複數個結構中之每一者判定供處理該結構之實際處理參數值,及 藉由針對該等相關聯輸出參數值中之每一者用該實際處理參數值替換該初始處理參數值且藉由判定在哪一實際處理參數值下該輸出參數值符合或超過一臨限值來判定該製程窗。
2.如條項1之方法,其中自該結構判定之該輸出參數值包括來自包含如下各者之清單中的一或多者:尺寸、臨界尺寸、側壁角、影像對數斜率、溫度、圖案置放、疊對、抗蝕劑高度及缺陷度。
3.如條項1或2之方法,其中該方法進一步包含如下的一步驟:將每一輸出參數值與該微影製程經設定以用於在該基板上產生該結構之一對應初始另外處理參數值相關聯,該初始另外處理參數值相比於該初始處理參數值為一不同參數,針對該複數個結構中之每一者判定供處理該結構之實際另外處理參數值,且其中判定該製程窗之該步驟進一步包含用該實際另外處理參數值替換該初始另外處理參數值,且進一步包含判定在哪一實際另外處理參數值下該輸出參數值符合或超過該臨限值或另一臨限值。
4.如條項3之方法,其中該初始處理參數值及該初始另外處理參數值為該微影製程之獨立參數值。
5.如條項1至4中任一項之方法,其中該初始處理參數值及/或該初始另外處理參數值係選自包含如下各者之一清單:聚焦、劑量、圖案置放、疊對、雷射頻寬、雷射波長、像差及系統動力學。
6.如前述條項中任一項之方法,其中該初始處理參數值及/或該初始另外處理參數值為在用於執行該微影製程之至少一部分之一微影處理工具處設定的前饋參數值,且其中該實際處理參數值及/或該實際另外處理參數值為包含對該基板執行之實際量測的測定參數值,該基板包含分別使用該初始處理參數及/或該初始另外處理參數產生 之該等結構。
7.如條項6之方法,其中使用該等實際量測及/或使用由先前量測產生的所記錄資料來判定該實際處理參數值及/或該實際另外處理參數值。
8.如條項6之方法,其中藉由該微影處理工具執行該實際處理參數值及/或該實際另外處理參數值之量測,或其中藉由與該微影處理工具分離的一度量衡工具量測該實際處理參數值及/或該實際另外處理參數值之量測。
9.如條項6之方法,其中該基板上之一特定部位處之該初始處理參數值係由一相鄰初始處理參數值之內插產生,及/或其中該基板上之一特定部位處之該初始另外處理參數值係由一相鄰初始另外處理參數值之內插產生,及/或其中該基板上之該特定部位處之該實際處理參數係由一相鄰實際處理參數值之內插產生,及/或其中該基板上之該特定部位處之該實際另外處理參數係由一相鄰實際另外處理參數值之內插產生。
10.如前述條項中任一項之方法,其中該複數個結構中之每一結構包含一量測結構,該量測結構用於量測該實際處理參數值及/或用於量測該實際另外處理參數值。
11.如前述條項中任一項之方法,其中該複數個結構中之每一結構包含該初始製程參數值及該初始另外製程參數值之一獨特組合。
12.如前述條項中任一項之方法,其中該臨限值及/或該另外臨限值係選自包含如下各者之一清單:尺寸、臨界尺寸、側壁角、影像對數斜率、溫度、圖案置放、疊對、抗蝕劑高度、抗蝕劑損耗及缺陷度。
13.如前述條項中任一項之方法,其中在用於處理一當前基板之該微影處理工具處設定的該初始處理參數值係使用自一先前經處理 基板量測之該實際處理參數值來校準,及/或其中在用於處理該當前基板之該微影處理工具處設定的該初始另外處理參數值係使用自該先前經處理基板量測之該實際另外處理參數值來校準。
14.一種使用微影製程將一設計佈局之一部分處理至一基板上之方法,該方法包含如下步驟:根據條項1至13中任一項來判定用於該微影製程之該製程窗,及選擇用於將該設計佈局之該部分處理至該基板上之一佈局處理參數值作為實質上在該經判定製程窗之中心的該實際處理參數值;及/或選擇用於將該設計佈局之該部分處理至該基板上之另一佈局處理參數值作為實質上在該製程窗之該中心的該實際另外處理參數值。
15.一種電腦程式產品,其包含指令,該等指令用於控制一微影處理工具及/或一度量衡工具,從而使其執行如條項1至13中任一項的判定用於該微影製程之該製程窗之方法。
16.一種圖案,其用於藉由使用一微影製程在複數個不同初始處理參數值下將該圖案處理至一基板上而判定一製程窗,該初始處理參數值係在用於將該圖案產生至該基板上之該微影製程處經設定,該圖案包含一結構,該結構經組態且經建構以具有指示該微影製程之品質之一輸出參數值,且該圖案進一步包含一量測結構,該量測結構經組態且經建構以用於判定該圖案藉由該微影製程處理之一實際處理參數值。
17.一種微影系統,其包含一微影處理工具、一量測系統及一處理器,該微影處理工具經組態以用於將複數個不同初始處理參數值下之一圖案處理至一基板上,該圖案包含一結構,該結構經組態且經建構以具有指示微影製程之品質之一輸出參數值,該量測系統經組態以用於針對該複數個結構中之每一者判定該 結構係由該微影處理工具處理之一實際處理參數值,且一處理器係用於針對該等圖案中之每一者接收該初始處理參數值及該輸出參數值,且用於自該量測系統接收該實際處理參數值,其中該處理器經組態以用於針對該等圖案中之每一者用該實際處理參數值替換該初始處理參數值,且其中該處理器經進一步組態以用於藉由判定在哪一實際處理參數值下該輸出參數值符合或超過一臨限值而判定該製程窗。
18.如條項17之微影系統,其中該量測系統經進一步組態以用於針對該等圖案中之每一者判定該輸出參數值。
19.如條項17之微影系統,其中該圖案包含一量測結構,該量測系統經組態且經建構以用於自該量測結構判定該圖案藉由該微影製程處理之一實際處理參數值。
20.如條項17之微影系統,其中該微影處理工具包含該量測系統及/或該處理器。
21.如條項17之微影系統,其中該量測系統包含一以繞射為基礎之量測系統。
22.一種校準一微影模型之方法,該微影模型經組態以用於模擬用於將一設計佈局之一部分處理至一基板上之一微影製程的至少一部分,該方法包含如下步驟:自一測試基板上之複數個結構判定用於每一結構之一輸出參數值,該測試基板係使用該微影製程予以處理,且每一輸出參數值係與該微影製程經設定以用於在該測試基板上產生該結構之一對應初始處理參數值相關聯,該複數個結構中之該等結構係使用一初始處理參數值範圍予以處理,針對該複數個結構中之每一者判定供處理該結構之實際處理參數值,及 藉由針對該等相關聯輸出參數值中之每一者用該實際處理參數值替換該初始處理參數值且藉由基於來自該複數個結構之至少一些結構之該實際處理參數值及該相關聯輸出參數值而調適該微影模型之一模型參數來校準該微影模型。
23.如條項22之方法,其中自該結構判定之該輸出參數值包括來自包含如下各者之清單中的一或多者:尺寸、臨界尺寸、側壁角、影像對數斜率、溫度、圖案置放、疊對、抗蝕劑高度及缺陷度。
24.如條項22或23之方法,其中該方法進一步包含如下的一步驟:將每一輸出參數值與該微影製程經設定以用於在該測試基板上產生該結構之一對應初始另外處理參數值相關聯,該初始另外處理參數值相比於該初始處理參數值為一不同參數,針對該複數個結構中之每一者判定供處理該結構之實際另外處理參數值,且其中校準該微影模型之該步驟進一步包含用該實際另外處理參數值替換該初始另外處理參數值,且進一步包含基於來自該複數個結構之至少一些結構之該實際另外處理參數值及該相關聯輸出參數值而調適該微影模型之另一模型參數。
25.如條項24之方法,其中該初始處理參數值及該初始另外處理參數值為該微影製程之獨立參數值。
26.如條項22至25中任一項之方法,其中該初始處理參數值及/或該初始另外處理參數值係選自包含如下各者之一清單:聚焦、劑量、圖案置放、疊對、雷射頻寬、雷射波長、像差及系統動力學。
27.如條項22至26中任一項之方法,其中該初始處理參數值及/或該初始另外處理參數值為在用於執行該微影製程之至少一部分之一微影處理工具處設定的前饋參數值,且其中該實際處理參數值及/或 該實際另外處理參數值為包含對該測試基板執行之實際量測的測定參數值,該測試基板包含分別使用該初始處理參數及/或該初始另外處理參數產生之該等結構。
28.如條項27之方法,其中使用該等實際量測及/或使用由先前量測產生的所記錄資料來判定該實際處理參數值及/或該實際另外處理參數值。
29.如條項27之方法,其中藉由該微影處理工具執行該實際處理參數值及/或該實際另外處理參數值之量測,或其中藉由與該微影處理工具分離的一度量衡工具量測該實際處理參數值及/或該實際另外處理參數值之量測。
30.如條項27之方法,其中該測試基板上之一特定部位處之該初始處理參數值係由一相鄰初始處理參數值之內插產生,及/或其中該測試基板上之一特定部位處之該初始另外處理參數值係由一相鄰初始另外處理參數值之內插產生,及/或其中該測試基板上之該特定部位處之該實際處理參數係由一相鄰實際處理參數值之內插產生,及/或其中該測試基板上之該特定部位處之該實際另外處理參數係由一相鄰實際另外處理參數值之內插產生。
31.如條項22至30中任一項之方法,其中該複數個結構中之每一結構包含一量測結構,該量測結構用於量測該實際處理參數值及/或用於量測該實際另外處理參數值。
32.如條項22至31中任一項之方法,其中該複數個結構中之每一結構包含該初始製程參數值及該初始另外製程參數值之一獨特組合。
33.如條項22至32中任一項之方法,其中該臨限值及/或該另外臨限值係選自包含如下各者之一清單:尺寸、臨界尺寸、側壁角、影像對數斜率、溫度、圖案置放、疊對、抗蝕劑高度、抗蝕劑損耗及缺 陷度。
34.如條項22至33中任一項之方法,其中在用於處理一當前測試基板之該微影處理工具處設定的該初始處理參數值係使用自一先前經處理基板量測之該實際處理參數值來校準,及/或其中在用於處理該當前測試基板之該微影處理工具處設定的該初始另外處理參數值係使用自該先前經處理基板量測之該實際另外處理參數值來校準。
35.一種電腦程式產品,其包含指令,該等指令用於根據條項22至34中任一項來校準該微影模型。
36.一種圖案,其用於校準經組態以用於模擬一微影製程之至少一部分之微影模型,該圖案經組態以用於使用該微影製程在複數個不同初始處理參數值下而處理至一測試基板上,該初始處理參數值係在用於將該圖案產生至該測試基板上之該微影製程處經設定,該圖案包含一結構,該結構經組態且經建構以具有指示該微影製程之品質之一輸出參數值,且該圖案進一步包含一量測結構,該量測結構經組態且經建構以用於判定該圖案藉由該微影製程處理之一實際處理參數值。
儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,但應瞭解,本發明可用於其他應用(例如,壓印微影)中,且在內容背景允許時不限於光學微影。在壓印微影中,圖案化裝置中之構形(topography)界定產生於基板上之圖案。可將圖案化裝置之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化裝置移出抗蝕劑,從而在其中留下圖案。
本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射 (例如,具有在5奈米至20奈米之範圍內之波長);以及粒子束(諸如,離子束或電子束)。
術語「透鏡」在內容背景允許時可指各種類型之光學組件中任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採取如下形式:電腦程式,其含有描述如上文所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如,半導體記憶體、磁碟或光碟),其具有儲存於其中之此電腦程式。
以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
500‧‧‧點
510‧‧‧缺陷臨限值
520‧‧‧虛線
530‧‧‧雙頭箭頭

Claims (15)

  1. 一種判定用於一微影製程之一製程窗之方法,該微影製程經組態以用於將一設計佈局之一部分處理至一基板上,該方法包含如下步驟:自該基板上之複數個結構判定用於每一結構之一輸出參數值,每一輸出參數值係與該微影製程經設定以用於在該基板上產生該結構之一對應初始處理參數值相關聯,該複數個結構中之該等結構係使用一初始處理參數值範圍予以處理,針對該複數個結構中之每一者判定供處理該結構之實際處理參數值,及藉由針對該等相關聯輸出參數值中之每一者用該實際處理參數值替換該初始處理參數值且藉由判定在哪一實際處理參數值下該輸出參數值符合或超過一臨限值來判定該製程窗。
  2. 如請求項1之方法,其中自該結構判定之該輸出參數值包括來自包含如下各者之清單中的一或多者:尺寸、臨界尺寸、側壁角、影像對數斜率、溫度、圖案置放、疊對、抗蝕劑高度及缺陷度。
  3. 如請求項1之方法,其中該方法進一步包含如下的一步驟:將每一輸出參數值與該微影製程經設定以用於在該基板上產生該結構之一對應初始另外處理參數值相關聯,該初始另外處理參數值相比於該初始處理參數值為一不同參數,針對該複數個結構中之每一者判定供處理該結構之實際另外處理參數值,且其中判定該製程窗之該步驟進一步包含用該實際另外處理參數值替換該初始另外處理參數值,且進一步包含判定在哪一實 際另外處理參數值下該輸出參數值符合或超過該臨限值或另一臨限值。
  4. 如請求項3之方法,其中該初始處理參數值及該初始另外處理參數值為該微影製程之獨立參數值。
  5. 如請求項1之方法,其中該初始處理參數值及/或該初始另外處理參數值係選自包含如下各者之一清單:聚焦、劑量、圖案置放、疊對、雷射頻寬、雷射波長、像差及系統動力學。
  6. 如請求項1或3之方法,其中該初始處理參數值及/或該初始另外處理參數值為在用於執行該微影製程之至少一部分之一微影處理工具處設定的前饋參數值,且其中該實際處理參數值及/或該實際另外處理參數值為包含對該基板執行之實際量測的測定參數值,該基板包含分別使用該初始處理參數及/或該初始另外處理參數產生之該等結構。
  7. 如請求項6之方法,其中使用該等實際量測及/或使用由先前量測產生的所記錄資料來判定該實際處理參數值及/或該實際另外處理參數值。
  8. 如請求項6之方法,其中藉由該微影處理工具執行該實際處理參數值及/或該實際另外處理參數值之量測,或其中藉由與該微影處理工具分離的一度量衡工具量測該實際處理參數值及/或該實際另外處理參數值之量測。
  9. 如請求項6之方法,其中該基板上之一特定部位處之該初始處理參數值係由一相鄰初始處理參數值之內插產生,及/或其中該基板上之一特定部位處之該初始另外處理參數值係由一相鄰初始另外處理參數值之內插產生,及/或其中該基板上之該特定部位處之該實際處理參數係由一相鄰實際處理參數值之內插產生,及/或其中該基板上之該特定部位處之該實際另外處理參數係由 一相鄰實際另外處理參數值之內插產生。
  10. 如請求項1或3之方法,其中該複數個結構中之至少一些結構包含一量測結構,該量測結構用於量測該實際處理參數值及/或用於量測該實際另外處理參數值。
  11. 如請求項3之方法,其中該複數個結構中之每一結構包含該初始製程參數值及該初始另外製程參數值之一獨特組合。
  12. 如請求項1或3之方法,其中在用於處理一當前基板之該微影處理工具處設定的該初始處理參數值係使用自一先前經處理基板量測之該實際處理參數值來校準,及/或其中在用於處理該當前基板之該微影處理工具處設定的該初始另外處理參數值係使用自該先前經處理基板量測之該實際另外處理參數值來校準。
  13. 如請求項1之方法,其中該方法進一步包含如下反覆步驟:經由與實際處理參數值及輸出參數值之組合相關聯的資料點判定一趨勢線,判定個別資料點或資料點群組相對於該趨勢線之一偏移及/或縮放,及使用該經判定偏移及/或縮放來校正該等個別資料點或資料點群組,其中執行該等反覆步驟直至滿足一終止條件為止。
  14. 一種電腦程式產品,其包含指令,該等指令用於控制一微影處理工具及/或一度量衡工具,從而使其執行如請求項1至12中任一項的判定用於該微影製程之該製程窗之方法。
  15. 一種校準一微影模型之方法,該微影模型經組態以用於模擬用於將一設計佈局之一部分處理至一基板上之一微影製程的至少一部分,該方法包含如下步驟:自一測試基板上之複數個結構判定用於每一結構之一輸出參 數值,該測試基板係使用該微影製程予以處理,且每一輸出參數值係與該微影製程經設定以用於在該測試基板上產生該結構之一對應初始處理參數值相關聯,該複數個結構中之該等結構係使用一初始處理參數值範圍予以處理,針對該複數個結構中之每一者判定供處理該結構之實際處理參數值,及藉由針對該等相關聯輸出參數值中之每一者用該實際處理參數值替換該初始處理參數值且藉由基於來自該複數個結構之至少一些結構之該實際處理參數值及該相關聯輸出參數值而調適該微影模型之一模型參數來校準該微影模型。
TW104123458A 2014-07-21 2015-07-20 用於判定一微影製程之製程窗之方法、相關設備及一電腦程式 TWI572993B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP14177822 2014-07-21

Publications (2)

Publication Number Publication Date
TW201617740A TW201617740A (zh) 2016-05-16
TWI572993B true TWI572993B (zh) 2017-03-01

Family

ID=51210366

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104123458A TWI572993B (zh) 2014-07-21 2015-07-20 用於判定一微影製程之製程窗之方法、相關設備及一電腦程式

Country Status (3)

Country Link
US (1) US10133191B2 (zh)
TW (1) TWI572993B (zh)
WO (1) WO2016012316A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201610106SA (en) * 2014-06-10 2016-12-29 Asml Netherlands Bv Computational wafer inspection
CN107077077B (zh) * 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
WO2016202559A1 (en) 2015-06-16 2016-12-22 Asml Netherlands B.V. Process window tracking
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
CN112631086A (zh) * 2016-07-11 2021-04-09 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备
WO2018072980A1 (en) 2016-10-21 2018-04-26 Asml Netherlands B.V. Methods of determining corrections for a patterning process
KR102280532B1 (ko) * 2016-12-23 2021-07-22 에이에스엠엘 네델란즈 비.브이. 패턴 충실도 제어를 위한 방법 및 장치
EP3364247A1 (en) 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
EP3392711A1 (en) 2017-04-19 2018-10-24 ASML Netherlands B.V. Maintaining a set of process fingerprints
EP3422105A1 (en) * 2017-06-30 2019-01-02 ASML Netherlands B.V. Metrology parameter determination and metrology recipe selection
US10551827B2 (en) 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
CN110998449B (zh) * 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
EP3454128B1 (en) * 2017-09-12 2020-01-29 IMEC vzw A method and system for detecting defects of a lithographic pattern
EP3564754A1 (en) * 2018-04-30 2019-11-06 ASML Netherlands B.V. Inspection tool, inspection method and computer program product
KR20210010897A (ko) 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
WO2020114684A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
CN112213922B (zh) * 2019-07-09 2022-11-01 芯恩(青岛)集成电路有限公司 一种光刻曝光条件的设定方法
US11330164B2 (en) 2020-03-17 2022-05-10 KLA Corp. Determining focus settings for specimen scans
CN114063392B (zh) * 2020-08-05 2023-06-09 长鑫存储技术有限公司 准确获取光刻参数的方法
EP3979003B1 (en) 2020-08-05 2023-01-18 Changxin Memory Technologies, Inc. Method for accurately acquiring lithographic parameter
US11988612B2 (en) * 2021-01-26 2024-05-21 Changxin Memory Technologies, Inc. Methods for determining focus spot window and judging whether wafer needs to be reworked
US20230343119A1 (en) * 2021-02-26 2023-10-26 Hewlett-Packard Development Company, L.P. Captured document image enhancement
WO2024081764A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Determining substrate profile properties using machine learning
WO2024156485A2 (en) * 2023-01-27 2024-08-02 Asml Netherlands B.V. Modeling defect probability from expected critical dimensions to improve accuracy of failure rate prediction

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004059394A2 (en) * 2002-12-30 2004-07-15 Koninklijke Philips Electronics N.V. Determining lithographic parameters to optimise a process window
TW201428418A (zh) * 2012-11-09 2014-07-16 Kla Tencor Corp 用以提供顯示對掃描器聚焦改變之高靈敏度之目標設計的方法及系統

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7864294B2 (en) 2007-05-29 2011-01-04 Micron Technology, Inc. Focus sensitive lithographic apparatus, systems, and methods
US8149384B2 (en) 2007-12-17 2012-04-03 Advanced Micro Devices, Inc. Method and apparatus for extracting dose and focus from critical dimension data
FR2979023A1 (fr) 2011-08-08 2013-02-15 St Microelectronics Crolles 2 Procede de determination de la mise au point et de la dose d'un equipement de micro-lithographie optique
KR102057879B1 (ko) 2012-06-22 2019-12-20 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004059394A2 (en) * 2002-12-30 2004-07-15 Koninklijke Philips Electronics N.V. Determining lithographic parameters to optimise a process window
TW201428418A (zh) * 2012-11-09 2014-07-16 Kla Tencor Corp 用以提供顯示對掃描器聚焦改變之高靈敏度之目標設計的方法及系統

Also Published As

Publication number Publication date
US10133191B2 (en) 2018-11-20
WO2016012316A1 (en) 2016-01-28
US20170160648A1 (en) 2017-06-08
TW201617740A (zh) 2016-05-16

Similar Documents

Publication Publication Date Title
TWI572993B (zh) 用於判定一微影製程之製程窗之方法、相關設備及一電腦程式
US9360770B2 (en) Method of determining focus corrections, lithographic processing cell and device manufacturing method
JP5412528B2 (ja) 検査方法、検査システム、基板、およびマスク
TWI645257B (zh) 檢查方法、微影裝置、光罩及基板
TWI616716B (zh) 用於調適圖案化器件之設計的方法
KR101457030B1 (ko) 오버레이 오차를 결정하는 장치 및 방법
KR20170085116A (ko) 계측 방법, 컴퓨터 제품 및 시스템
KR20190034621A (ko) 메트롤로지 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
KR20130100988A (ko) 오버레이 오차를 결정하는 장치 및 방법
TW201830160A (zh) 量測器件製程參數的方法、度量衡設備、基板、目標、器件製造系統及器件製造方法
TW201142528A (en) Lithographic apparatus and device manufacturing method
TWI672569B (zh) 監測來自度量衡裝置之照明特性的方法
KR101887924B1 (ko) 검사 방법 및 장치, 및 리소그래피 장치
TWI646404B (zh) 用於調整微影裝置之致動的方法
TWI788678B (zh) 度量衡中不可校正之誤差
TW201910923A (zh) 量測所關注參數之方法、器件製造方法、度量衡設備及微影系統
TW202318098A (zh) 監測微影程序之方法及其相關設備