TWI552210B - Metal-gate cmos device and fabrication method thereof - Google Patents

Metal-gate cmos device and fabrication method thereof Download PDF

Info

Publication number
TWI552210B
TWI552210B TW100110098A TW100110098A TWI552210B TW I552210 B TWI552210 B TW I552210B TW 100110098 A TW100110098 A TW 100110098A TW 100110098 A TW100110098 A TW 100110098A TW I552210 B TWI552210 B TW I552210B
Authority
TW
Taiwan
Prior art keywords
layer
type mos
conductivity type
region
metal
Prior art date
Application number
TW100110098A
Other languages
Chinese (zh)
Other versions
TW201239959A (en
Inventor
蔡世鴻
江文泰
蔡振華
蔡成宗
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW100110098A priority Critical patent/TWI552210B/en
Publication of TW201239959A publication Critical patent/TW201239959A/en
Application granted granted Critical
Publication of TWI552210B publication Critical patent/TWI552210B/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

金屬閘極CMOS元件及其製作方法Metal gate CMOS device and manufacturing method thereof

本發明係有關於一種半導體元件及其製作方法,尤指一種雙功函數(dual work-function)金屬閘極(metal-gate)互補式金氧半導體(CMOS)電晶體元件及其製作方法。The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a dual work-function metal-gate complementary metal oxide semiconductor (CMOS) transistor device and a method of fabricating the same.

隨著半導體元件持續地微縮,功函數金屬已逐漸取代傳統多晶矽作為匹配高介電常數介電層的控制電極。目前,雙功函數金屬閘極之製作方法可概分為前閘極(gate-first)製程與後閘極(gate-last)製程,其中,後閘極製程又被稱做「置換金屬閘極(Replacement Metal-Gate)」或「RMG」製程,可以避免源極/汲極超淺接面活化回火以及金屬矽化物等高熱預算製程,且具有較寬的材料選擇,故漸漸地取代前閘極製程。As semiconductor components continue to shrink, work function metals have gradually replaced conventional polysilicon as control electrodes for matching high-k dielectric layers. At present, the manufacturing method of the double work function metal gate can be roughly divided into a gate-first process and a gate-last process, wherein the back gate process is also referred to as a "replacement metal gate". (Replacement Metal-Gate) or "RMG" process can avoid high-heat budget process such as source/bungee ultra-shallow junction activation tempering and metal telluride, and has a wide material selection, so it gradually replaces the front gate Extreme process.

習知的後閘極製程係先形成一多晶矽虛置閘極(dummy gate)或置換閘極(replacement gate),然後依序完成多道的MOS電晶體製作步驟,例如,形成第一側壁子、第一側壁子後的LDD離子佈植、汲極/源極的埋入磊晶製程、氮化矽/氧化矽複合第二側壁子製程、汲極/源極的離子佈植等等,接著,再將多晶矽虛置閘極移除而形成一閘極溝渠(gate trench),最後依電性需求於閘極溝渠內填入不同的金屬。The conventional back gate process first forms a polysilicon dummy gate or a replacement gate, and then sequentially completes a plurality of MOS transistor fabrication steps, for example, forming a first sidewall, LDD ion implantation after the first sidewall, buried epitaxial process of the drain/source, a second sidewall process of the tantalum nitride/yttria composite, ion implantation of the drain/source, etc., Then, the polysilicon dummy gate is removed to form a gate trench, and finally, different metals are filled in the gate trench according to electrical requirements.

由於雙功函數金屬閘極一方面需要與NMOS元件搭配,另一方面則需與PMOS元件搭配,因此使得相關元件的整合技術以及製程控制更形複雜,且各材料的厚度與成分控制要求亦更形嚴苛。在這個嚴苛的製程環境下,如何在製作雙功函數金屬閘極時又同時整合PMOS/NMOS元件的製程,而同時達到降低成本與完成具有競爭力產品的作法即為現今重要課題。Since the double work function metal gate needs to be matched with the NMOS component on the one hand, and the PMOS component on the other hand, the integration technology and process control of the related component are more complicated, and the thickness and composition control requirements of each material are also more complicated. Strict. In this rigorous process environment, how to integrate the PMOS/NMOS device process while fabricating the dual-function metal gate while achieving cost reduction and competing products is an important issue today.

據此,本發明提供一種雙功函數金屬閘極CMOS元件及製作方法,整合了埋入式SiGe/SiC製程,其能夠簡化雙功函數金屬閘極CMOS製程的複雜度,並進一步降低製造成本。Accordingly, the present invention provides a dual work function metal gate CMOS device and a fabrication method thereof, which integrates a buried SiGe/SiC process, which simplifies the complexity of the dual work function metal gate CMOS process and further reduces manufacturing costs.

根據本發明之一較佳實施例,本發明提供一種雙功函數金屬閘極CMOS元件的製作方法,包含有:提供一基底,包含有一第一區域及一第二區域;分別在該第一區域及該第二區域形成一第一虛置閘極結構及一第二虛置閘極結構;分別於該第一虛置閘極結構兩側的該基底中及該第二虛置閘極結構兩側的該基底中形成一第一輕摻雜汲極及一第二輕摻雜汲極;分別於該第一虛置閘極結構上及該第二虛置閘極結構上形成一第一側壁子及一第二側壁子;於該第一虛置閘極結構兩側的該基底中形成一第一埋入磊晶層;於該第一區域形成一封層;及形成該封層之後,於該第二虛置閘極結構兩側的該基底中形成一第二埋入磊晶層。According to a preferred embodiment of the present invention, the present invention provides a method for fabricating a dual work function metal gate CMOS device, comprising: providing a substrate including a first region and a second region; respectively in the first region And the second region forms a first dummy gate structure and a second dummy gate structure; respectively, the substrate on both sides of the first dummy gate structure and the second dummy gate structure Forming a first lightly doped drain and a second lightly doped drain in the substrate; forming a first sidewall on the first dummy gate structure and the second dummy gate structure respectively And a second sidewall; forming a first buried epitaxial layer in the substrate on both sides of the first dummy gate structure; forming a layer in the first region; and forming the cap layer, A second buried epitaxial layer is formed in the substrate on both sides of the second dummy gate structure.

在形成該第二埋入磊晶層之後,繼續於該基底上全面沈積一第一接觸洞蝕刻停止層,覆蓋住該第一區域及該第二區域;於該第一接觸洞蝕刻停止層上形成一第一介電層;進行一化學機械研磨製程,研磨掉一部份厚度的該第一介電層及該第一接觸洞蝕刻停止層,直到曝露出該第一虛置閘極結構及該第二虛置閘極結構;去除該第一虛置閘極結構及該第二虛置閘極結構,分別形成一第一閘極溝渠及一第二閘極溝渠;及於該第一閘極溝渠內形成一第一閘極介電層及一第一金屬閘極,並於該第二閘極溝渠內形成一第二閘極介電層及一第二金屬閘極。 After forming the second buried epitaxial layer, a first contact hole etch stop layer is continuously deposited on the substrate to cover the first region and the second region; and the first contact hole is etched on the stop layer Forming a first dielectric layer; performing a chemical mechanical polishing process to polish a portion of the thickness of the first dielectric layer and the first contact hole etch stop layer until the first dummy gate structure is exposed and The second dummy gate structure; the first dummy gate structure and the second dummy gate structure are removed to form a first gate trench and a second gate trench respectively; and the first gate A first gate dielectric layer and a first metal gate are formed in the drain trench, and a second gate dielectric layer and a second metal gate are formed in the second gate trench.

在形成該第一金屬閘極及該第二金屬閘極之後,繼續於該基底上沈積一第二介電層;蝕刻該第一區域內的該第一、第二介電層、該第一接觸洞蝕刻停止層及該封層,形成一第一接觸洞,蝕刻該第二區域內的該第一、第二介電層及該第一接觸洞蝕刻停止層,形成一第二接觸洞;於該第一、第二接觸洞的底部形成一矽化金屬層;及於該第一、第二接觸洞填入金屬層,俾形成一第一接觸插塞及一第二接觸插塞。 After forming the first metal gate and the second metal gate, continuing to deposit a second dielectric layer on the substrate; etching the first and second dielectric layers in the first region, the first Contacting the hole etch stop layer and the cap layer to form a first contact hole, etching the first and second dielectric layers in the second region and the first contact hole etch stop layer to form a second contact hole; Forming a deuterated metal layer at the bottom of the first and second contact holes; and filling the metal layer with the first and second contact holes to form a first contact plug and a second contact plug.

根據本發明之另一較佳實施例,在形成該第一金屬閘極及該第二金屬閘極之後,繼續去除該第一介電層、該第一接觸洞蝕刻停止層以及該封層;沈積一具有應力的第二接觸洞蝕刻停止層;於該第二接觸洞蝕刻停止層形成一第三介電層;蝕刻該第一區域內的該第 三介電層及該第二接觸洞蝕刻停止層,形成一第一接觸洞,蝕刻該第二區域內的該第三介電層及該第二接觸洞蝕刻停止層,形成一第二接觸洞;於該第一、第二接觸洞的底部形成一矽化金屬層;及於該第一、第二接觸洞填入金屬層,俾形成一第一接觸插塞及一第二接觸插塞。 According to another preferred embodiment of the present invention, after the first metal gate and the second metal gate are formed, the first dielectric layer, the first contact hole etch stop layer and the sealing layer are continuously removed; Depositing a second contact hole etch stop layer having stress; forming a third dielectric layer on the second contact hole etch stop layer; etching the first portion in the first region The third dielectric layer and the second contact hole etch stop layer form a first contact hole, and the third dielectric layer and the second contact hole etch stop layer in the second region are etched to form a second contact hole Forming a deuterated metal layer at the bottom of the first and second contact holes; and filling the metal layer with the first and second contact holes to form a first contact plug and a second contact plug.

另一方面,本發明提供一種金屬閘極CMOS元件,包含有:一基底,包含有一PMOS區域及一NMOS區域;一PMOS電晶體,設於該PMOS區域內的該基底上;一NMOS電晶體,設於該NMOS區域內的該基底上;一封層,僅覆蓋住該PMOS區域內的該PMOS電晶體;及一接觸洞蝕刻停止層,覆蓋住該PMOS區域內的封層及該NMOS區域內的該NMOS電晶體。其中該PMOS電晶體包含有一第一金屬閘極以及一第一閘極介電層。該第一閘極介電層包含金屬氧化物。該金屬氧化物包含有氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO3)、氧化鉭(tantalum oxide,Ta2O5)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、或鋯酸鉿(hafnium zirconium oxide,HfZrO2)。該PMOS電晶體另包含有一埋入式SiGe磊晶層,位於該PMOS電晶體的一汲極/源極區域。該封層直接接觸該埋入式SiGe磊晶層。該第一金屬閘極包含有氮化鈦(titanium nitride,TiN)、氮化鋁(aluminum nitride,AlN)、氮化鉭(tantalum nitride,TaN)、鋁(aluminum,Al)或功函數金屬。In another aspect, the present invention provides a metal gate CMOS device including: a substrate including a PMOS region and an NMOS region; a PMOS transistor disposed on the substrate in the PMOS region; and an NMOS transistor; Provided on the substrate in the NMOS region; a layer covering only the PMOS transistor in the PMOS region; and a contact hole etch stop layer covering the cap layer in the PMOS region and the NMOS region The NMOS transistor. The PMOS transistor includes a first metal gate and a first gate dielectric layer. The first gate dielectric layer comprises a metal oxide. The metal oxide comprises hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (aluminum oxide, Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (LaAlO3), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ) Zirconium silicon oxide (ZrSiO 4 ) or hafnium zirconium oxide (HfZrO 2 ). The PMOS transistor further includes a buried SiGe epitaxial layer located in a drain/source region of the PMOS transistor. The cap layer directly contacts the buried SiGe epitaxial layer. The first metal gate comprises titanium nitride (TiN), aluminum nitride (AlN), tantalum nitride (TaN), aluminum (aluminum, Al) or a work function metal.

為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉較佳實施方式,並配合所附圖式,作詳細說明如下。然而如下之較佳實施方式與圖式僅供參考與說明用,並非用來對本發明加以限制者。The above described objects, features and advantages of the present invention will become more apparent from the description of the appended claims. However, the following preferred embodiments and drawings are for illustrative purposes only and are not intended to limit the invention.

請參閱第1圖至第14圖,其為依據本發明較佳實施例所繪示之雙功函數金屬閘極CMOS元件製作方法之示意圖。首先,如第1圖所示,提供一基底10,例如,基底10可以是矽基底、含矽基底、矽覆絕緣(SOI)基底或磊晶基底等。基底10內形成有複數個淺溝絕緣(STI)12,電性隔離出至少一PMOS區域101以及一NMOS區域102。接下來,分別於基底10的PMOS區域101及NMOS區域102內形成一虛置閘極結構21以及一虛置閘極結構22,其中虛置閘極結構21可包含有一閘極矽氧介電層21a、一多晶矽層21b、一蓋層21c以及一側壁氧化層21d,而虛置閘極結構22可包含有一閘極矽氧介電層22a、一多晶矽層22b、一蓋層22c以及一側壁氧化層22d。蓋層21c及22c可以是氮化矽。接著,在形成虛置閘極結構21及22之後,先以圖案化光阻層30蓋住PMOS區域101,圖案化光阻層30的開口30a則曝露出NMOS區域102,再進行一離子佈植製程130,於虛置閘極結構22兩側之基底10內形成一輕摻雜汲極(LDD)220。Please refer to FIG. 1 to FIG. 14 , which are schematic diagrams showing a method for fabricating a dual work function metal gate CMOS device according to a preferred embodiment of the present invention. First, as shown in FIG. 1, a substrate 10 is provided. For example, the substrate 10 may be a germanium substrate, a germanium-containing substrate, a blanket insulating (SOI) substrate, or an epitaxial substrate. A plurality of shallow trench isolations (STIs) 12 are formed in the substrate 10 to electrically isolate at least one PMOS region 101 and an NMOS region 102. Next, a dummy gate structure 21 and a dummy gate structure 22 are formed in the PMOS region 101 and the NMOS region 102 of the substrate 10, wherein the dummy gate structure 21 may include a gate germanium oxide dielectric layer. 21a, a polysilicon layer 21b, a cap layer 21c and a sidewall oxide layer 21d, and the dummy gate structure 22 may include a gate oxide dielectric layer 22a, a polysilicon layer 22b, a cap layer 22c, and a sidewall oxidation. Layer 22d. The cap layers 21c and 22c may be tantalum nitride. Next, after the dummy gate structures 21 and 22 are formed, the PMOS region 101 is covered with the patterned photoresist layer 30, and the opening 30a of the patterned photoresist layer 30 exposes the NMOS region 102, and then an ion implantation is performed. The process 130 forms a lightly doped drain (LDD) 220 in the substrate 10 on both sides of the dummy gate structure 22.

如第2圖所示,接著,在離子佈植製程130之後,去除圖案化光阻層30,再以另一圖案化光阻層40蓋住NMOS區域102,圖案化光阻層40的開口40a則曝露出PMOS區域101,然後進行一離子佈植製程140,於虛置閘極結構21兩側之基底10內形成一輕摻雜汲極(LDD)210,隨後,去除圖案化光阻層40。當然,熟習該項技藝者應能理解第1圖中的LDD離子佈植製程與第2圖中的LDD離子佈植製程順序上係可以互換的。As shown in FIG. 2, after the ion implantation process 130, the patterned photoresist layer 30 is removed, and the NMOS region 102 is covered with another patterned photoresist layer 40, and the opening 40a of the photoresist layer 40 is patterned. Then, the PMOS region 101 is exposed, and then an ion implantation process 140 is performed to form a lightly doped gate (LDD) 210 in the substrate 10 on both sides of the dummy gate structure 21, and then the patterned photoresist layer 40 is removed. . Of course, those skilled in the art should be able to understand that the LDD ion implantation process in Figure 1 is interchangeable with the LDD ion implantation process in Figure 2.

如第3圖所示,於基底10的表面上全面沈積一側壁子材料層50,覆蓋住PMOS區域101以及NMOS區域102。根據本發明之較佳實施例,側壁子材料層50可以是碳摻雜氮化矽層,其介電常數較不摻雜碳的氮化矽要高。如第4圖所示,接著,以非等向性乾蝕刻製程蝕刻側壁子材料層50,如此分別在虛置閘極結構21及22的側壁上形成側壁子51及52。由此可知,本發明技術特徵之一係在形成LDD之後,始形成閘極側壁子。As shown in FIG. 3, a sidewall sub-material layer 50 is deposited on the surface of the substrate 10 to cover the PMOS region 101 and the NMOS region 102. In accordance with a preferred embodiment of the present invention, the sidewall sub-material layer 50 may be a carbon doped tantalum nitride layer having a higher dielectric constant than tantalum nitride which is not doped with carbon. As shown in FIG. 4, the sidewall sub-material layer 50 is then etched by an anisotropic dry etching process such that sidewalls 51 and 52 are formed on the sidewalls of the dummy gate structures 21 and 22, respectively. It can be seen from this that one of the technical features of the present invention is that after forming the LDD, the gate sidewalls are formed.

接著,如第5圖所示,於基底10的表面上另外全面沈積一犧牲氮化矽層54,覆蓋住PMOS區域101以及NMOS區域102。根據本發明之較佳實施例,犧牲氮化矽層54可以是未摻雜氮化矽層,其與前述之側壁子材料層50具有明顯的蝕刻選擇比。換言之,犧牲氮化矽層54的蝕刻速率明顯高於側壁子材料層50的蝕刻選擇比。Next, as shown in FIG. 5, a sacrificial tantalum nitride layer 54 is additionally deposited on the surface of the substrate 10 to cover the PMOS region 101 and the NMOS region 102. In accordance with a preferred embodiment of the present invention, the sacrificial tantalum nitride layer 54 can be an undoped tantalum nitride layer having a significant etch selectivity to the sidewall spacer material layer 50 described above. In other words, the etch rate of the sacrificial tantalum nitride layer 54 is significantly higher than the etch selectivity ratio of the sidewall sub-material layer 50.

如第6圖所示,接著以一圖案化光阻層60蓋住NMOS區域102,而圖案化光阻層60的開口60a則曝露出PMOS區域101。接下來,進行一蝕刻製程,於PMOS區域101內的虛置閘極結構21的兩側自動對準形成西格瑪形(sigma-shaped)的凹槽71,然後去除圖案化光阻層60。如第7圖所示,接著進行PMOS區域101的SiGe磊晶製程,於凹槽71內形成埋入式SiGe磊晶層81。根據本發明之較佳實施例,前述之SiGe磊晶製程係同步(in-situ)進行P+摻雜,形成P+埋入式SiGe磊晶層81,故可省略後續PMOS的汲極/源極的離子佈植步驟及相對應的光罩。As shown in FIG. 6, the NMOS region 102 is then covered with a patterned photoresist layer 60, and the opening 60a of the patterned photoresist layer 60 exposes the PMOS region 101. Next, an etching process is performed to automatically align the sigma-shaped grooves 71 on both sides of the dummy gate structure 21 in the PMOS region 101, and then the patterned photoresist layer 60 is removed. As shown in FIG. 7, a SiGe epitaxial process of the PMOS region 101 is then performed to form a buried SiGe epitaxial layer 81 in the recess 71. According to a preferred embodiment of the present invention, the aforementioned SiGe epitaxial process is in-situ P + doped to form a P + buried SiGe epitaxial layer 81, so that the subsequent PMOS drain/source can be omitted. Extreme ion implantation step and corresponding mask.

如第8圖所示,接著進行一蝕刻製程,選擇性的去除掉NMOS區域102內剩餘的犧牲氮化矽層54。在其它實施例中,此蝕刻步驟亦可以省略。然後,進行一沈積製程,例如,化學氣相沈積(CVD)或原子層沈積(ALD),於基底10的表面上再全面沈積一氮化矽封層(SiN seal layer)56,其厚度約介於50埃至200埃之間。As shown in FIG. 8, an etching process is then performed to selectively remove the sacrificial tantalum nitride layer 54 remaining in the NMOS region 102. In other embodiments, this etching step can also be omitted. Then, a deposition process, such as chemical vapor deposition (CVD) or atomic layer deposition (ALD), is performed to deposit a SiN seal layer 56 on the surface of the substrate 10, the thickness of which is about Between 50 angstroms and 200 angstroms.

如第9圖所示,接著以一圖案化光阻層80蓋住PMOS區域101,而圖案化光阻層80的開口80a則曝露出NMOS區域102。接下來,進行一蝕刻製程,於NMOS區域102內的虛置閘極結構22的兩側自動對準形成西格瑪形(sigma-shaped)的凹槽72,然後去除圖案化光阻層80。如第10圖所示,接著進行NMOS區域102的SiC磊晶製程,於凹槽72內形成埋入式SiC磊晶層82。根據本發明之較佳實施例,前述之SiC磊晶製程係同步(in-situ)進行N+摻雜,形成N+埋入式SiC磊晶層82,故可省略後續NMOS的汲極/源極的離子佈植步驟及相對應的光罩。此外,熟習該項技藝者應能理解第6~7圖中PMOS區域的埋入式SiGe磊晶製程與第9~10圖中NMOS區域的埋入式SiC磊晶製程順序上係可以互換的。As shown in FIG. 9, the PMOS region 101 is then covered with a patterned photoresist layer 80, and the opening 80a of the patterned photoresist layer 80 exposes the NMOS region 102. Next, an etching process is performed to automatically align the sigma-shaped recesses 72 on both sides of the dummy gate structure 22 in the NMOS region 102, and then the patterned photoresist layer 80 is removed. As shown in FIG. 10, the SiC epitaxial process of the NMOS region 102 is then performed to form the buried SiC epitaxial layer 82 in the recess 72. According to a preferred embodiment of the present invention, the aforementioned SiC epitaxial process line for the synchronization N + doped (in-situ), an N + buried SiC epitaxial layer 82 may be omitted so that the subsequent drain of NMOS source / sources Extreme ion implantation step and corresponding mask. In addition, those skilled in the art should be able to understand that the buried SiGe epitaxial process in the PMOS region of FIGS. 6-7 can be interchanged with the buried SiC epitaxial process sequence of the NMOS region in FIGS. 9-10.

如第11圖所示,接著在基底10的表面上全面沈積一接觸洞蝕刻停止層(CESL)90,例如,氮化矽層,其厚度可以介於100埃至150埃之間。根據本發明之較佳實施例,接觸洞蝕刻停止層90可以不具有應力。然後,在接觸洞蝕刻停止層90上沈積一介電層91,例如,矽氧層或者低介電常數材料層。As shown in FIG. 11, a contact hole etch stop layer (CESL) 90, for example, a tantalum nitride layer, may be deposited over the surface of the substrate 10, which may have a thickness between 100 angstroms and 150 angstroms. In accordance with a preferred embodiment of the present invention, the contact hole etch stop layer 90 may be free of stress. Then, a dielectric layer 91, such as a germanium oxide layer or a low dielectric constant material layer, is deposited over the contact hole etch stop layer 90.

如第12圖所示,進行一化學機械研磨(CMP)製程,研磨掉一部份厚度的介電層91、一部份厚度的接觸洞蝕刻停止層90、虛置閘極結構21的蓋層21c以及虛置閘極結構22的蓋層22c,如此即曝露出虛置閘極結構21的多晶矽層21b以及虛置閘極結構22的多晶矽層22b。然後,利用蝕刻方式,將虛置閘極結構21(包括多晶矽層21b以及閘極矽氧介電層21a)及虛置閘極結構22(包括多晶矽層22b以及閘極矽氧介電層22a)完全去除,俾形成閘極溝渠321及閘極溝渠322,分別曝露出PMOS電晶體的通道區域121以及NMOS電晶體的通道區域122。As shown in FIG. 12, a chemical mechanical polishing (CMP) process is performed to polish away a portion of the thickness of the dielectric layer 91, a portion of the thickness of the contact hole etch stop layer 90, and the cap layer of the dummy gate structure 21. 21c and the cap layer 22c of the dummy gate structure 22, such that the polysilicon layer 21b of the dummy gate structure 21 and the polysilicon layer 22b of the dummy gate structure 22 are exposed. Then, the dummy gate structure 21 (including the polysilicon layer 21b and the gate oxide dielectric layer 21a) and the dummy gate structure 22 (including the polysilicon layer 22b and the gate oxide dielectric layer 22a) are etched. Completely removed, the gate trench 321 and the gate trench 322 are formed, respectively exposing the channel region 121 of the PMOS transistor and the channel region 122 of the NMOS transistor.

如第13圖所示,接下來於閘極溝渠321內形成高介電常數(high-k)閘極介電層421a及金屬閘極421b,於閘極溝渠322內形成高介電常數閘極介電層422a及金屬閘極422b。其中,高介電常數閘極介電層421a及422a可選自氮化矽(SiN)、氮氧化矽(SiON)以及金屬氧化物所組成之一群組,其中金屬氧化物則包含氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO3)、氧化鉭(tantalum oxide,Ta2O5)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、或鋯酸鉿(hafnium zirconium oxide,HfZrO2)等。金屬閘極421b及422b可以包含有氮化鈦、氮化鋁、氮化鉭、鋁或功函數金屬,可以是單層或複合層結構。高介電常數閘極介電層421a及422a可以利用化學氣相沈積或原子層沈積形成,金屬閘極421b及422b可以利用沈積、蒸鍍或濺鍍等方式形成,最後再以化學機械研磨去除掉閘極溝渠321及閘極溝渠322外的金屬層。 As shown in FIG. 13, a high-k gate dielectric layer 421a and a metal gate 421b are formed in the gate trench 321 to form a high dielectric constant gate in the gate trench 322. Dielectric layer 422a and metal gate 422b. The high dielectric constant gate dielectric layers 421a and 422a may be selected from the group consisting of tantalum nitride (SiN), bismuth oxynitride (SiON), and metal oxides, wherein the metal oxide contains yttrium oxide ( Hafnium oxide, HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), antimony oxide lanthanum oxide, La 2 O 3) , lanthanum aluminate (lanthanum aluminum oxide, LaAlO3), tantalum oxide (tantalum oxide, Ta 2 O 5 ), zirconium oxide (zirconium oxide, ZrO 2), zirconium silicate oxide compound (zirconium silicon Oxide, ZrSiO 4 ), or hafnium zirconium oxide (HfZrO 2 ). The metal gates 421b and 422b may comprise titanium nitride, aluminum nitride, tantalum nitride, aluminum or a work function metal, and may be a single layer or a composite layer structure. The high dielectric constant gate dielectric layers 421a and 422a may be formed by chemical vapor deposition or atomic layer deposition, and the metal gates 421b and 422b may be formed by deposition, evaporation or sputtering, and finally removed by chemical mechanical polishing. The metal layer outside the gate trench 321 and the gate trench 322 is removed.

如第14圖所示,在完成高介電常數閘極介電層暨金屬閘極(high-k/metal gate)製程之後,接著於基底10上再沈積一介電層92,接下來,進行接觸洞及接觸插塞製程,包括乾蝕刻PMOS區域101內的介電層92、91、接觸洞蝕刻停止層90及氮化矽封層56,形成接觸洞92a,曝露出PMOS電晶體的部分的汲極/源極,乾蝕刻NMOS區域102內的介電層92、91及接觸洞蝕刻停止層90,形成接觸洞92b,曝露出NMOS電晶體的部分的汲極/源極。繼之,進行矽化金屬製程,分別於接觸洞92a及92b的底部形成矽化金屬層171及 172,例如,矽化鎳(NiSi)或NiPt。最後,於接觸洞92a及92b填入金屬附著層,例如,鈦(Ti)、氮化鈦(TiN)、鎢(W),俾形成接觸插塞192a及192b。從第14圖可看出本發明結構上的特徵之一在於PMOS區域101係被氮化矽封層56以及接觸洞蝕刻停止層90蓋住,而NMOS區域102只被接觸洞蝕刻停止層90蓋住,也就是說氮化矽封層56僅覆蓋住PMOS區域101。 As shown in FIG. 14, after completing the high dielectric constant gate dielectric and high-k/metal gate process, a dielectric layer 92 is then deposited on the substrate 10, and then, The contact hole and contact plug process includes a dielectric layer 92, 91 in the dry etched PMOS region 101, a contact hole etch stop layer 90, and a tantalum nitride sealing layer 56, forming a contact hole 92a, exposing a portion of the PMOS transistor. The drain/source, the dielectric layers 92, 91 and the contact hole etch stop layer 90 in the NMOS region 102 are dry etched to form a contact hole 92b exposing the drain/source of the portion of the NMOS transistor. Then, a deuterated metal process is performed to form a deuterated metal layer 171 at the bottoms of the contact holes 92a and 92b, respectively. 172, for example, nickel telluride (NiSi) or NiPt. Finally, metal contact layers such as titanium (Ti), titanium nitride (TiN), and tungsten (W) are formed in the contact holes 92a and 92b, and the contact plugs 192a and 192b are formed. As can be seen from Fig. 14, one of the structural features of the present invention is that the PMOS region 101 is covered by the tantalum nitride sealing layer 56 and the contact hole etch stop layer 90, and the NMOS region 102 is only covered by the contact hole etch stop layer 90. That is, the tantalum nitride sealing layer 56 covers only the PMOS region 101.

第15圖至第16圖為依據本發明另一較佳實施例所繪示之雙功函數金屬閘極CMOS元件製作方法之示意圖,其中,第15圖係接續第13圖。如第15圖所示,在完成第13圖中的金屬閘極製程之後,接著將介電層91、接觸洞蝕刻停止層90以及氮化矽封層56去除,然後沈積另一接觸洞蝕刻停止層93以及另一介電層94,其中,接觸洞蝕刻停止層93係具有應力,例如,伸張應力或壓縮應力,以增加元件效能。 15 to FIG. 16 are schematic diagrams showing a method of fabricating a dual work function metal gate CMOS device according to another preferred embodiment of the present invention, wherein FIG. 15 is a continuation of FIG. As shown in FIG. 15, after the metal gate process in FIG. 13 is completed, the dielectric layer 91, the contact hole etch stop layer 90, and the tantalum nitride sealing layer 56 are removed, and then another contact hole is etched to stop. Layer 93 and another dielectric layer 94, wherein the contact hole etch stop layer 93 has stress, such as tensile stress or compressive stress, to increase device performance.

接下來,如第16圖所示,進行接觸洞及接觸插塞製程,包括乾蝕刻PMOS區域101內的介電層94及接觸洞蝕刻停止層93,形成接觸洞94a,曝露出PMOS電晶體的部分的汲極/源極,乾蝕刻NMOS區域102內的介電層94及接觸洞蝕刻停止層93,形成接觸洞94b,曝露出NMOS電晶體的部分的汲極/源極。繼之,進行矽化金屬製程,分別於接觸洞94a及94b的底部形成矽化金屬層271及272,例如,矽化鎳。最後,於接觸洞94a及94b填入金屬附著層,例如,鎢、鈦、氮化鈦,俾形成接觸插塞194a及194b。 Next, as shown in FIG. 16, the contact hole and contact plug process are performed, including dry etching the dielectric layer 94 in the PMOS region 101 and the contact hole etch stop layer 93 to form a contact hole 94a to expose the PMOS transistor. Part of the drain/source, the dielectric layer 94 in the NMOS region 102 and the contact hole etch stop layer 93 are dry etched to form a contact hole 94b exposing the drain/source of the portion of the NMOS transistor. Next, a deuterated metal process is performed to form deuterated metal layers 271 and 272, for example, nickel telluride, at the bottoms of the contact holes 94a and 94b, respectively. Finally, a metal adhesion layer, for example, tungsten, titanium, or titanium nitride, is formed in the contact holes 94a and 94b to form contact plugs 194a and 194b.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

10‧‧‧基底 10‧‧‧Base

12‧‧‧淺溝絕緣 12‧‧‧Shallow trench insulation

21‧‧‧虛置閘極結構 21‧‧‧Virtual gate structure

21a‧‧‧閘極矽氧介電層 21a‧‧‧Gate Electrode Layer

21b‧‧‧多晶矽層 21b‧‧‧Polysilicon layer

21c‧‧‧蓋層 21c‧‧‧ cover

21d‧‧‧側壁氧化層 21d‧‧‧ sidewall oxide layer

22‧‧‧虛置閘極結構 22‧‧‧Virtual gate structure

22a‧‧‧閘極矽氧介電層 22a‧‧‧ Gate Electrodeoxygen Dielectric Layer

22b‧‧‧多晶矽層 22b‧‧‧Polysilicon layer

22c‧‧‧蓋層 22c‧‧‧ cover

22d‧‧‧側壁氧化層 22d‧‧‧ sidewall oxide layer

30‧‧‧圖案化光阻層 30‧‧‧ patterned photoresist layer

30a‧‧‧開口 30a‧‧‧ openings

40‧‧‧圖案化光阻層 40‧‧‧ patterned photoresist layer

40a‧‧‧開口 40a‧‧‧ openings

50‧‧‧側壁子材料層 50‧‧‧ Sidewall material layer

51‧‧‧側壁子 51‧‧‧ Sidewall

52‧‧‧側壁子 52‧‧‧ Sidewall

54‧‧‧犧牲氮化矽層 54‧‧‧ Sacrificial layer of tantalum nitride

56‧‧‧氮化矽封層 56‧‧‧ nitride layer

60‧‧‧圖案化光阻層 60‧‧‧ patterned photoresist layer

60a‧‧‧開口 60a‧‧‧ openings

71‧‧‧凹槽 71‧‧‧ Groove

72‧‧‧凹槽 72‧‧‧ Groove

80‧‧‧圖案化光阻層 80‧‧‧ patterned photoresist layer

80a‧‧‧開口 80a‧‧‧ openings

81‧‧‧埋入式SiGe磊晶層 81‧‧‧ Buried SiGe epitaxial layer

82‧‧‧埋入式SiC磊晶層 82‧‧‧Buided SiC epitaxial layer

90‧‧‧接觸洞蝕刻停止層 90‧‧‧Contact hole etch stop layer

91‧‧‧介電層 91‧‧‧ dielectric layer

92‧‧‧介電層 92‧‧‧ dielectric layer

92a‧‧‧接觸洞 92a‧‧‧Contact hole

92b‧‧‧接觸洞 92b‧‧‧Contact hole

93‧‧‧接觸洞蝕刻停止層 93‧‧‧Contact hole etch stop layer

94‧‧‧介電層 94‧‧‧Dielectric layer

94a‧‧‧接觸洞 94a‧‧‧Contact hole

94b‧‧‧接觸洞 94b‧‧‧Contact hole

101‧‧‧PMOS區域 101‧‧‧ PMOS area

102‧‧‧NMOS區域 102‧‧‧NMOS area

121‧‧‧通道區域 121‧‧‧Channel area

122‧‧‧通道區域 122‧‧‧Channel area

130‧‧‧離子佈植製程 130‧‧‧Ion implantation process

140‧‧‧離子佈植製程 140‧‧‧Ion implantation process

171‧‧‧矽化金屬層 171‧‧‧Chemical metal layer

172‧‧‧矽化金屬層 172‧‧‧Deuterated metal layer

192a‧‧‧接觸插塞 192a‧‧‧Contact plug

192b‧‧‧接觸插塞 192b‧‧‧Contact plug

194a‧‧‧接觸插塞 194a‧‧‧Contact plug

194b‧‧‧接觸插塞 194b‧‧‧Contact plug

210‧‧‧輕摻雜汲極 210‧‧‧Lightly doped bungee

220‧‧‧輕摻雜汲極 220‧‧‧Lightly doped bungee

271‧‧‧矽化金屬層 271‧‧‧Deuterated metal layer

272‧‧‧矽化金屬層 272‧‧‧Deuterated metal layer

321‧‧‧閘極溝渠 321‧‧‧The gate ditches

322‧‧‧閘極溝渠 322‧‧‧The gate ditches

421a‧‧‧閘極介電層 421a‧‧‧gate dielectric layer

421b‧‧‧金屬閘極 421b‧‧‧Metal gate

422a‧‧‧閘極介電層 422a‧‧‧gate dielectric layer

422b‧‧‧金屬閘極 422b‧‧‧Metal gate

第1圖至第14圖為依據本發明較佳實施例所繪示之雙功函數金屬閘極CMOS元件製作方法之示意圖。 1 to 14 are schematic views showing a method of fabricating a dual work function metal gate CMOS device according to a preferred embodiment of the present invention.

第15圖至第16圖為依據本發明另一較佳實施例所繪示之雙功函數金屬閘極CMOS元件製作方法之示意圖。 15 to 16 are schematic views showing a method of fabricating a dual work function metal gate CMOS device according to another preferred embodiment of the present invention.

10‧‧‧基底 10‧‧‧Base

12‧‧‧淺溝絕緣 12‧‧‧Shallow trench insulation

51‧‧‧側壁子 51‧‧‧ Sidewall

52‧‧‧側壁子 52‧‧‧ Sidewall

56‧‧‧氮化矽封層 56‧‧‧ nitride layer

81‧‧‧埋入式SiGe磊晶層 81‧‧‧ Buried SiGe epitaxial layer

82‧‧‧埋入式SiC磊晶層 82‧‧‧Buided SiC epitaxial layer

90‧‧‧接觸洞蝕刻停止層 90‧‧‧Contact hole etch stop layer

91‧‧‧介電層 91‧‧‧ dielectric layer

92‧‧‧介電層 92‧‧‧ dielectric layer

92a‧‧‧接觸洞 92a‧‧‧Contact hole

92b‧‧‧接觸洞 92b‧‧‧Contact hole

101‧‧‧PMOS區域 101‧‧‧ PMOS area

102‧‧‧NMOS區域 102‧‧‧NMOS area

171‧‧‧矽化金屬層 171‧‧‧Chemical metal layer

172‧‧‧矽化金屬層 172‧‧‧Deuterated metal layer

192a‧‧‧接觸插塞 192a‧‧‧Contact plug

192b‧‧‧接觸插塞 192b‧‧‧Contact plug

210‧‧‧輕摻雜汲極 210‧‧‧Lightly doped bungee

220‧‧‧輕摻雜汲極 220‧‧‧Lightly doped bungee

421a‧‧‧閘極介電層 421a‧‧‧gate dielectric layer

421b‧‧‧金屬閘極 421b‧‧‧Metal gate

422a‧‧‧閘極介電層 422a‧‧‧gate dielectric layer

422b‧‧‧金屬閘極 422b‧‧‧Metal gate

Claims (7)

一種金屬閘極CMOS元件,包含有:一基底,包含有一第一導電型MOS區域及一第二導電型MOS區域,其中該第一導電型MOS區域為PMOS區域,該第二導電型MOS區域為NMOS區域;一第一導電型MOS電晶體,設於該第一導電型MOS區域內的該基底上;一第二導電型MOS電晶體,設於該第二導電型MOS區域內的該基底上;一封層,僅覆蓋住該第一導電型MOS區域內的該第一導電型MOS電晶體;及一接觸洞蝕刻停止層,覆蓋住該第一導電型MOS區域內的封層及該第二導電型MOS區域內的該第二導電型MOS電晶體,其中該接觸洞蝕刻停止層不具有應力。 A metal gate CMOS device includes: a substrate including a first conductivity type MOS region and a second conductivity type MOS region, wherein the first conductivity type MOS region is a PMOS region, and the second conductivity type MOS region is An NMOS region; a first conductivity type MOS transistor disposed on the substrate in the first conductivity type MOS region; and a second conductivity type MOS transistor disposed on the substrate in the second conductivity type MOS region a layer covering only the first conductive type MOS transistor in the first conductive type MOS region; and a contact hole etch stop layer covering the sealing layer in the first conductive type MOS region and the first layer The second conductivity type MOS transistor in the second conductivity type MOS region, wherein the contact hole etch stop layer has no stress. 如申請專利範圍第1項所述之金屬閘極CMOS元件,其中該第一導電型MOS電晶體包含有一埋入式SiGe磊晶層,位於該第一導電型MOS電晶體的一汲極/源極區域。 The metal gate CMOS device of claim 1, wherein the first conductivity type MOS transistor comprises a buried SiGe epitaxial layer, and a drain/source of the first conductivity type MOS transistor Polar area. 如申請專利範圍第2項所述之金屬閘極CMOS元件,其中該封層直接接觸該埋入式SiGe磊晶層。 The metal gate CMOS device of claim 2, wherein the cap layer directly contacts the buried SiGe epitaxial layer. 如申請專利範圍第1項所述之金屬閘極CMOS元件,該第一導 電型MOS電晶體包含有一第一金屬閘極以及一第一閘極介電層,其中該第一金屬閘極包含有氮化鈦、氮化鋁、氮化鉭、鋁或功函數金屬。 The first gate of the metal gate CMOS component as described in claim 1 The electrical MOS transistor comprises a first metal gate and a first gate dielectric, wherein the first metal gate comprises titanium nitride, aluminum nitride, tantalum nitride, aluminum or a work function metal. 如申請專利範圍第1項所述之金屬閘極CMOS元件,其中該第二導電型MOS電晶體包含有一埋入式SiC磊晶層,位於該第二導電型MOS電晶體的一汲極/源極區域。 The metal gate CMOS device of claim 1, wherein the second conductivity type MOS transistor comprises a buried SiC epitaxial layer, and a drain/source of the second conductivity type MOS transistor Polar area. 如申請專利範圍第1項所述之金屬閘極CMOS元件,該第二導電型MOS電晶體包含有一第二金屬閘極以及一第二閘極介電層,其中該第二金屬閘極包含有氮化鈦、氮化鋁、氮化鉭、鋁或功函數金屬。 The metal gate CMOS device of claim 1, wherein the second conductivity type MOS transistor comprises a second metal gate and a second gate dielectric layer, wherein the second metal gate comprises Titanium nitride, aluminum nitride, tantalum nitride, aluminum or work function metal. 如申請專利範圍第1項所述之金屬閘極CMOS元件,其中該封層是氮化矽封層。 The metal gate CMOS device of claim 1, wherein the sealing layer is a tantalum nitride sealing layer.
TW100110098A 2011-03-24 2011-03-24 Metal-gate cmos device and fabrication method thereof TWI552210B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100110098A TWI552210B (en) 2011-03-24 2011-03-24 Metal-gate cmos device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100110098A TWI552210B (en) 2011-03-24 2011-03-24 Metal-gate cmos device and fabrication method thereof

Publications (2)

Publication Number Publication Date
TW201239959A TW201239959A (en) 2012-10-01
TWI552210B true TWI552210B (en) 2016-10-01

Family

ID=47599671

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100110098A TWI552210B (en) 2011-03-24 2011-03-24 Metal-gate cmos device and fabrication method thereof

Country Status (1)

Country Link
TW (1) TWI552210B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605566B2 (en) 2021-01-19 2023-03-14 Taiwan Semiconductor Manufacturing Company Ltd. Method and structure for metal gates

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI312201B (en) * 2004-08-27 2009-07-11 Taiwan Semiconductor Mfg Metal gate structure for mos devices
US20090280614A1 (en) * 2007-05-24 2009-11-12 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI312201B (en) * 2004-08-27 2009-07-11 Taiwan Semiconductor Mfg Metal gate structure for mos devices
US20090280614A1 (en) * 2007-05-24 2009-11-12 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor

Also Published As

Publication number Publication date
TW201239959A (en) 2012-10-01

Similar Documents

Publication Publication Date Title
US8592271B2 (en) Metal-gate CMOS device and fabrication method thereof
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
CN105470200B (en) Semiconductor element with metal grid and manufacturing method thereof
US9123746B2 (en) FinFETs with multiple threshold voltages
CN106684041B (en) Semiconductor element and manufacturing method thereof
CN107275210B (en) Semiconductor element and manufacturing method thereof
US20130105906A1 (en) CMOS Device Having Dual Metal Gates and Method of Manufacturing the Same
US8198686B2 (en) Semiconductor device
US8564063B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US8581351B2 (en) Replacement gate with reduced gate leakage current
CN116705613A (en) Semiconductor element and manufacturing method thereof
TW201905974A (en) Semiconductor component and manufacturing method thereof
US8766371B2 (en) Semiconductor structure and method for manufacturing the same
CN113659004A (en) Semiconductor element and manufacturing method thereof
CN106920839B (en) Semiconductor element and manufacturing method thereof
US8198685B2 (en) Transistors with metal gate and methods for forming the same
CN112436004A (en) Semiconductor element and manufacturing method thereof
TWI728162B (en) Semiconductor device and method for fabricating the same
US9941152B2 (en) Mechanism for forming metal gate structure
TWI612666B (en) Method for fabricating finfet transistor
TWI570787B (en) Metal-gate cmos device and fabrication method thereof
TWI552210B (en) Metal-gate cmos device and fabrication method thereof
CN114597129A (en) Semiconductor element and manufacturing method thereof
US8895403B2 (en) Transistor, method for fabricating the transistor, and semiconductor device comprising the transistor
TWI509702B (en) Metal gate transistor and method for fabricating the same