TWI488226B - 使用犧牲閘電極形成半導體裝置之方法及犧牲自對準接觸結構 - Google Patents

使用犧牲閘電極形成半導體裝置之方法及犧牲自對準接觸結構 Download PDF

Info

Publication number
TWI488226B
TWI488226B TW101144426A TW101144426A TWI488226B TW I488226 B TWI488226 B TW I488226B TW 101144426 A TW101144426 A TW 101144426A TW 101144426 A TW101144426 A TW 101144426A TW I488226 B TWI488226 B TW I488226B
Authority
TW
Taiwan
Prior art keywords
sacrificial
forming
layer
gate electrode
spaced apart
Prior art date
Application number
TW101144426A
Other languages
English (en)
Other versions
TW201334042A (zh
Inventor
Andy Wei
Peter Baars
Erik Geiss
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201334042A publication Critical patent/TW201334042A/zh
Application granted granted Critical
Publication of TWI488226B publication Critical patent/TWI488226B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Description

使用犧牲閘電極形成半導體裝置之方法及犧牲自對準接觸結構
本公開通常涉及複雜半導體裝置的製造,更具體地說,是涉及利用犧牲閘電極及犧牲自對準接觸形成半導體裝置的多種方法。
製造例如中央處理單元(CPU)、儲存裝置、專用積體電路(application specific integrated circuit;ASIC)等先進積體電路需要依據特定的電路佈局在特定的晶片面積上形成大量電路元件,其中,場效電晶體代表一種重要類型的電路元件,其基本決定積體電路的性能。目前,在如微處理器、儲存裝置等積體電路中,在有限的晶片面積上設置並運行有大量的電路元件,尤其是電晶體。儘管近幾十年來已在增加電路元件的性能以及縮小其特徵尺寸方面取得了極大的進步,但增強電子裝置的功能性的持續需求迫使半導體廠商不斷縮小電路元件的尺寸並提高其運行速度。
然而,對於當前的一些裝置,複雜積體電路的運行速 度不再受限於單個電晶體元件的開關速度,而是受限於這樣一積體電路裝置中所使用的複雜線路系統的電性。這樣一線路系統可形成於裝置層級上方,且可包括實際的以半導體為主的電路元件,例如電晶體等。一般地,由於當前積體電路中的大量電路元件以及所需的複雜佈局,因此無法在製造電路元件的同一裝置層級中建立各電路元件的電性連接,而是需要使用一個或多個額外的金屬化層。該些金屬化層通常包括用以提供內層電性連接的含金屬線路,並且還包括多個內層級連接或垂直連接,通常將其稱作導孔。該些互連結構可由多種不同的材料製成,例如銅、鎢等,其提供與各堆疊的金屬化層以及各電路元件的電性連接。
一般地,提供適當的垂直接觸結構。其一端連接電路元件的各自的接觸區,例如電晶體的閘極電極和/或源極汲極區。該垂直接觸的相對端導電耦接至金屬化層中的各自的金屬線和/或另一以半導體為基礎的電路元件的接觸區,在此情況下也將該接觸層級中的該互連結構稱作局部互連。該接觸結構可包括接觸元件和/或接觸塞,其通常呈方形或圓形,形成於層間(interlayer)介電材料中。該層間介電材料相應地包覆且鈍化電路元件。隨著裝置層級中電路元件的關鍵尺寸的進一步縮小,必須縮小金屬線、導孔以及接觸元件的尺寸,因而需要精密的含金屬材料以及介電材料,以降低金屬化層中的寄生電容並使各金屬線及導孔具有足夠高的導電性。例如,在複雜金屬化系統中,一 般地將銅與低介電常數介電材料(可理解的,介電常數約為3.0或更低的介電材料)結合使用,以獲得所需電性以及針對積體電路的期望可靠性所需的電子遷移行為。因此,在下方的金屬化層級中設置關鍵尺寸約100奈米以及更小的金屬線及導孔,以依據裝置層級中電路元件的密度獲得所需的“封裝密度”。
例如,當使用50奈米以及更小的關鍵尺寸進一步縮小電路元件的尺寸時,接觸層級中的接觸元件具有相同量級的關鍵尺寸。通常,接觸元件為柱形塞,其由適當的金屬或金屬複合物,例如鎢,結合適當的阻擋材料形成。當形成以鎢為主的接觸元件時,一般地先形成層間介電材料,且對其圖案化以定義多個接觸開口。該些開口穿過該層間介電材料延伸至電路元件的相應接觸區。尤其,在密集填充的裝置區中,源極汲極區的橫向尺寸以及接觸區的可用面積為100奈米甚至更小,從而需要極複雜的光微影及蝕刻技術來形成具有定義良好的橫向尺寸以及高度對準精度的接觸開口。在一些情況下,儘管廠商竭盡全力,但在適當位置形成接觸開口仍存在誤差。如果在未對準的開口中形成導電接觸,即便未破壞,也會惡化最終裝置的性能。
如前所述,特徵尺寸的持續縮小致使廠商重新設計製程技術並開發新的製程策略和工具以符合新的設計規則。例如,當前用於極精密積體電路裝置中的平面電晶體的閘極長度為15至25奈米級,這樣一積體電路裝置的閘極電極結構形成約80奈米級的閘極間距。隨著數年來裝置尺寸 的不斷縮小,精確形成導電接觸並精確定位連接此類接觸的金屬線正變得越來越困難。更具體地說,對於約80奈米的閘極間距,非常難以精確定位連接導電接觸的金屬線,而不會有未對準的金屬線短接至附近閘極電極的風險。在最好的情況下,此類不精確導致裝置性能降低;在最壞的情況下,此類不精確導致裝置完全失效。隨著裝置尺寸繼續縮小,上述困難將會愈加嚴重。例如,如新一代此類積體電路裝置的閘極間距縮小至約56奈米,則在其他條件相同的情況下,可能不具有形成此類導電接觸及金屬線的任何誤差範圍或“製程視窗”範圍。
本公開提供可避免或至少減輕上述一個或多個問題的影響的多種方法。
下面提供本發明的簡要總結,以提供本發明的其中一些態樣的基本理解。本總結內容並非詳盡概述本發明。其並非意圖識別本發明的關鍵或重要元件或劃定本發明的範圍。其唯一目的在於提供一些簡化的概念,作為後面所討論的更詳細說明的前序。
一般而言,本揭露提供利用犧牲閘電極及犧牲自對準接觸結構形成半導體裝置的多種方法。在一範例中,該方法包含:形成由第一材料組成的兩相互隔開的犧牲閘電極,形成由第二材料組成的犧牲接觸結構,其中,該第二材料相對該第一材料可選擇性蝕刻,以及在該兩相互隔開的犧牲閘電極及該犧牲接觸結構上執行共同的蝕刻製程, 以選擇性相對該犧牲接觸結構選擇性移除該兩相互隔開的犧牲閘電極結構。
在另一範例中,該方法包含:在半導體基板上方形成兩相互隔開的犧牲閘電極,該犧牲閘電極由第一材料組成,在該兩相互隔開的犧牲閘電極之間形成由第二材料組成的犧牲接觸結構,其中,該第二材料相對該第一材料可選擇性蝕刻,且在該兩相互隔開的犧牲閘電極及該犧牲接觸結構上執行共同的蝕刻製程,以選擇性相對該犧牲接觸結構選擇性移除該兩相互隔開的犧牲閘電極結構,其中,該兩犧牲閘電極的該移除導致兩閘極電極開口的形成。該方法進一步包含:在各該閘極開口中形成替代閘極電極結構,在各該替代閘極電極結構上方形成保護覆蓋層,形成該保護覆蓋層後,在該犧牲接觸結構上執行另一蝕刻製程以移除該犧牲接觸結構,且從而定義在該替代閘極電極結構之間的自對準接觸開口,以及在該自對準接觸開口中形成最終自對準接觸結構。
10‧‧‧半導體基板
200‧‧‧半導體裝置、裝置
211‧‧‧閘極間距
212‧‧‧閘極電極結構
212A‧‧‧犧牲電極絕緣層
212B‧‧‧犧牲閘電極
213‧‧‧閘極長度
214‧‧‧閘極覆蓋層
214S‧‧‧頂部表面、表面
216‧‧‧側間隙壁
218‧‧‧接觸蝕刻停止層
220‧‧‧絕緣材料層
220S‧‧‧頂部表面
221‧‧‧第二絕緣材料層
221S‧‧‧表面
224‧‧‧接觸開口
226‧‧‧遮罩層
226A‧‧‧遮罩開口
227‧‧‧沈積材料層
227C‧‧‧犧牲接觸結構
227S‧‧‧頂部表面、上表面
229‧‧‧閘極開口
230‧‧‧犧牲材料層
231‧‧‧凹部
233A‧‧‧保護覆蓋層
235‧‧‧自對準接觸開口
236‧‧‧金屬矽化物區
240‧‧‧自對準導電接觸
250‧‧‧最終閘極結構、替代閘極結構
結合附圖參照下面的說明可理解本公開,該些附圖中相同的元件符號代表類似的元件。
第1A至1N圖顯示這裡揭露的形成半導體裝置的多種方法的示例流程。
儘管這裡揭露的發明主題容許各種修改及替代形式,但附圖中以示例形式顯示其特定的實施例,且在此進行詳細描述。不過,應當理解,這裡對特定實施例的說明 並非意圖將本發明限於所揭露的特定形式,相反,意圖涵蓋落入由所附申請專利範圍定義的精神及範圍內的所有修改、等同及替代。
下面描述本發明的不同實施例。出於清楚目的,並非實際實施中的全部特徵都描述於本說明書中。當然,應當瞭解,在任意此類實際實施例的開發中,必須作大量的特定實施決定以滿足開發者的特定目標,例如符合與系統相關及與商業相關的約束條件,該些約束條件因不同實施而異。而且,應當瞭解,此類開發努力可能複雜而耗時,但其仍然是本領域技術人員借助本公開所執行的常規程式。
現在參照附圖描述本發明主題。附圖中示意的各種結構、系統及裝置是出於解釋目的以及避免模糊本公開與本領域技術人員已知的細節。但是,本公開包括該些附圖以描述並解釋實施例。這裡所用的詞語和片語的意思應當解釋為與相關領域技術人員對該些詞語及片語的理解一致。這裡的術語或片語的連貫使用並不意圖暗含特別的定義,亦即與本領域技術人員所理解的通常慣用意思不同的定義。若術語或片語意圖具有特定意義,亦即不同于本領域技術人員所理解的意思,則此類特別定義會以直接明確地提供該術語或片語的特定定義的定義方式明確表示於說明書中。
本發明提供利用犧牲閘電極及犧牲自對準接觸結構形成半導體裝置的多種方法。在一些情況下,該裝置可包 括高介電常數介電材料(介電常數值大於10)以及含金屬電極材料。在閱讀本申請後,本領域技術人員將很容易瞭解,本方法適用於多種技術,例如NMOS、PMOS、CMOS等,很容易適用於多種裝置,包括,但不限於,邏輯裝置、記憶體裝置等。下面將參照第1A至1N圖更詳細描述這裡揭露的該方法的不同實施例。
第1A圖為形成於半導體基板10上方處於早期製造階段的半導體裝置200的簡化視圖。基板10可具有多種組態,例如所示的塊體矽組態。基板10還可具有絕緣體上矽(silicon-on-insulator;SOI)組態,其包含塊體矽層,絕緣埋層以及主動層,其中,半導體裝置形成於該主動層之中及其上方。因此,術語基板或半導體基板應理解為涵蓋所有形式的半導體結構。基板10還可由矽以外的其他材料製成。
裝置200通常包括形成於基板10上方示例的多個閘極電極結構212。在一示例實施例中,各該示例的閘極電極結構212包含示例的犧牲電極絕緣層212A及犧牲閘電極212B。第1A圖中還顯示形成於犧牲閘電極212B上方的閘極覆蓋層214、與犧牲閘極電極212B相鄰的側間隙壁216,以及接觸蝕刻停止層218。當然,本領域的技術人員將意識到,為避免模糊本發明,實際半導體裝置的許多特徵沒有在附圖中描述。例如,可在閘極電極結構212之間或閘極電極結構212的組群之間的基板10中形成一個或多個隔離結構(未圖示),以單獨隔離最終形成於裝置200上的各電晶體裝置(或組群)。又例如,在形成側間隙壁 216之前,可執行多個離子注入製程,以在基板10中形成所謂的環狀(halo)注入區以及源極/汲極區,但為避免模糊本發明,附圖中未顯示此類區域。又例如,當製造一個或多個PMOS電晶體時,可僅在鄰近這樣一PMOS電晶體的閘極電極結構212的基板10中形成多個凹部,且可在該些凹部中形成磊晶生長的矽-鍺材料。該磊晶的矽-鍺材料有助於給予該PMOS電晶體的通道區理想的壓縮應力。出於清楚目的,附圖中未顯示此類矽-鍺材料。在這裡所述的實施例中,裝置200描述為具有平面源極/汲極區(未圖示),其形成於閘極電極結構212之間的基板10中。不過,在其他情況下,裝置200還可具有擡升式源極/汲極區(raised source/drain region)。
示例的犧牲閘極絕緣層212A可由例如二氧化矽製成,且示例的犧牲閘電極212B可由例如鍺化矽、氮化矽、或相對用於形成後面將詳細描述的犧牲自對準接觸結構的材料而可選擇性蝕刻的任意其他材料製成。而且,在一些情況下,閘極絕緣層212A不一定是犧牲層,其可為電晶體裝置的最終閘極絕緣層。不過,在這裡所述的示例實施例中以及下面的詳細描述中,當最終移除犧牲閘電極212B時,犧牲閘極絕緣層212A也可被移除,並由一個或多個額外的閘極絕緣材料層替代,該一個或多個額外的閘極絕緣材料層可包含高k介電層(介電常數大於10的材料)例如氧化鉿、氧化鋯等。
第1A圖中所示的各種結構及元件的組態及組成還可 根據實際應用而變化,且可利用本領域技術人員已知的技術進行製造。例如,犧牲閘極絕緣層212A可為二氧化矽層和/或高介電常數材料層(介電常數值大於10),並可藉由執行熱生長製程或藉由沈積製程形成。在一示例實施例中,犧牲閘電極212B可為鍺化矽、氮化矽等所組成。在一些情況下,閘極電極結構212的間隔較為緊密,例如其具有閘極間距211約為78至90奈米,且具有閘極長度213約為30奈米。在另一範例中,閘極覆蓋層214、側間隙壁216以及接觸蝕刻停止層218可全部由氮化矽製成,不過它們還可由不同的材料製成,而無需全部由同一材料製成。在一示例實施例中,閘極覆蓋層214的厚度約40奈米,側間隙壁216的厚度約10至15奈米。還可在間隙壁216與犧牲閘電極212B之間設置襯裡(liner)(未圖示)。
在典型流程中,在基板10中首先形成隔離結構(未圖示)。接著,在基板10的主動區上方形成犧牲閘極絕緣層212A,例如可在基板10上熱生長二氧化矽層。然後,在基板10上覆被沈積(blanket deposit)犧牲閘電極212B的材料層,例如鍺化矽,接著在該犧牲閘電極材料層上覆被沈積閘極覆蓋層214的材料,例如氮化矽。隨後,在閘極覆蓋層214的該材料層上方形成圖案化遮罩層(未圖示),例如光阻材料。接著,在該閘極覆蓋材料層上及該犧牲閘電極212B的材料上執行一個或多個蝕刻製程,以定義第1A圖所示的閘極覆蓋層214及犧牲閘電極212B。接著,在基板10上方覆被沈積間隙材料層,例如氮化矽,並執行 非等向性蝕刻製程以形成側間隙壁216。接觸蝕刻停止層218可由氮化矽製成,其厚度約4奈米,其可藉由執行已知多種沈積製程的任意一者形成,例如等離子增強型原子層沈積製程(plasma-enhanced atomic layer deposition process;PEALD)。在一些實施例中,間隙壁216與犧牲閘電極212B之間可設有襯裡層(未圖示)或其他間隙壁(未圖示)。因此,將間隙壁216簡略表示為“第一”間隙壁並不意味著這樣一間隙壁照字面地是製造裝置200時形成的第一個間隙壁。另外,當說明書中或申請專利範圍中提到側間隙壁“鄰近”或“相鄰”一結構或元件形成時,例如閘極電極,應將此類語言理解為其涵蓋此類間隙壁實際接觸該結構或元件的情況,以及該間隙壁與該結構或元件之間具有一個或多個中間層材料的情況。
接著,如第1B圖所示,在裝置200上方形成絕緣材料層220。絕緣材料層220可由多種不同的材料製成,例如可流動材料,例如旋塗(spin-on)玻璃、可流動氧化物等,且其厚度約為400至600奈米。在一些情況下,在沈積於裝置200上後,可對絕緣材料層220執行退火製程。在一範例中,初步形成的絕緣材料層220的厚度大於閘極電極結構212的整體高度。隨後,執行蝕刻製程以相對閘極覆蓋層214的頂部表面214S使絕緣材料層220的頂部表面220S有效凹入。接著,可在基板10上覆被沈積第二絕緣材料層221並在層221上執行化學機械抛光(chemical mechanical polishing;CMP),以使層221的剩餘部分的表 面221S與閘極覆蓋層214的表面214S大致齊平。在一示例實施例中,第二絕緣材料層221可為高密度等離子(high density plasma;HDP)氧化物層。當第一絕緣材料層220例如為可流動氧化物時,HDP氧化物層221可在後面執行的特定蝕刻製程中有效保護下方的可流動氧化物。
接著,如第1C圖所示,在裝置200上方形成示例的犧牲材料層230。在一示例實施例中,犧牲材料層230可由以矽氧烷為主的材料組成,其為霍尼韋爾(Honeywell)公司出售的DUOTM 248、DUOTM 193、AccufillTM T-28等材料的其中一者,厚度範圍約為50至100奈米。一般而言,在一實施例中,犧牲材料層230的材料像氧化物一樣可蝕刻(藉由反應離子蝕刻(reactive ion etching;RIE)),但相對氧化物可選擇性剝離(藉由濕蝕刻或乾蝕刻)。示例的犧牲材料層230可由多種技術例如旋塗製程(spin-coating process)形成。通常,犧牲材料層230的形成製程不包括退火製程。就反應離子蝕刻製程及CMP製程而言,前述DUOTM 材料及AccufillTM 材料的表現類似二氧化矽,但該些材料相對二氧化矽及氮化矽可選擇性濕蝕刻。而且,當氧化DUOTM 材料及AccufillTM 材料以移除有機材料時,可利用稀氫氟酸浴剝離該些材料(與利用四乙氧基矽烷(tetraethoxysilane;TEOS)製作的二氧化矽層相比大於200:1)。不過,當DUOTM 材料及AccufillTM 材料未氧化時,可利用溶劑型剝離劑,例如由EKC銷售的用於剝離光阻材料的各種以酒精為主的材料,例如EKC270,剝離 該些材料(與利用TEOS製作的二氧化矽層相比大於10000:1)。第1C圖還顯示形成於裝置200上方示例的圖案化遮罩層226,例如光阻,其具有遮罩開口226A。如第1C圖所示,遮罩層226的邊緣位於下方閘極電極結構212的至少其中部分的上方。
接著,如第1D圖所示,執行一個或多個蝕刻製程以移除遮罩開口226A內暴露的犧牲材料層230、第二絕緣材料層221以及第一絕緣材料層220。此製程從而定義多個接觸開口224,隨後將在該些接觸開口224中形成自對準接觸。可依據特定的應用改變接觸開口224以及最終形成於接觸開口224中的自對準接觸的尺寸、形狀、位置及組態。在所示範例中,接觸開口224具有示例的矩形組態。不過,接觸開口224以及最終的自對準接觸可具有任意理想的形狀或組態。
當犧牲材料層230由DUOTM 材料以及AccufillTM 材料製成時,該些材料的蝕刻趨向於使以氧化物為主的材料的蝕刻相對氮化矽的選擇性更強,從而有助於保留接觸開口224底部的氮化矽接觸蝕刻停止層218。或者,由於閘極電極結構212由氮化矽材料所包覆,因此可不形成犧牲材料層230而直接蝕刻層221、220。該蝕刻製程還略微降低遮罩開口226A內覆蓋層214的厚度及間隙壁216的高度。
接著,如第1E圖所示,剝離遮罩層226及犧牲材料層230的剩餘部分並在裝置200上覆被沈積材料層227。層227用於後續形成裝置200的多個犧牲接觸結構。當層227 和犧牲閘電極212B暴露於同一蝕刻製程時,構成層227的材料相對犧牲閘電極212B的材料應當可選擇性蝕刻。在一示例範例中,層227可為非晶矽、矽等,其在閘極電極結構212上方的厚度約為60至80奈米,且可藉由執行多種已知沈積製程例如化學氣相沈積(chemical vapor deposition;CVD)製程形成。在一特定示例範例中,若犧牲閘電極212B由鍺化矽製成,則層227可由非晶矽製成。一般而言,層227應當具有足夠的厚度,以可靠地填充接觸開口224。
接著,如第1F圖所示,執行CMP製程以移除位於接觸開口224外部的層227的多餘部分,從而形成多個犧牲接觸結構227C。該CMP製程停止於閘極覆蓋層214上。
接著,如第1G圖所示,執行蝕刻製程以移除閘極覆蓋層214並暴露犧牲閘電極212B,以供後續處理。該蝕刻製程採用相對氧化物及矽具有選擇性的化學劑,通常為包含高氧流的蝕刻製程。
接著,如第1H圖所示,對犧牲接觸結構227C及犧牲閘電極212B執行共同的蝕刻製程,以相對犧牲接觸結構227C選擇性移除犧牲閘電極212B,此製程從而形成多個閘極開口229。在該示例範例中,若犧牲閘電極212B由鍺化矽製成且犧牲接觸結構227C由非晶矽製成,則可利用SC-1執行該蝕刻製程。若使用其他材料,則有必要使用不同的蝕刻化學劑以完成相對犧牲接觸結構227C的要求選擇性移除犧牲閘電極212B。此時,犧牲閘極絕緣層212A 仍位於閘極開口229的底部。下一動作涉及形成電晶體裝置的替代閘極結構或最終閘極結構。作為該製程的一部分,犧牲閘極絕緣層212A通常由一個或多個額外的閘極絕緣層例如高介電常數閘極絕緣層替代。不過,在一些情況下,如前面所述,可保留犧牲閘極絕緣層212A作為電晶體的最終閘極絕緣層的其中一者。出於解釋目的,在後面的附圖中,顯示犧牲閘極絕緣層212A已被移除。可執行一個或多個蝕刻製程來完成犧牲閘極絕緣層212A的移除。
接著,如第1I圖所示,圖示在閘極開口229中形成電晶體裝置的替代閘極結構或最終閘極結構250(“RG”)。在充分閱讀本申請後,本領域的技術人員將意識到,替代閘極結構250可具有任意理想架構並由任意各種不同的材料組成。例如,替代閘極結構250可包含高介電常數閘極絕緣層以及一個或多個金屬層,例如氮化鈦、鋁、鈦、鉭、鑭、任意類型的工作功能調整材料等。另外,與PMOS裝置的替代閘極結構250相比,NMOS裝置的替代閘極結構250可具有不同的材料組合。因此,本發明不限於替代閘極電極結構250的架構的特定細節以及此類替代閘極電極結構250所形成的方式。
在這裡所述的示例範例中,形成替代閘極結構250,使其頂部表面250S相對周圍結構例如犧牲接觸結構227C的頂部表面227S凹陷。可依據特定應用改變該凹部231的大小。但一般而言,凹部231約為50至75奈米深。除 了別的之外,依據替代閘極結構250的架構的材料,可使用多種技術形成凹部231。在一示例範例中,如用於形成替代閘極結構250的最終金屬包括鋁,則以下述製程形成凹部231。首先,在裝置200上以及閘極開口229內覆被沈積鋁層,使其過量填充閘極開口229的剩餘未填充部分。接著,利用已知的回焊技術執行回焊製程,以將鋁轉換為TiAl3 。隨後,執行CMP製程,以移除位於閘極開口229上方及外部的多餘鋁,亦即,該CMP製程導致該鋁層與犧牲接觸結構227C的上表面227S大致齊平。在該CMP製程後,利用例如ACT970 AlOx剝離劑執行蝕刻製程,以移除部分鋁,從而可精確控制凹部231的深度。
接著,如第1J圖所示,在裝置200上方覆被沈積保護材料層233。層233可由多種材料組成,例如氮化矽、氮氧化矽等。可利用例如CVD製程形成層233,且層233的厚度應當使其能夠可靠地過量填充凹部231。
接著,如第1K圖所示,執行CMP製程以移除層233的多餘部分並暴露犧牲接觸結構227C的上表面227S以供後續處理。藉由該CMP製程,保護覆蓋層233A得以定義,保護覆蓋層233A可在後續處理中保護下方的替代閘極結構250。在一示例實施例中,保護覆蓋層233A的厚度約為20奈米。
接著,如第1L圖所示,執行蝕刻製程以移除暴露的犧牲接觸結構227C,從而形成多個自對準接觸開口235。在該示例範例中,若犧牲接觸結構227C由非晶矽組成, 則可利用四甲基氫氧化銨(tetra-methyl ammonium hydroxide;TMAH)執行該蝕刻製程。
接著,如第1M圖所示,執行蝕刻製程以移除位於自對準接觸開口235底部的接觸蝕刻停止層218的暴露部分,且在自對準接觸開口235底部的基板10中形成多個金屬矽化物區236,以有助於與先前形成於基板10中的源極/汲極區(未圖示)接觸。可利用傳統的矽化物形成技術來形成金屬矽化物區236。金屬矽化物區236可由任意類型的難熔金屬材料形成,例如鎳、鉑等,或其組合。在該示例範例中,若金屬矽化物區236由矽化鎳製成,則可利用CVD製程沈積鎳層,執行初始退火步驟,剝離未反應鎳材料,接著執行第二退火步驟,從而形成該些金屬矽化物區域。本發明不限於金屬矽化物區236的特定材料及其形成方式。
接著,如第1N圖所示,形成裝置200的下一製程涉及形成多個圖示的自對準導電接觸240(“SAC”),其電性耦接金屬矽化物區236。可藉由執行現有技術形成自對準導電接觸240,且自對準導電接觸240可由多種不同的材料組成。例如,當自對準導電接觸240由鎢組成時,可在裝置200上方及自對準接觸開口235內沈積一個或多個阻擋層,例如鈦/氮化鈦。出於清楚目的,附圖中未顯示與形成自對準導電接觸240相關聯的任意阻擋層。隨後,可在裝置200上方覆被沈積導電材料層,例如鎢。接著,執行CMP製程,以移除該導電材料層的多餘部分,從而定義自 對準接觸240C。若自對準接觸240由鋁組成,則採用如前所述形成替代閘極結構250的其中部分的製程,亦即,沈積鋁層,接著執行回焊製程,接著執行CMP製程以移除多餘材料,從而形成接觸240。
從此點之後,可在裝置200上執行額外的處理操作,例如利用已知技術在裝置200上方形成額外的金屬化層(未圖示)。該些額外金屬化層的導電結構還可由多種材料組成,例如銅。當然,金屬化層的總數可依據製造的特定裝置而變化。
由於本領域技術人員可借助這裡的教導很容易地以不同但等同的方式修改並實施本發明,因此上述特定的實施例僅為說明性質。例如,可以不同的順序執行上述製程步驟。而且,本發明並不限於這裡所示架構或設計的細節,而是如下面的權利要求所述。因此,顯然,可對上面揭露的特定實施例進行修改或變更,所有此類變更落入本發明的範圍及精神內。因此,下面的申請專利範圍闡述本發明的保護範圍。
10‧‧‧半導體基板
200‧‧‧半導體裝置、裝置
212A‧‧‧犧牲電極絕緣層
216‧‧‧側間隙壁
218‧‧‧接觸蝕刻停止層
220‧‧‧絕緣材料層
227C‧‧‧犧牲接觸結構
229‧‧‧閘極開口

Claims (20)

  1. 一種形成半導體裝置之方法,包括:形成兩相互隔開的犧牲閘電極,該犧牲閘電極由第一材料組成;在該兩相互隔開的犧牲閘電極之間形成由第二材料組成的犧牲接觸結構,其中,該第二材料相對該第一材料為可選擇性蝕刻;執行共同的第一蝕刻製程,以選擇性相對該犧牲接觸結構選擇性移除該兩相互隔開的犧牲閘電極結構;以及選擇性移除該兩相互隔開的犧牲閘電極結構後,執行第二蝕刻製程,以移除整個該犧牲接觸結構。
  2. 如申請專利範圍第1項所述之方法,其中,移除該兩犧牲閘電極包括形成兩各自的閘極開口,以及其中,該方法進一步包括在各該兩各自的閘極開口中形成替代閘極電極結構。
  3. 如申請專利範圍第2項所述之方法,進一步包括在各該替代閘極電極結構上方形成保護覆蓋層,其中,移除該犧牲接觸結構包括執行該第二蝕刻製程,以選擇性移除相對該覆蓋層之該犧牲接觸結構。
  4. 如申請專利範圍第3項所述之方法,其中,移除該犧牲接觸結構包括在該替代閘極電極結構之間形成自對準接觸開口該方法進一步包括在該自對準接觸開口中形成最終自對準接觸結構。
  5. 如申請專利範圍第1項所述之方法,其中,該第一材料為矽-鍺及氮化矽的其中一者,以及該第二材料為矽。
  6. 如申請專利範圍第2項所述之方法,其中,該替代閘極電極結構包括金屬層以及高介電常數介電材料。
  7. 如申請專利範圍第4項所述之方法,其中,該最終自對準接觸結構包括金屬。
  8. 如申請專利範圍第2項所述之方法,其中,該犧牲閘電極之該各者形成於犧牲閘極絕緣層上方,且其中,該方法進一步包括在移除該兩相互隔開的犧牲閘電極結構後,在該閘極開口中形成該替代閘極電極結構之前移除該犧牲閘極絕緣層。
  9. 如申請專利範圍第4項所述之方法,其中,在該自對準接觸開口中形成該最終自對準接觸結構之前,在形成於半導體基板中的源極/汲極區上形成金屬矽化物區,且其中,該方法進一步包括形成該最終自對準接觸,以導電接觸該金屬矽化物區。
  10. 如申請專利範圍第2項所述之方法,其中,該替代閘極電極由TiAl3 組成。
  11. 如申請專利範圍第1項所述之方法,其中,該兩相互隔開的犧牲閘電極形成於該犧牲接觸結構的該形成之前。
  12. 如申請專利範圍第1項所述之方法,進一步包括至少在該兩相互隔開的犧牲閘電極之間形成蝕刻停止層,其中,形成該犧牲接觸結構包括在該蝕刻停止層上方 和該兩相互隔開的犧牲閘電極上方形成包括該第二材料之層。
  13. 如申請專利範圍第3項所述之方法,其中,移除該犧牲接觸結構進一步包括執行該第二蝕刻製程,以選擇性移除相對至少形成在該替代柵極電極結構之間之該蝕刻停止層之該犧牲接觸結構。
  14. 如申請專利範圍第1項所述之方法,其中,形成該犧牲接觸結構包括:以絕緣材料完全填充該兩相互隔開的犧牲閘電極之間之空間;從該空間移除整個該絕緣材料;以及以包括該第二材料之材料層重新填充該空間。
  15. 一種形成半導體裝置之方法,包括:在半導體基板上方形成兩相互隔開的犧牲閘電極,該犧性閘電極由第一材料組成;在該兩相互隔開的犧牲閘電極之間形成由第二材料組成的犧牲接觸結構,其中,該第二材料相對該第一材料為可選擇性蝕刻;在該兩相互隔開的犧牲閘電極及該犧牲接觸結構上執行共同的蝕刻製程,以選擇性相對該犧牲接觸結構選擇性移除該兩相互隔開的犧牲閘電極結構,其中該兩犧牲閘電極的該移除導致兩閘極電極開口的形成;在各該閘極開口中形成替代閘極電極結構;在各該替代閘極電極結構上方形成保護覆蓋層; 於形成該保護覆蓋層後,在該犧牲接觸結構上執行另一蝕刻製程,以移除整個該犧牲接觸結構,且從而定義在該替代閘極電極結構之間的自對準接觸開口;以及在該自對準接觸開口中形成最終自對準接觸結構。
  16. 如申請專利範圍第15項所述之方法,其中,該第一材料為矽-鍺及氮化矽的其中一者,且該第二材料為矽。
  17. 如申請專利範圍第15項所述之方法,其中,各該替代閘極電極結構包括金屬層以及高介電常數介電材料。
  18. 如申請專利範圍第15項所述之方法,其中,形成該犧牲接觸結構進一步包括執行平坦化製程,以從該兩相互隔開的犧牲閘電極上方移除包括該第二材料之該層。
  19. 如申請專利範圍第15項所述之方法,其中,形成該犧牲接觸結構包括:以絕緣材料完全填充該兩相互隔開的犧牲閘電極之間之空間;從該空間移除整個該絕緣材料;以及以包括該第二材料之材料層重新填充該空間。
  20. 一種形成半導體裝置之方法,包括:形成兩相互隔開的犧牲閘電極,該犧牲閘電極由第一材料組成;形成由第二材料組成的犧牲接觸結構,該第二材料相對該第一材料為可選擇性蝕刻,其中,形成該犧 牲接觸結構包括;至少在該兩相互隔開的犧牲閘電極之間形成蝕刻停止層;在該蝕刻停止層上方和該兩相互隔開的犧牲閘電極上方形成包括該第二材料之層;執行平坦化製程,以從該兩相互隔開的犧牲閘電極上方移除包括該第二材料之該層;執行共同的第一蝕刻製程,以選擇性相對該犧牲接觸結構選擇性移除該兩相互隔開的犧牲閘電極結構;以及選擇性移除該兩相互隔開的犧牲閘電極結構後,執行第二蝕刻製程,以移除該犧牲接觸結構。
TW101144426A 2011-11-28 2012-11-28 使用犧牲閘電極形成半導體裝置之方法及犧牲自對準接觸結構 TWI488226B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/305,131 US8614123B2 (en) 2011-11-28 2011-11-28 Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures

Publications (2)

Publication Number Publication Date
TW201334042A TW201334042A (zh) 2013-08-16
TWI488226B true TWI488226B (zh) 2015-06-11

Family

ID=48467266

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101144426A TWI488226B (zh) 2011-11-28 2012-11-28 使用犧牲閘電極形成半導體裝置之方法及犧牲自對準接觸結構

Country Status (3)

Country Link
US (1) US8614123B2 (zh)
CN (1) CN103137554B (zh)
TW (1) TWI488226B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8865595B2 (en) * 2012-01-05 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for forming partially self-aligned trenches
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US8890262B2 (en) * 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9123563B2 (en) 2014-01-17 2015-09-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming contact structure of gate structure
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US9202751B2 (en) * 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
KR102224386B1 (ko) 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
CN104867867A (zh) * 2015-04-16 2015-08-26 上海集成电路研发中心有限公司 Apf的侧墙形成方法
US9653356B2 (en) * 2015-08-10 2017-05-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
US10164067B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device
EP3920212A1 (en) 2016-12-30 2021-12-08 INTEL Corporation Contact architecture for capacitance reduction and satisfactory contact resistance
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10934485B2 (en) * 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
US10957589B2 (en) * 2017-11-30 2021-03-23 Mediatek Inc. Self-aligned contact and method for forming the same
US10497612B2 (en) * 2017-12-11 2019-12-03 Globalfoundries Inc. Methods of forming contact structures on integrated circuit products
US11031295B2 (en) 2019-06-03 2021-06-08 International Business Machines Corporation Gate cap last for self-aligned contact
US11069610B2 (en) 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060017098A1 (en) * 2004-07-20 2006-01-26 Doczy Mark L Semiconductor device with a high-k gate dielectric and a metal gate electrode
US20110156107A1 (en) * 2009-12-30 2011-06-30 Bohr Mark T Self-aligned contacts

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
US6010935A (en) 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
US6245605B1 (en) 1998-09-29 2001-06-12 Texas Instruments Incorporated Method to protect metal from oxidation during poly-metal gate formation in semiconductor device manufacturing
US6258714B1 (en) 1999-04-01 2001-07-10 Alliance Semiconductor Corporation Self-aligned contacts for salicided MOS devices
US6248643B1 (en) 1999-04-02 2001-06-19 Vanguard International Semiconductor Corporation Method of fabricating a self-aligned contact
TW436985B (en) 1999-05-25 2001-05-28 Vanguard Int Semiconduct Corp Method for making self-aligned contact
KR100350056B1 (ko) * 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6303418B1 (en) * 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6861751B2 (en) 2002-12-09 2005-03-01 Integrated Device Technology, Inc. Etch stop layer for use in a self-aligned contact etch
US7605414B2 (en) 2005-01-24 2009-10-20 Macronix International Co., Ltd. MOS transistors having low-resistance salicide gates and a self-aligned contact between them
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
DE102007046849B4 (de) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102009039521B4 (de) * 2009-08-31 2018-02-15 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
US8445371B2 (en) 2010-04-07 2013-05-21 International Business Machines Corporation Self-aligned contacts

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060017098A1 (en) * 2004-07-20 2006-01-26 Doczy Mark L Semiconductor device with a high-k gate dielectric and a metal gate electrode
US20110156107A1 (en) * 2009-12-30 2011-06-30 Bohr Mark T Self-aligned contacts

Also Published As

Publication number Publication date
TW201334042A (zh) 2013-08-16
US20130137257A1 (en) 2013-05-30
CN103137554A (zh) 2013-06-05
US8614123B2 (en) 2013-12-24
CN103137554B (zh) 2016-07-06

Similar Documents

Publication Publication Date Title
TWI488226B (zh) 使用犧牲閘電極形成半導體裝置之方法及犧牲自對準接觸結構
US10347729B2 (en) Device for improving performance through gate cut last process
US10249726B2 (en) Methods of forming a protection layer on a semiconductor device and the resulting device
US9553028B2 (en) Methods of forming reduced resistance local interconnect structures and the resulting devices
KR101204675B1 (ko) 커패시터 및 금속 콘택을 포함하는 반도체 소자 및 형성 방법
JP5709265B2 (ja) シリコン貫通ビアを製作する方法
CN108615702B (zh) 具互连结构的半导体装置与其制作方法
US8736069B2 (en) Multi-level vertical plug formation with stop layers of increasing thicknesses
US9917009B2 (en) Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
US8927407B2 (en) Method of forming self-aligned contacts for a semiconductor device
US8853810B2 (en) Integrated circuits that include deep trench capacitors and methods for their fabrication
JP2011530812A5 (zh)
US8058734B2 (en) Semiconductor device and method of manufacturing the same
US10153285B2 (en) Formation method of semiconductor device with embedded capacitor
US8519482B2 (en) Reliable contacts
US8946019B2 (en) Semiconductor device comprising a buried capacitor formed in the contact level
TW201924068A (zh) 具有接觸增強層之fdsoi半導體裝置及製造方法
JP2008205032A (ja) 半導体装置
TWI223380B (en) Semiconductor device and method of fabricating the same
US9786595B1 (en) Antifuse having comb-like top electrode
US11127675B2 (en) Interconnection structure and manufacturing method thereof
TWI570926B (zh) 埋入式電阻
KR102000136B1 (ko) 자기정열 추가 패드를 갖는 반도체 및 반도체 제조 방법
JP2012222088A (ja) 半導体装置
JP2004079902A (ja) 導電性接触構造及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees