TWI462283B - 藉由分布於接觸結構中的熱電偶而進行之半導體裝置中之溫度監視 - Google Patents

藉由分布於接觸結構中的熱電偶而進行之半導體裝置中之溫度監視 Download PDF

Info

Publication number
TWI462283B
TWI462283B TW097149100A TW97149100A TWI462283B TW I462283 B TWI462283 B TW I462283B TW 097149100 A TW097149100 A TW 097149100A TW 97149100 A TW97149100 A TW 97149100A TW I462283 B TWI462283 B TW I462283B
Authority
TW
Taiwan
Prior art keywords
temperature
semiconductor device
circuit
conductive line
conductive
Prior art date
Application number
TW097149100A
Other languages
English (en)
Other versions
TW200941720A (en
Inventor
Anthony Mowry
Casey Scott
Roman Boschke
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW200941720A publication Critical patent/TW200941720A/zh
Application granted granted Critical
Publication of TWI462283B publication Critical patent/TWI462283B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/02Means for indicating or recording specially adapted for thermometers
    • G01K1/026Means for indicating or recording specially adapted for thermometers arrangements for monitoring a plurality of temperatures, e.g. by multiplexing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/14Supports; Fastening devices; Arrangements for mounting thermometers in particular locations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/028Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples using microstructures, e.g. made of silicon
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K3/00Thermometers giving results other than momentary value of temperature
    • G01K3/08Thermometers giving results other than momentary value of temperature giving differences of values; giving differentiated values
    • G01K3/14Thermometers giving results other than momentary value of temperature giving differences of values; giving differentiated values in respect of space
    • G01K2003/145Hotspot localization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K2217/00Temperature measurement using electric or magnetic components already present in the system to be measured
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N19/00Integrated devices, or assemblies of multiple devices, comprising at least one thermoelectric or thermomagnetic element covered by groups H10N10/00 - H10N15/00

Description

藉由分布於接觸結構中的熱電偶而進行之半導體裝置中之溫度監視
本發明大體上係關於積體電路的形成,且尤係關於半導體裝置中增強的熱感測技術。
積體電路的製造需要許多電路元件(例如電晶體等等)依據具體電路佈局(circuit layout)來形成在給定的晶片區域上。一般說來,目前實行有複數個製程技術,其中,對於複雜電路系統(circuitry)而言,例如微處理器、儲存晶片、ASIC(特殊用途積體電路(application specific IC))等等,CMOS技術是現在最有前景之方法的其中一者,這是因為考慮到其操作速度及/或電力消耗及/或成本效率上的優越特性之故。在使用CMOS技術的複雜積體電路的製造過程中,數百萬的互補電晶體(也就是N通道電晶體與P通道電晶體)係形成在包含給晶半導體層的基材上。MOS電晶體(不論考慮的是N通道電晶體或是P通道電晶體)包括所謂的PN接面(PN junction),該PN接面係藉由在高濃度摻雜(highly doped)之汲極與源極區域和配置於該汲極區域與源極區域之間的反相或弱濃度摻雜(weakly doped)之通道區域的介面來形成。
通道區域的導電性(也就是導電通道的驅動電流能力)是由形成在該通道區域之上且藉由薄絕緣層而與該通道區域分隔的閘極電極(gate electrode)來控制。該通道區域的導電性(在由於施加適當控制電壓至該閘極電極以形成導電通道之後)取決於摻雜物(dopant)濃度、多數電荷載子(charge carrier)的移動率(mobility),且對於朝該電晶體寬度方向之該通道區域的給定延伸度而言,取決於該源極與汲極區域之間的距離(也稱為通道長度)。因此,結合在施加控制電壓至閘極電極之後迅速在絕緣層下面產生導電通道的能力,通道區域的導電性實質上決定MOS電晶體的效能。
由於縮減的裝置尺寸而導致積體電路增加的裝填密度(packing density)已經造成將愈來愈多功能併入至單一半導體晶粒中。再者,縮減的特徵尺寸也可能伴隨個別電晶體之縮減的開關速度(switching speed),藉此促成MOS電路中的電力消耗增加,這是因為縮減的開關速度允許電晶體操作在較高的開關頻率,其轉而增加整個裝置的電力消耗之故。在使用密集裝填之積體電路的複雜應用中,由於高操作頻率所造成的動態損失、且因為可由極薄閘極電介質、短通道效應(short channel effect)等等造成增加的漏電流(leakage current)而結合高規模(highly scaled)電晶體裝置的重大靜態電力消耗,所以熱產生(heat genreration)可達到極高值。因此,正努力地藉由對電路設計中將高效能電晶體(通常導致較高之熱產生)的使用限制於效能關鍵(performance-critical)訊號路徑,同時在其他電路區域中使用較少的關鍵裝置,以減低整體電力消耗。再者,可依據半導體晶粒中的熱情況實行適當機制以操作某些「經要求的(on demand)」電路部分與控制局部或總體操作條件。由於半導體裝置的封裝件的延遲熱響應(delayed thermal response)與可能不足的空間溫度解析度(spatial temperature resolution)之故,外部的熱管理系統可能無法達成晶粒內部溫度分布(die-internal temperature distribution)的可靠估計,所以可能必須設計個別的外部概念,以考慮這些限制並提供關於熱控制的足夠操作邊界(operational margin),不然就要冒過熱(overheating)的風險,以及因此可能之特定關鍵電路部分的破壞。
因此,半導體產品的製造商愈來愈偏好精確內部溫度量測,其實質上不依據可能會受到外部侵擾(external tampering)的外部裝置條件與專用熱硬體組件,同時也避免經由該裝置封裝件的慢溫度響應。為了這個目的,典型上可把複雜的熱監控制度(heat monitoring regime)併入積體電路的整體設計中,而能夠達成裝置內部的(device-internal)熱管理而不問外部條件為何。所以,典型上在複雜裝置(例如CPU、ASIC等等)中履行晶粒內部的溫度量測,以便提供用以藉由減低操作頻率、關斷個別電路部分等等來控制整體操作的裝置內部資料。因此,個別之晶粒內部熱管理系統依靠精確的溫度量測。在許多方法中,完成用以量測該晶粒內部溫度或溫度梯度(temperature gradient)的技術是藉由在該晶粒附近放置溫度敏感(temperature-sensitive)電路,以局部地判定溫度。之後可結合許多溫度量測以提供晶粒溫度的總體量測,同時也允許依照該溫度敏感電路的分布來局部評估(assessment)遍及該晶粒的熱狀況。因此愈期望所量測之溫度分布(temperture profile)有較高的空間解析度,愈需要較多的溫度感測位置與因而較多的個別感測器電路。然而,併入複數個溫度敏感電路可能導致半導體晶粒的有用基板面(real estate)的顯著「消耗(consumption)」,這典型上可造成在實際電路部分與溫度敏感區域之間的電路設計過程中的「競爭(competitive)」狀態。因此,相較於該「實際」電路部分,該溫度敏感電路部分時常以降低優先權來被對待,最後可能導致該溫度敏感電路的放置少於理想溫度感測位置的電路設計。舉例來說,可操作在較高速度或頻率之裝置的效能關鍵(performance-critical)電路部分的設計可能不相容於這些關鍵區域中的感測器元件的設置,其係例如由於訊號路線安排(signal routing)的非期望加長與速度的非期望減少所致。所以,雖然這些效能關鍵區域通常產生顯著較高量的熱,但是可能無法可靠地量測這些「熱點(hot spot)」的溫度,這是因為溫度敏感電路受到設計限制而置於遠離的位置之故。因此,在此情形中,可能發生效能關鍵區域的損壞或可能需要個別熱管理策略來考慮量測資料的不一致(discrepancy)與該效能關鍵區域中的實際熱狀況。同樣地,溫度敏感電路的熱響應可能被材料與結構(可能設置在該溫度敏感電路的鄰近處者)的屏蔽效應(shielding effect)所影響。舉例來說,由於埋設的絕緣層(實際「主動」裝置層係形成於其上)所造成的SOI(絕緣層上覆矽(silicon-on-insulator))裝置之減低的熱消散能力(heat dissipation capability),SOI裝置中的瞬間溫度(momentary temperature)的對應感測是特別重要,此外,該溫度敏感電路的設計相依放置(design-dependent positioning)可能進一步促成在複雜SOI裝置中的較少效率的整體溫度管理。
對於熱感測的應用,經常可使用適當的二極體(diode)結構,其中,該二極體的對應特性可容許基於該二極體結構的鄰近處中的熱條件而獲得資訊。基於二極體結構所獲得的個別量測資料的敏感度與準確性可能會顯著地依存於二極體特性(亦即,依存於二極體的電流/電壓特性),該等特性可能依存於溫度和其他參數。對於熱感測應用而言,典型上期望提供實質上「理想的」二極體特性,以提供用以準確地估計半導體裝置內的溫度狀況的可能性。在SOI裝置中,對應的二極體結構(也就是個別的PN接面)典型上形成在位於埋設絕緣層下面的基材材料中,該絕緣層上面形成有用以形成電晶體元件於其中的「主動」半導體層。因此,除了該埋設絕緣層的屏蔽效應之外,可能需要至少一些額外製程步驟以例如蝕刻貫穿半導體層或對應之溝槽隔離區域(trench isolation area)與貫穿埋設的絕緣層,以便外露結晶基材材料,因此造成整體製程複雜度。再者,結合有適當評估電路的溫度感測二極體也可能受到如上述的相似設計限制,不論考慮的是基體(bulk)架構或SOI架構皆然。因此,現在利用的晶粒內部溫度監控機制雖然在外部溫度管理系統上提供有顯著優勢,但可能會有增加晶粒消耗面積、減少對於熱點的鄰近與該溫度敏感電路的熱隔絕的問題,如將簡短地參照第1圖來討論者。
第1圖示意地圖示半導體裝置100的上視圖,其可以包含一個或多個複雜電路(例如CPU、記憶體裝置、輸入/輸出電路系統等等)的半導體晶粒的形式來提供。如前所解釋的,該半導體裝置100可依據整體設計準則而具有不同效能特性的電路部分,例如速度關鍵(speed-critical)訊號路徑等等。此外,舉例來說,可以記憶體區域(例如靜態RAM區域、動態RAM區域等等)的形式提供高密集電路區域。舉例來說,裝置區域110可代表包含複數個高效能電路元件(例如如前解釋的可促成漏電流增加的具有減少通道長度且結合有薄閘極絕緣層的電晶體元件)的區域。因此,在操作該裝置100之後,該區域110中可產生顯著的熱。再者,區域111可代表下述之裝置區域,亦即在該裝置區域中,整體電路設計對於併入溫度監控電路系統與感測器係有嚴格限制,因此相對於該高效能區110需要某些距離。再者,在相容於該整體電路設計的某些裝置區域處,典型上提供有複數個溫度敏感電路120(包含溫度敏感元件,例如二極體等等),並結合用以接收與評估或處理溫度相依(temperature-dependent)訊號的個別支援電路系統。應了解該半導體裝置100的整體結構可包括任何適當基材材料(例如矽等等),在該基材材料上面典型地形成適當半導體層,例如根據考慮的技術標準而讓個別電路元件(例如電晶體、電容器、二極體等等)形成於其中與上面的矽基(silicon-based)材料。
各自電路元件的電性連接通常可能不是完成在製造該電路元件的相同階層(level)上,而可能需要複數個額外接線層(wiring layer)(也稱為金屬化層(metallization layer)),其中,可包括適當金屬(例如鋁、銅等等)的高導電金屬線(highly conductive metal line)可依據具體電路佈局來排定路線(route)。複數個金屬化層藉由個別通孔(via)(也就是連接金屬線與相鄰堆疊金屬化層的金屬區的由垂直金屬填滿的(metal-filled)接觸元件)來彼此互連(interconnect)。再者,所謂的接觸結構是基於圍住電路元件(例如該電晶體與電容器等等)的適當電介質材料來提供的,而該接觸結構係作為對於第一金屬化層的介面。在該接觸結構內放置有個別的接觸元件或接觸栓塞(plug),該接觸元件或接觸栓塞連接至電路元件(例如電晶體的閘極、汲極和源極區域等等)的個別接觸區域。舉例來說,通常,氮化矽(可作為蝕刻終止材料)與隨後之二氧化矽的結合一般常見用於接觸結構的層間電介質材料。
因此,如前所解釋的,在半導體裝置100的操作過程中,熱是依據效能驅動(performance-driven)電路部分的位置(例如裝置區域110與半導體裝置100的整體組構)而以空間變化的方式(spatially varying manner)產生。舉例來說,在適度複雜之系統中,除了包含極快的開關電晶體元件的高度複雜數位電路之外,在其他情形中,不同電力等級的電路部分可整合至相同的半導體晶粒中,因此也在操作過程中產生不同量的熱。因為整體電路設計在不需要顯著的設計修改(可能伴隨效能損失等等)之情形下可能不允許溫度敏感電路120的位置在所想要的裝置區域處(例如在效能驅動電路區域110的鄰近中),故在關鍵裝置區域中實際溫度的可靠偵測可能是困難的。再者,除了較少敏感區域(例如區域111)之外,整個晶粒區域的覆蓋可能需要複數個溫度敏感電路120,該溫度敏感電路120習知地可能消耗該裝置層中(也就是也容納實際電路元件的半導體層中)有用的區域。因此,在習知裝置中,空間解析度、以及溫度相關(temperature-related)資訊的準確度可能低於期望。
本發明係針對可避免或至少減低上面指出的一個或多個問題的效應的各種方法與裝置。
下面提出本發明的簡化概要,以提供本發明的一些態樣的基本了解。此概要並不是本發明的徹底概要,並不是要識別本發明的重要或關鍵元件或描繪本發明的範疇。其唯一目的是要以簡化的形式提出一些概念,以做為之後討論更多詳細描述的前言。
一般說來,在此揭露的主題提供用以在半導體晶粒內增強溫度感測能力的半導體裝置與方法,同時減少區域消耗及/或提供評估關鍵裝置區域的溫度及/或減少熱隔絕(可由感興趣之點(point of interest)與實際感測器位置之間所存在的不適當材料造成)的較高效率。為了這個目的,本揭露可設想(contemplate)例如熱電偶(thermocouple)的溫度敏感元件可置於接觸結構中(也就是設於裝置層與金屬化層之間的電介質材料中),因為實際溫度敏感組件可置於半導體材料上面,因而減低所需之半導體區域的整體量。再者,接觸結構係緊密鄰近實際電路元件,因此提供實質上非延遲(non-delayed)熱響應的溫度敏感元件,且也維持個別感興趣之量測點的熱隔絕在低高度(low level)處。此外,溫度敏感元件可適當地在接觸結構內予以排定(例如以熱電偶的個別延伸線的形式),因此提供用以從特定感興趣之點獲得溫度敏感訊號的可能性,而用以接收溫度相依的訊號的個別相關電路系統可置於任何適當遠端位置處。因此,藉由適當排定接觸結構中的溫度敏感元件,可完成感興趣之區域的高度覆蓋,因為該接觸結構加上的設計限制相較於實際裝置層是明顯更為放鬆的(relaxed),以至於可適當地放置量測點在裝置區域上面,其設計限制可如前所解釋地不允許提供該裝置層中的溫度敏感電路系統。舉例來說,熱電偶迴路(thermocouple loop)可排定在橫越該接觸結構內的半導體晶粒,而對於溫度敏感元件的相關支援電路系統的介面可置於相容整個電路設計的任何適當位置處。
在此揭露的一個圖示半導體裝置包括形成於基材上面的半導體層與形成於該半導體層中的電路元件,其中該電路元件包括接觸區域。此外,該半導體裝置包括形成於該電路元件上面的層間電介質材料與形成於該層間電介質材料中的接觸元件,以便連接至該接觸區域。最後,該半導體裝置包括形成於該層間電介質材料中的溫度敏感元件,其中該溫度敏感元件是組構來提供溫度相依的訊號。
在此揭露的再又一圖示半導體裝置包括形成於半導體層中與上面的複數個電路元件及圍住該複數個電路元件的層間電介質材料。此外,該半導體裝置包括形成於該層間電介質材料中的熱電偶。
在此揭露的一圖示方法包括在半導體裝置的整體電路設計中選擇第一位置,其中該第一位置對應於用以藉由該半導體裝置的溫度評估電路來接收溫度訊號的裝置區域。該方法復包括選擇第二位置作為該半導體裝置中的感興趣之量測處(measurement site of interest)並在層間電介質材料中形成溫度敏感元件,該層間電介質材料是形成在該半導體裝置的電路元件與該半導體裝置的第一金屬化層之間,其中該溫度敏感元件包括從該第一位置延伸至該第二位置的導電線(conductive line)。
下面將描述本發明的各種例示實施例。為求清楚,在此說明書中並未描述實際實行的所有特徵。當然將了解到,在任何這些實際實施例的發展中,必須作出許多實作特定的(implementation-specific)決定以達成發展者的具體目標,例如遵從系統相關(system-related)與商業相關(business-related)的限制,這在各個實施例之間是有變化的。再者,將了解到這樣的發展努力可為複雜且耗時的,但是對於那些熟習具有本揭露優勢的技藝之人士將只是日常工作的進行。
本發明現在將參照附圖來描述。各種結構、系統與裝置係描繪在圖式中僅作為解釋用,而且為了不模糊本揭露而不描述熟知此技藝之人士所習知的細節。不過,仍包含附圖以描述與解釋本發明的例示範例。使用在此的單字與詞組應該了解並解釋成具有由熟知此相關技藝之人士所一致了解的那些單字與詞組的意義。在此一致使用的名稱或詞組並沒有意圖隱含特別定義的名稱或詞組(也就是,不同於熟知此技藝之人士所理解的慣用意義)。在名稱或詞組意圖具有特別意義的程度時(也就是不是熟知此技藝人士所了解的意義時),此種特別定義將以明確定義的方式在說明書中提出,並直接且不含糊地提供該名稱或詞組的特別定義。
一般說來,在此揭露的本發明是關於藉由在半導體裝置之接觸結構內提供溫度敏感元件而用於監控及/或控制半導體晶粒中的溫度分布的增強技術,以便允許該溫度敏感元件的放置相較於習知方式具有減少的限制,其中在習知方法中,該溫度敏感電路典型上可形成在裝置層中,因而可能導致如上所述的缺陷。因此,藉由「使用」接觸結構作為用以形成溫度敏感元件於其中的媒介(medium),可完成緊接鄰近實際產生熱的點,這是因為層間電介質材料係圍住個別電路元件,同時較少的約束設計限制(restrictive design constraint)也可提供適當地將溫度敏感元件之路線排定至任何期望量測點的可能性。也就是,接觸結構典型上充斥著垂直接觸栓塞或元件與個別互連結構,而相對於下面的電路元件可消耗顯著較少的區域,因此即使在下面的裝置層顯現適度高的裝填密度的裝置區域處亦能容許溫度敏感元件的有效率的路線排定。
在此揭露的某些例示態樣中,溫度敏感元件可以熱電偶的形式來提供,該熱電偶可包含在互連結構內的適當導電線,因此能夠應用廣為接受的製造技術與材料,以便獲得對現存半導體製造方案的高度相容性。熱電偶是使用熱電效應(thermo-electric effect)的裝置,其中溫度梯度可導致導體中的電壓沿著顯現溫度梯度的區延伸。為了實際存取在不同溫度處的導電材料的兩點之間的電壓,需要另外的材料來連接至考慮中的導體,其中額外的導體也受到溫度梯度的影響,因而如果導體兩者都使用相同材料,則會抵銷淨電壓。因此,必須使用顯現不同程度熱電效應的不同導電材料,因而獲得表示個別溫度梯度的淨電壓。因此,藉由從某一位置適當地排定導體的個別「電偶(couple)」之路線,其中,於該位置可偵測電壓且該位置對於感興趣的點可具有特定溫度(例如半導體裝置中的高溫度點),則可依據溫度梯度來評估溫度,而用於熱電偶的個別導電線的適當路線排定方案可提供熱監控半導體晶粒中的任何期望點的可能性,而不消耗裝置層中(也就是其中形成有實際電路元件的半導體層中)的區域。再者,可利用各種熱電偶,也就是,含金屬材料等等形式的個別導電材料,其可涵蓋廣範圍的溫度,其中可利用也可使用在半導體製造技術中的複數個導電材料,因此提供現存技術高度相容性。舉例來說,銅、康銅(constantan)(也就是銅鎳合金、鉑、銠(rhodium)等等)可代表用以形成熱電偶的適當材料,該熱電偶也部分涉及現代半導體裝置的的製造技術或可至少相容於個別的製程。因此,可在半導體裝置的接觸階層(contact level)中使用適當材料與圖案化與路線排定方案,同時可以適當類比與數位電路部分的形式所提供的個別支援電路系統可置於晶粒內的任何適當位置處,其中當選擇用於支援電路系統的適當位置時,溫度相關之態樣也可列入考慮。也就是,可將電路系統放置在提供期望溫度狀況的晶粒區域中,以便獲得關於在支援電路系統的具體位置與感興趣之量測處之間(例如熱點區域等等)的溫度梯度的有意義的量測資料。在其他情況中,舉例來說,一個或多個熱電偶可有效率地排定路線至個別參考位置,用以在裝置操作過程中界定出界定明確之溫度狀況的冷卻接面區域(cool junction area),而可增強溫度監控的整體準確性。
因此,可有效率地整合熱量測地點的密集網路至複雜半導體產品中,又同時相較於習知策略而減少半導體區域的消耗且也增強整體準確性。同時地,可使用適當材料與製程技術且對現存半導體製程有高度相容性,使得在某些例示態樣中,可使用廣為接受的技術來圖案化接觸結構而不需實行額外製程。
應了解到,在此揭露的原理是有利於複雜整合式半導體裝置,例如CPU等等。在此,由於可能必須提供複雜的電路設計、高裝填密度並結合效能驅動電路區域,使得溫度監控與控制的增強覆蓋性(coverage)和準確性(accuracy)可顯著促成整體增強之裝置效能。然而,在此揭露的原理也可有利於應用至任何複雜半導體裝置,例如單一晶片上的複雜系統(可包含結合數位電路系統的類比電路系統及/或結合高電壓電路的低電壓電路等等),因為也在這些情況中,個別接觸結構可有利於用來將適當溫度敏感元件以準確性和覆蓋性增加的方式放置其中。因此,除非在描述或所附申請專利範圍中特別提到,在此揭露的原則不應視為被限制成半導體裝置的特定設計。
第2a圖示意地說明半導體裝置200的上視圖,該半導體裝置200可代表包含基於電路元件(未圖示)所形成的電路部分的任何半導體裝置,該電路元件可形成在適當半導體層(例如矽基層)中與上面,如同大多數的複雜積體電路目前(與不久的未來)係基於矽材料而形成一樣。然而,應了解到,個別半導體層可依照整體裝置需求來包括任何其他適當材料或材料組成。在顯示的實施例中,半導體裝置200可代表半導體晶粒,該半導體晶粒包括基材、半導體層與個別電路元件(將在之後更詳細描述),其中該電路元件可依據考慮中的該半導體裝置的設計來分組成個別功能方塊。舉例來說,在個別半導體層中,區域211可代表存在有其他功能元件(例如如前解釋地可不相容於設計限制的溫度感測元件)的功能區域。不過,在該區域211的附近內或中可提供感興趣之量測處210,例如該感興趣之量測處210可代表該半導體裝置200操作過程中增加溫度產生的區域。舉例來說,量測處210(也可稱作量測位置)可代表包含速度關鍵訊號路徑或高電壓電路元件(可導致操作過程中的增強熱產生)的區域。應了解在此可把該半導體裝置200中的「位置」理解成特定位置或對應的「近處(neighborhood)」,依照界定溫度敏感元件的個別電路元件的側向延伸,其範圍可能從數微米至數十或數百微米。如前所解釋,界定區域211和量測處210的個別電路元件可由可稱作層間電介質材料的適當電介質材料所圍住,該材料分隔該電路元件與實際金屬化階層(metallization level),其中層內部金屬線(layer-internal metal line)可依據整體電路佈局來排定路線。因此,個別層間電介質材料可包括複數個「垂直」接觸元件或栓塞,該元件或栓塞的一端連接電路元件而另一端連接第一金屬化層中的個別金屬區域。
應了解也可在層間電介質材料內提供複數個互連結構,以便連接不同電路元件或相同電路元件的不同接觸區域,而不需提供對於覆於上的第一金屬化層(overlying first metallization layer)的連接。然而,相較於裝置層中的電路元件所消耗的區域,由層間電介質材料中的個別接觸栓塞和互連結構所佔據的區域是相當少的,因此提供可用的空間給一個或多個溫度敏感元件221,其中在某些圖示實施例中,該溫度敏感元件221可以熱電偶(也就是適當材料組成的導電線)的形式來提供,以便允許具溫度相依性的電壓之偵測。可將一個或多個溫度敏感元件221連接至可置於裝置高度中的任何適當位置處的一個或多個適當支援電路220,以便相容於設計和溫度的考量。溫度敏感元件221可包括延伸部分221E,該延伸部分221E從電路220之上延伸至感興趣之量測處210以及連接至介面部分221I,在第一導電線221A和第二導電線221B(由不同導電材料所組成)之間係形成一介面。舉例來說,對於類型T的熱電偶來說,元件221可包括銅材料形式的金屬線221A,而該金屬線221B可以康銅(也就是銅鎳合金)形式來提供。在此情況中,元件221A可適合操作在溫度從大約-200℃至大約+300℃的範圍,其中可獲得該介面部分221I和該延伸部分221E的終點之間的溫度差的大約每℃43微伏特(43 micro volts per℃)的電壓。也就是,對於在該量測位置210和對應該支援電路220的區域之間的溫度差100℃來說,可獲得大約4.3毫伏特(mV)的電壓。應了解可使用複數個導電材料(例如銀、鎢、鎳合金、鈷、鉬、金、鉑、銠等等),其中在複雜半導體裝置的製造過程中可能已經使用許多這些材料。在其他情況中,也可使用適當半導體材料並結合金屬或結合其他半導體材料,以便提供置於該裝置200的接觸結構內的適當熱電偶。
半導體裝置200可基於廣為接受的製程技術來形成,當考慮裝置階層時,與習知策略相反,支援電路220可適當地修改以符合溫度敏感元件221的需求。也就是,可提供適當類比及/或數位電路部分以接收來自該元件221的溫度相依性訊號並進行該溫度相依性訊號的適當評估,其可依據適當控制單元(未圖示)(可依據評估的溫度訊號來控制該裝置200的操作)來完成。應了解,結合有熱電偶的個別電路系統是在離散電路拓樸學(discrete circuit topology)中是廣為接受的,且可立即將個別電路拓樸學實作至該裝置200的整體設計中。再者,要注意該溫度相依性訊號之評估可藉由該裝置200的適當功能方塊(functional block)來執行,該功能方塊可不必在該支援電路系統220內實行,但也可在個別數位功能方塊(例如CPU核心、專用溫度控制單元等等)中實行。應了解到,可將溫度相依性訊號之評估理解為可施行任何形式的訊號處理以獲得與溫度相關的訊號,該訊號可用以進一步監控或控制該裝置200。舉例來說,由該元件221所獲得的溫度相依性訊號之評估可藉由比較該訊號(也許適當訊號處理之後)與在一或更多臨限值位準而完成,其中,該臨限值位準之各者可指示該裝置200的特定之溫度相依性狀態。
因此,在形成個別電路元件之後,可形成層間電介質材料(例如依據廣為接受的技術與材料),其中併入適當製造製程以形成該元件221,如之後將詳細描述的。在某些例示實施例中,可至少形成適當溝槽(trench)在層間電介質材料的一部分中,於該溝槽中後續可填入適當導電材料(例如含金屬的材料),從而形成該金屬線221A、221B,其中可完成該溝槽的路線排定,以使其可避開(circumvent)實際電路元件的個別接觸栓塞(未圖示),同時將介面部分221I放置在位置210內。為了此目的,當以適當圖案化順序來形成個別溝槽時,可使用適當設計的微影遮罩(lithography mask),如稍後將解釋者。在填入適當導電材料以完成溫度敏感元件221之後,可藉由依據廣為接受的技術來形成個別金屬化結構以繼續進一步製程,相較於習知策略,除了根據所需整體電路佈局而適當連接一個或多個支援電路220之外,實質上不需要顯著的修改。因此,在裝置200的操作之後,可從感興趣之量測處(例如區域210)獲得個別溫度相依的資訊,且除了考慮提供個別接觸栓塞以便連接至電路元件(該電路元件上面排定元件221)之外,實質上不受限於裝置階層內的任何設計限制。
第2b圖根據進一步的例示實施例示意地說明半導體裝置200,其中藉由提供複數個支援電路220可獲得整體晶粒面積的覆蓋度增加,其中支援電路220的各者可代表對具有如參照第2a圖所描述的組構的複數個溫度敏感元件221的介面。因此,甚至在敏感裝置區域(例如該區域211,其中設計限制可能不允許提供習知的溫度敏感電路)中亦可完成高度的覆蓋性。如圖所示,根據在此揭露的原理,由層間電介質材料中的實際互連結構和接觸栓塞所消耗的減少區域可提供排定個別延伸部分221E的高度彈性,同時也允許支援電路220的適當放置,該支援電路220也可作為用於元件221的複數個端點的「固定(constant)」溫度之區域,而元件221之另一端則放置在對應之感興趣的量測處(例如區域210等等)中。因此,可在半導體裝置200內建立量測處的個別網路,其密度可根據裝置需求來選擇,且實質上不需增加該裝置200的整體側向尺寸。
第2c圖示意地示說明包括由不同導電材料所形成的導電線221A、221B的單一元件221的上視圖,從而如前解釋地形成介面部分2211。再者,可提供個別元件或栓塞222A和222B,以便連接至個別導電線221A、221B並也連接至支援電路系統220中的電路元件的接觸區域。在某些例示實施例中,接觸元件222A、222B可包括與線221A、221B相同的材料,該元件222A、222B可連接至該線221A、221B。舉例來說,在某些例示實施例中,線221A可包括例如銅的任何適當材料且因此該接觸栓塞222A也可包括銅。同樣地,線221B可包括例如康銅且因此該接觸栓塞222B也可包括康銅。以此方式,可避免由具有任何適當電極材料的線221A、221B所形成的介面的任何有害影響,除非把該溫度相依性電壓耦接至電路系統220的個別電路元件始然。在其他例示實施例中,接觸元件222A、222B可由任何其他適當材料所形成,舉例來說,元件222A、222B兩者可由相同材料所形成,其相較於線221A、221B中的其中之一或兩者可以是相同或不同。
第2d圖示意地說明沿著第2c圖所指出的線IId的裝置200的剖視圖。在顯示在第2d圖中的製造階段中,可能尚未提供導電線221A、221B。因此,在此製造階段中,裝置200可包括基材201,該基材201可如前解釋地以任何適當材料的形式來提供,基材上面可形成半導體層202。在半導體層202中與上面可形成電路元件,舉例來說,以電晶體、電容器、電阻器等等形式,其共同稱為電路元件223。在顯示的實施例中,電路元件223可屬於支援電路系統220,其中,為了方便,任何其他電路元件(例如對應至裝置區域211、210)不顯示在第2d圖中。該電路元件223可包括適當接觸區域223C,以接收由元件221所提供的溫度相依性電壓或訊號。舉例來說,接觸區域223C可包括高度摻雜之半導體材料、含金屬的材料,例如金屬矽化物等等。再者,在此製造階段中,層間電介質材料的第一部份(例如以蝕刻停止層203的形式)可形成在半導體層202與電路元件223上面。舉例來說,時常可使用包括氮化矽的層間電介質材料,該氮化矽可作為蝕刻停止材料及/或結合二氧化矽材料來作為複雜的矽基半導體裝置中的應變引發(strain-inducing)材料。應了解到,層203可依據裝置200的特定需求來代表部分的任何適當材料作為層間電介質層的材料。在某些例示實施例中,如圖所示,可把層203當作實質共形層(conformal layer)來提供,因此實質地類似由電路元件223所造成的表面形貌。在其他情況中,可形成層203或不同層的結合,以便獲得實質平坦的平面形貌,以增強該層203的整體圖案化,如之後將更詳細描述者。在顯示的製造階段中,可提供蝕刻遮罩(例如以阻劑遮罩(resist mask)204的形式)以界定對應於接觸元件222B(第2c圖)的開口。
可依據實質地相同於如參照第2a圖所描述的製程技術來形成如顯示在第2d圖中的半導體裝置200。也就是,在形成電路元件223之後(例如依照由支援電路系統220所要求的功能和佈局需求,以及未涉及裝置200的溫度控制的其他裝置區中的電路元件所要求的設計需求),可形成層203,例如依據廣為接受的化學氣相沉積(chemical vapor deposition,簡稱CVD)技術,例如典型上可結合氮化矽材料、碳化矽材料等等來使用的電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,簡稱PECVD)。應了解到,在複雜應用中,依照整體需求,至少在專用電路區域中,可把層203提供為高應力材料。接著,可依據廣為接受的光微影技術來形成遮罩204並可施行適當蝕刻製程以移除層203的材料且外露下面的接觸區域223C。為了此目的,在習知技術中有複數個廣為接受的蝕刻配方,例如電漿輔助(plasma-assisted)配方等等,而可予以使用。在某些例示實施例中,可在共同製程中圖案化層203中的個別開口給接觸元件222B、222A,而在其他情況中,可使用分開的圖案化製程,其中,在每個圖案化製程後,可施行個別沉積步驟以提供所需材料給該個別接觸元件222B、222A,而將該個別接觸元件222B、222A以不同材料來形成。
因此,在圖案化層203之後,可以適當沉積技術來填入適當材料。舉例來說,可依據廣為接受的電化學沉積技術來形成銅基(copper-based)材料,其中可藉由濺鍍沉積等等來形成適當晶種層(seed layer)。舉例來說,可依據蝕刻遮罩204,沉積適當之晶種層,之後該晶種層可連同該蝕刻遮罩204被移除,以使該晶種層只設於電介質層203中的開口内。在某些例示實施例中,可把該個別沉積製程當作高度方向性(highly directional)沉積製程來履行,因而在底部(也就是,在外露的接觸區域223C上)提供大量的晶種材料,同時將該晶種材料的量在遮罩204的水平部分處且層203中的個別開口維持在低程度。在後續的無電鍍製程(electroless plating process)過程中,可以高度局部方式(highly localized manner)來把例如銅的期望材料從底部填充至頂部。之後,可藉由適當選擇性蝕刻製程來移除任何殘留物,且可隨後再次圖案化層203,以便形成對應於接觸元件222A的開口。在其他情況中,當以一般圖案化製程來形成接觸開口時,相同晶種材料可使用於兩者的開口。在實際濕化學沉積過程中,可遮罩該等開口之其中一者,且之後可在先前被遮罩的接觸開口的填充過程中遮罩另一已經填充的開口。然而,應了解,可使用任何其他適當的製造方案,以形成接觸元件222A、222B。舉例來說,接觸栓塞222A、222B可使用相同材料,其中可使用任何適當材料,例如鎢等等。在其他情況中,接觸元件222A、222B連同導電線221A、221B可以共同的製造製程來形成,如稍後將描述者。應進一步了解,依照使用的材料,在實際沉積晶種層與實際導電材料之前可形成阻障層(barrier layer)。舉例來說,如果銅基材料直接接觸接觸區域223C被視作不適當的,則可提供個別的阻障層,例如鉭(tantalum)、氮化鉭、鈦、氮化鈦等等。
第2e圖示意地說明在上述製程順序後的半導體裝置200。因此,在電介質層203中可形成接觸栓塞222B(如果需要的話,可結合適當阻障材料)。所以,接觸栓塞222B可提供在電路元件223與導電線之其中一者之間的接觸,也就是,仍然待施行的元件221的導電線221B。
第2f圖示意地說明更進一步製程階段中的半導體裝置200。如圖所示,可在層203上面設有另一電介質層204,其中該層204可依據整體裝置需求而包括一個或多個不同材料。電介質層203、204可形成層間電介質材料共同稱為材料205在該材料205之一部分(也就是該電介質層204)中,可形成溫度敏感元件221。在顯示的實施例中,可在層204中形成導電線221B,以便連接至該接觸栓塞222B,其中,如前所解釋的,在某些例示實施例中,該栓塞222B和該線221B可包括實質上相同的材料。在其他情況中,如果包括不同材料,在支援電路系統220和溫度敏感元件221之間的實際介面可由栓塞222B和線221B之間的介面來界定。再者,如果層205直接接觸線221B中的導電材料被視作不適當的,則可提供適當電介質阻障材料。因此,如果增加二氧化矽中的銅原子的擴散率(diffusivity)被視作不適當的,則可以適當材料(例如氮化矽、碳化矽等等來覆蓋導電線221B的至少側壁部分,如也將參照第2j至2o圖來詳細描述者。
可依照該下列製程來形成如第2f圖中所顯示的半導體裝置200。在形成該接觸栓塞222A、222B之後,可沉積電介質層204(舉例來說,依照廣為接受的化學氣相沉積(CVD)技術,例如電漿增強CVD、熱啟動CVD(thermally activated CVD)等等),其中可使用任何適當材料,例如,可基於TEOS而沉積的二氧化矽材料。依照整體表面形貌,可平面化層204,例如藉由化學機械研磨(chemical mechanical polishing,簡稱CMP)等等。隨後,可以廣為接受的微影技術來形成適當阻劑遮罩,且之後可使用蝕刻配方來蝕刻貫穿層204,從而把層203當作有效的蝕刻停止材料來使用。隨後,個別溝槽可填有期望的材料,如上所詳細說明的,其中可使用適當沉積技術,例如CVD、電化學沉積技術等等。隨後,可移除任何過量的(excess)材料,例如,依據CMP、蝕刻技術等等。
第2g圖示意地說明在上述製程順序之後的半導體裝置200的上視圖。應了解到,介面部分221I和線221A、221B的部分只是例示用。如圖所示,可形成導電線221B,以便延伸至所期望的量測處,如前所解釋者,同時仍將形成個別線221A,如虛線所指者。因此,可施行圖案化順序以提供對應的溝槽,之後該溝槽可依照相似於那些用來形成導電線221B的沉積技術來填充適當材料。隨後,可移除任何過量材料,例如,藉由CMP等等,以便獲得電性絕緣線221A、221B,除了部分221I中的共同介面之外。
第2h圖示意地說明在上述製程順序結束之後的裝置200的上視圖。因此,包括不同導電材料的導電線221A、221B可形成在層間電介質材料205中且可藉由接觸栓塞222A、222B來連接至支援電路系統220,該接觸栓塞222A、222B可包括相同於或不同於個別線221A、221B的材料,如前所解釋者。應了解,導電線221A、221B可具有任何適當形狀,以便延伸至期望的量測處中以及避免接觸不屬於支援電路系統220的電路元件的其他接觸栓塞,同時也可依照佈局或其他考量來選擇介面部分221I的尺寸和組構。應了解,依照整體製程策略,在形成導電線221A、221B之前、同時或之後,可形成其他接觸元件。再者,在某些例示實施例中,可沉積額外電介質材料,以便實質地完全地將導電線221A、221B嵌入於層間電介質材料205中。隨後,可應用廣為接受的製程技術以形成實際接觸元件。
第2i圖示意地說明元件221的一部分,其中介面部分可具有本質上直線性的組構,反之,在其他情況中,可依據裝置需求來選擇任何其他適當組構。
參照第2j至2o圖,現在將描述進一步的例示實施例,其中金屬材料可用於導電線221A、221B的至少一者,該導電線221A、221B可能需要適當局限(confinement),以便避免過度與周圍電介質材料互動。
第2j圖示意地說明對應於第2i圖中的線IIj的剖視圖。如圖所示,裝置200可包括可屬於位於感興趣之量測處(例如量測處210(第2a圖))內的電路部分的複數個電路元件213。再者,在顯示的製造階段中,可在電介質層204中形成溝槽224A、224B,該電介質層204在顯示的實施例中可額外包括蓋層(cap layer)206,該蓋層206可在進一步的處理過程中提供增強的金屬局限。此外,在蝕刻製程208過程中,蝕刻遮罩207是提供來界定溝槽224B、224A。因此,在共同的蝕刻製程208過程中可形成溝槽224A、224B,其中,在第一蝕刻步驟中,可蝕刻貫穿該蓋層206(如果有提供蓋層的話),接著是依照廣為接受的製程技術來蝕刻貫穿電介質材料204的步驟,其中層203可作為蝕刻停止層。接下來,可移除蝕刻遮罩207,且接著可形成電介質阻障層在電介質材料205上與開口224A、224B內。舉例來說,在某些例示實施例中,金屬材料(例如銅)與層204的電介質材料直接接觸可視作不適當的,這是因為銅可立即擴散在二氧化矽基材料中之故。因此,可提供適當電介質阻障材料(例如氮化矽、碳化矽等等)以做為阻障材料,同時也不會影響仍將形成的導電線221A、221B的熱和電特性。
第2k圖示意地說明在進一步進展的製造階段中的半導體裝置200,其中可已經執行適當蝕刻製程以從溝槽224A、224B的底部移除電介質材料,從而外露已經在之前如上述形成的接觸栓塞222A、222B。在其他的例示實施例中,當仍將形成接觸栓塞222A、222B時,在該蝕刻製程207之前可藉由覆蓋溝槽224A、224B來施行進一步之遮罩步驟,同時外露用於該接觸栓塞的個別開口並蝕刻之前沉積的阻障材料以及外露層203。因此,在此情況中,可外露接觸區域223C(見第2d和2e圖)且可以共同的圖案化順序來形成用於溝槽224A、224B的個別接觸栓塞。在第2k圖所示的實施例中,可假定已經提供接觸栓塞,使得可從水平裝置部分移除電介質阻障材料,以便外露該接觸栓塞222A、222B(第2c圖)。因此,可提供作為有關該電介質層204的阻障材料的個別側壁間隔物(sidewall spacer)。應了解到,從水平裝置部分移除電介質阻障材料可導致蓋層206的厚度減少,但仍然可靠地維持其一部分,以便局限層204的電介質材料。
第21圖示意地說明在進一步進展的製造階段中的半導體裝置200,其中可提供適當晶種層232,該晶種層232可包括實質上相同於將被使用於導電線221B的材料。舉例來說,如果線221B要由銅來形成,則也可以銅材料的形式來提供晶種層232。為了此目的,可使用廣為接受的沉積技術,例如濺鍍沉積等等。
第2m圖示意地說明在進一步進展階段中的裝置200,其中導電線221B形成在溝槽224B中,而另外的阻劑遮罩233可覆蓋溝槽224A與在該溝槽224B外的其他裝置區域。可依照廣為接受的電化學沉積技術(其中,例如,銅的個別配方係廣為接受的)來形成導電線221B,以便獲得所期望的從底到頂的(bottom-to-top)填充行為,同時阻劑遮罩233有效地限制銅成長到溝槽224B。隨後,可例如依照電化學蝕刻製程等等來移除遮罩233且也可移除晶種層232。應了解到,導電線221B的個別材料損失可能較不關鍵,這是因為由於剖面積的減少所造成的整體導電度的輕微減少可實質上不負面影響熱電效應之故。在移除晶種層232之後,可形成具有用於導電線221A的適當材料組成之另外的晶種層。舉例來說,可例如藉由濺鍍等等來沉積康銅材料。在又另外的例示實施例中,可維持且可適當處理(treat)晶種層232,舉例來說,藉由併入鎳以便獲得所期望的合金(同樣也將使用於導電線221A)。為了此目的,可利用適當電漿處理、植入(implantation)技術、沉積鎳材料並接著熱處理等等。
第2n圖示意地說明在溝槽224A填充適當材料(例如銅鎳合金,如前所解釋的)的進一步電鍍製程之後的裝置200。在對應的電鍍製程過程中,之前形成的晶種層232可作為電流分配層,其中也可使用適當沉積技術,以便確保從底到頂的填充行為。
第2o圖示意地說明在移除過量材料之後的裝置200,舉例來說,藉由CMP及/或電化學拋光或蝕刻等等。因此,可提供實質平坦的表面形貌,且導電線221B、221A係可靠地形成在該線221A、221B的不同材料之間的介面221I。因為個別晶種層232、234包括相同於線221A、221B的對應填充材料的材料,所以可避免介面221I的「短路(short circuit)」。再者,晶種層232、234可顯現沿著線221A、221B的有關熱電效應的相同溫度行為,從而確保在接觸栓塞222A、222B處依期望產生溫度相依性電壓,而沒有不想要的第三材料組件的任何干擾。此外,具有蝕刻停止層203的側壁間隔物231可提供在線221A、221B中的導電材料的可靠局限,從而消除對於導電阻障材料的需求,其中該導電阻障材料可能以別的方式而顯著地影響線221A、221B的整體熱電行為。
應了解到,也可有效應用上述製程順序在接觸栓塞222A、222B共同填充有導電線221A、221B的情況中。因此,可建立「雙鑲嵌(dual damascene)」技術用於導電線221A、221B與接觸栓塞222A、222B。
參照第2p至2r圖,現在將描述進一步的例示實施例,其中可嵌入導電線至電介質材料中,該電介質材料允許直接接觸該導電線的材料。
第2p圖示意地說明裝置200的剖視圖,其中在某些例示實施例中,層203可設有實質平坦表面形貌,該平坦表面形貌可藉由提供該層203達足夠的厚度或藉由提供後續可平坦化的複數個單獨層(individual layer)來完成。在某些例示實施例中,可形成與圖案化第一電介質層(例如先前說明的層203),以便容納接觸栓塞222A、222B。在其他例示實施例中,接觸栓塞可與如前解釋的個別的導電線共同形成。因此,在提供電介質材料203之後,可平坦化其表面地形,例如藉由CMP。接著,在某些例示實施例中,可形成蝕刻遮罩,例如顯示在第2j圖中的該遮罩207,以用共同的圖案化製程來界定溝槽224A、224B。在顯示的實施例中,在單獨圖案化順序過程中可形成溝槽224A、224B,其中蝕刻遮罩235可界定溝槽224B的位置與側向尺寸。接著,可依照遮罩235來施行蝕刻製程,舉例來說,可藉由連接至接觸栓塞222B(如果已經提供)停止該蝕刻製程在任何適當高度處。隨後,可移除遮罩235且可沉積適當晶種層。
第2q圖示意地說明在進一步發展的製造階段中的裝置200,其中已經使用晶種層232作為依照適當製程參數來施行的電化學沉積製程的有效電流分配層,以便獲得所期望的沉積填充行為。舉例來說,晶種層與電化學沉積材料236可包括銅材料。因為電介質材料203可適當地局限層232、236的材料,所以可不需要任何進一步的阻障材料。隨後,可例如藉由電化學蝕刻、CMP等等移除任何過量材料。隨後,可形成另外的蝕刻遮罩,以界定導電線221A的個別溝槽,該溝槽接著可填充有適當晶種層的沉積,然後進行電化學沉積製程。
第2r圖示意地說明在上述製程順序後的裝置200。因此,提供晶種層234和實際的填充材料層237,從而界定與導電線221A相鄰並接觸的導電線221B。應了解,可藉由適當定位個別蝕刻遮罩,使得該線221B的一部分可外露於該蝕刻環境及/或藉由在蝕刻製程過程中施加某種程度的等向分量(isotropic component),從而可靠地移除介面221I處的任何電介質材料,藉此來達成線221A、221B的可靠接觸。接著,可移除任何過量材料(例如藉由CMP),且可繼續進一步處理,例如沉積電介質材料204與形成用於其他電路元件(例如電路元件213)的接觸栓塞。
因此,當形成層間電介質材料205中的溫度敏感元件221時,可有利於使用複數個廣為接受的製程技術與材料,例如銅、鎳與鉑,其中也可例如依照上述製程技術來保證某些材料的可靠局限。
第3圖示意地說明可包括一個或多個溫度敏感元件321(如之前參照裝置200所描述者)的半導體裝置300的上視圖。因此,該一個或多個元件321可延伸至個別感興趣之量測處310中,而不論如前所解釋的裝置層中的任何設計限制為何。再者,裝置300可包括參考元件321R,該參考元件321R可在該裝置的操作過程中延伸至界定溫度條件的區域310R中,從而提供可靠溫度參考給連接至支援電路系統320的一個或多個元件321。舉例來說,可選擇區域310R以至於可獲得所期望的溫度,其能達成相對於獲得自其他高溫度位置310的訊號的差異的可靠判定,從而甚至進一步增強該裝置300中的溫度管裡的整體準確性。由於在此揭露的原理,可選擇參考區域310R而無關於支援電路系統320的位置且無關於任何設計限制,該參考區域310R可加在(impose)裝置層上以使得可對於複數個溫度敏感元件321選擇適當參考點。舉例來說,可選擇位置310R以便提供穩定參考點,而實質上不被局部熱點和與該局部熱點相關聯的熱梯度所影響。
因此,依照由一個或多個溫度敏感元件221、321所獲得的個別溫度相依性電壓訊號,可達成有效整體溫度監控以及控制考慮中的裝置。舉例來說,在偵測特定電路部分處的無效溫度之後,可藉由內部供應控制單元(未圖示)來降低整個裝置或其部分的操作速度、或可關閉個別電路部分或整個電路。在又其他例示實施例中,可經由考慮中的半導體裝置的I/O能力或藉由專用訊號路徑等等來供應對應之溫度相依的控制訊號給外部裝置。
第4圖示意地說明半導體裝置400,其中複數個熱電偶421可適當地彼此連接,以便增強整體控制效率。舉例來說,複數個熱電偶421可延伸至可代表溫度敏感區域(例如熱點等等)的感興趣之區域410中。如前所解釋的,熱電偶的功能原理在於:溫度梯度可建立電壓,而該電壓可藉由串聯複數個熱電偶421來增加,由於該複數個熱電偶共同延伸至區域410中,故可相對於它們的熱行為來實質地並聯。也就是,因為基本上相同的溫度條件會普遍存在(prevail)於該區域410中,而熱電偶421係終止在具有實質相同溫度的區域中,所以所有的熱電偶421可實質地以非常相似的方式來回應。因此,可產生明顯增加的溫度相依性電壓。舉例來說,對於包括銅和康銅的例示熱電偶,大約100℃的溫度差可導致4.3mV的電壓。因此,藉由串聯複數個熱電偶421,可造成可良好偵測的(well-detecable)溫度相依性電壓。因此,依照熱電偶421的對應增加輸出電壓可增加對於甚為微小之溫度波動的偵測與回應。
在某些例示實施例中,由複數個熱電偶421所獲得的電壓可有利於用來供應其顯著部分給裝置400的供應電壓,其可增強該裝置400的整體效率且也可促成熱從區域410有效地消散。眾所皆知的,當適當地連接熱電偶421的末端部分時,熱電電壓的造成可導致電流流動,從而也導致熱消散,因為所引起的電流可減低熱端(hot side)處的溫度,同時增加該熱電偶的冷端(cold side)處的溫度。因此,可提供額外的熱消散機制,而同時地或替代地可轉換分布熱的部分成為可供給至裝置400的供應電壓源的電力。
結果,本發明是關於溫度敏感元件可設於裝置的接觸結構內的方法和半導體裝置,從而減低由溫度相依電路系統所佔據的半導體區域的量,同時仍然提供排定該溫度敏感元件至任何期望的裝置位置的可能性。因此,可改進半導體裝置中的空間覆蓋性和溫度控制的準確性,同時也能使用廣為接受的製程技術與材料。
上面揭露的具體實施例僅為例示用,因為本發明對於受益於此教示的熟習該技藝者而言,顯然可以不同但等效的方式來修改與實踐本發明。舉例來說,上面提出的製程步驟可以不同順序來履行。再者,在此顯示的架構和設計的細節並不是要作為限制,除了描述在下面申請專利範圍中的之外。因此,顯然可改變或修改上面揭露的具體實施例,且所有這些變化視為本發明的範圍與精神內。因此,在此企圖要保護的如下面申請專利範圍中所提出者。
100、200、300、400...半導體裝置
110...裝置區域
111...區域
120...溫度敏感電路
201...基材
202...半導體層
203...蝕刻停止層
204、233...阻劑遮罩
205...層間電介質材料
206...蓋層
207、235...蝕刻遮罩
208...蝕刻製程
210、310...感興趣之量測處
211、310R、410...區域
213...電路元件
220...支援電路
221、321...溫度敏感元件
221A...第一導電線
221B...第二導電線
221E...延伸部分
221I...介面部分
222A、222B...栓塞
223...電路元件
223C...接觸區域
224A、224B...溝槽
231...側壁間隔物
232、234...晶種層
236...電化學沉積材料
237...實際填充材料層
320...支援電路系統
321R...參考元件
421...熱電偶
410...感興趣之區域
IId、IIj...線
藉由參照以上敘述並結合所附圖式可了解本發明,其中相似的元件符號識別相似的元件,且其中:
第1圖示意地說明包括形成在裝置層中及依照該裝置層中的設計限制來放置的複數個溫度敏感電路的習知半導體晶粒的上視圖;
第2a圖示意地說明包括形成在置於裝置層上面的接觸結構中的熱電偶的半導體晶粒的上視圖,從而根據例示實施例來致能排定熱電偶延伸線至該接觸結構中感興趣之點;
第2b圖根據進一步例示實施例示意地說明包括複數個位置的半導體晶粒,用以容納用來評估獲得自延伸在接觸結構內的複數個熱電偶的溫度相依訊號的個別支援電路系統,以便獲得高度覆蓋性;
第2c圖根據例示實施例示意地說明連接至裝置層的熱電偶的上視圖;
第2d至2f圖根據例示實施例示意地說明熱電偶的一部分與連接延伸線至裝置層的個別接觸栓塞的剖視圖;
第2g至2i圖根據在此揭露的例示實施例示意地說明熱電偶的一部分的上視圖;
第2j至2n圖根據例示實施例示意地說明在兩個不同導電材料之間形成個別介面的許多製造階段過程中的熱電偶的「尖端(tip)」部分的剖視圖;
第2o圖根據進一步例示實施例示意地說明由無電鍍技術所形成的熱電偶的「尖端」部分和接觸區域的剖視圖;
第2p至2r圖根據例示實施例示意地說明熱電偶的「尖端」部分的剖視圖,其中該熱電偶的個別金屬線是由後續圖案化步驟所形成;
第3圖根據又進一步例示實施例示意地說明包含複數個熱電偶和至少一個良好界定的參考點的半導體晶粒的上視圖;以及
第4圖根據又進一步例示實施例示意地說明包含複數個熱電偶的半導體裝置的部分的上視圖,該些熱電偶可受到實質上相同的溫度條件且可適當地電性連接以便增強輸出電力、輸出電壓等等,從而改進個別半導體區域的溫度控制。
雖然在此揭露的本發明容許不同的修改與替代形式,但是已經藉由圖式中的例示方式來顯示其具體實施例且在此詳細描述。然而應了解,在此描述的具體實施例並非限制本發明為所揭露的具體形式,相反地,本發明是要涵蓋在由所附申請專利範圍所界定的本發明的精神和範圍內的所有修改、等效、和替代形式。
200...半導體裝置
210...感興趣之量測處
211...區域
220...支援電路
221...溫度敏感元件
221A...第一導電線
221B...第二導電線
221E...延伸部分
221I...介面部分

Claims (24)

  1. 一種半導體裝置,包括:半導體層,係形成在基材上面;電路元件,係形成在前述半導體層中,前述電路元件包括接觸區域;層間電介質材料,係形成在前述電路元件上面;接觸元件,係形成在前述層間電介質材料中且連接至前述接觸區域;第一導電線,係位於形成於該層間電介質材料的第一溝槽中;第二導電線,係位於形成於該層間電介質材料的第二溝槽中;以及溫度敏感元件,係形成在前述層間電介質材料中且組構成提供溫度相依性訊號,該溫度敏感元件係包含介面部分,其中,該介面部分包括於部份該第一導電線與部份該第二導電線之間的接觸介面。
  2. 如申請專利範圍第1項之半導體裝置,其中,前述溫度敏感元件還包括連接至該介面部分的延伸部分。
  3. 如申請專利範圍第2項之半導體裝置,其中,前述介面部分包括由第一導電材料和第二導電材料所形成的介面,前述第一和第二導電材料的材料組成不同。
  4. 如申請專利範圍第3項之半導體裝置,其中,前述延伸部分包括由前述第一導電材料組成的第一導電線以及 由前述第二導電材料組成的第二導電線。
  5. 如申請專利範圍第2項之半導體裝置,其中,前述介面部分的位置係緊接鄰近前述電路元件,以便能夠在操作過程中判定由前述電路元件所造成的局部溫度。
  6. 如申請專利範圍第1項之半導體裝置,其中,前述層間電介質材料包括蝕刻停止層和形成在該蝕刻停止層上面的電介質層,且其中前述溫度敏感元件至少部分形成在前述電介質層中。
  7. 如申請專利範圍第6項之半導體裝置,復包括形成在前述半導體層中的一個或多個電路元件、與形成在前述層間電介質材料中的第一接觸元件和第二接觸元件,前述第一和第二接觸元件把前述溫度敏感元件連接至前述一個或多個電路元件。
  8. 如申請專利範圍第7項之半導體裝置,其中,前述溫度敏感元件包括連接至前述第一接觸元件的第一導電線、與連接至前述第二接觸元件的第二導電線。
  9. 如申請專利範圍第1項之半導體裝置,復包括形成在前述層間電介質材料中的第二溫度敏感元件,前述第二溫度敏感元件係位在對應於較低之操作溫度梯度的位置中,該較低之操作溫度梯度係相較於對應於前述溫度敏感元件的位置的操作溫度梯度而言者。
  10. 一種半導體裝置,包括:複數個電路元件,係形成在半導體層中與上面;層間電介質材料,係圍住前述複數個電路元件,並 於該層間電介質材料中形成第一溝槽與第二溝槽;以及熱電偶,係形成在前述層間電介質材料中,該熱電偶係包含位於該第一溝槽中之第一導電線、位於該第二溝槽中之第二導電線以及介面部分,其中,該介面部分包括於部份該第一導電線與部份該第二導電線之間的接觸介面。
  11. 如申請專利範圍第10項之半導體裝置,復包括第一接觸元件和第二接觸元件,前述第一和第二接觸元件把前述第一和第二導電線連接至一個或多個前述電路元件。
  12. 如申請專利範圍第10項之半導體裝置,復包括參考熱電偶,其中前述參考熱電偶是位於在操作過程中具有較低溫度的位置處,該較低溫度係相較於對應於前述熱電偶的位置的溫度而言者。
  13. 如申請專利範圍第10項之半導體裝置,其中,前述熱電偶包括在前述第一導電線中的第一金屬、與在前述第二導電線中的第二金屬,前述第一和第二金屬彼此是不同的。
  14. 如申請專利範圍第11項之半導體裝置,其中,前述層間電介質材料包括蝕刻停止層和電介質層,且其中前述第一和第二接觸元件係形成在前述蝕刻停止層中、且前述第一和第二導電線係形成在前述電介質層中。
  15. 如申請專利範圍第10項之半導體裝置,其中,前述熱電偶包括銅。
  16. 一種形成積體電路之方法,包括: 在半導體裝置的整體電路設計中選擇第一位置,前述第一位置對應於用以藉由前述半導體裝置的溫度評估電路來接收溫度訊號的裝置區域;選擇第二位置以作為前述半導體裝置中的感興趣之量測處;以及在層間電介質材料中形成溫度敏感元件,該層間電介質材料形成在前述半導體裝置的電路元件與前述半導體裝置的第一金屬化層之間,前述溫度敏感元件包括從前述第一位置延伸至前述第二位置的導電線,其中,該導電線包括第一導電線與第二導電線,該溫度敏感元件包括介面部分,該介面部分包括於部份該第一導電線與部份該第二導電線之間的接觸介面。
  17. 如申請專利範圍第16項之方法,復包括在形成在第二電介質層上面的第一電介質層中形成前述導電線,其中前述第一和第二電介質層至少部分地形成前述層間電介質材料。
  18. 如申請專利範圍第17項之方法,復包括在前述第二電介質層中形成接觸元件,前述接觸元件將前述導電線與至少一些前述電路元件連接起來。
  19. 如申請專利範圍第16項之方法,其中該第一導電線包括第一導電材料,該第二導電線包括不同於前述第一導電材料的第二導電材料。
  20. 如申請專利範圍第16項之方法,其中,該接觸介面位於前述第二位置處。
  21. 如申請專利範圍第19項之方法,其中,形成前述導電線係包括至少部分地藉由施行電化學沉積製程來沉積前述第一和第二導電材料的至少一者。
  22. 如申請專利範圍第16項之方法,復包括選擇作為溫度參考位置的第三位置、及形成用以偵測前述第三位置處的溫度的參考溫度敏感元件。
  23. 如申請專利範圍第22項之方法,其中,前述第三位置對應於在操作過程中具有較低溫度的裝置區域,該較低溫度係相較於前述第二位置而言者。
  24. 如申請專利範圍第16項之方法,復包括在特定裝置區域內設置複數個溫度敏感元件、與基於由前述複數個溫度敏感元件所供應的輸出電力來控制前述特定裝置區域的溫度。
TW097149100A 2007-12-31 2008-12-17 藉由分布於接觸結構中的熱電偶而進行之半導體裝置中之溫度監視 TWI462283B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102007063228.4A DE102007063228B4 (de) 2007-12-31 2007-12-31 Temperaturüberwachung in einem Halbleiterbauelement durch Thermoelemente, die in der Kontaktstruktur verteilt sind
US12/169,020 US8373244B2 (en) 2007-12-31 2008-07-08 Temperature monitoring in a semiconductor device by thermocouples distributed in the contact structure

Publications (2)

Publication Number Publication Date
TW200941720A TW200941720A (en) 2009-10-01
TWI462283B true TWI462283B (zh) 2014-11-21

Family

ID=40758326

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097149100A TWI462283B (zh) 2007-12-31 2008-12-17 藉由分布於接觸結構中的熱電偶而進行之半導體裝置中之溫度監視

Country Status (4)

Country Link
US (1) US8373244B2 (zh)
DE (1) DE102007063228B4 (zh)
TW (1) TWI462283B (zh)
WO (1) WO2009088411A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802742B (zh) * 2018-08-21 2023-05-21 台灣積體電路製造股份有限公司 評估熱敏結構的方法、評估積體電路設計的熱敏結構的方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8169045B2 (en) 2009-04-28 2012-05-01 Infineon Technologies Ag System and method for constructing shielded seebeck temperature difference sensor
TWI418969B (zh) 2010-12-01 2013-12-11 Ind Tech Res Inst 自驅動型熱電電耗偵測裝置及方法
US9441893B2 (en) * 2012-07-25 2016-09-13 Grifols, S.A. Thawing vessel for biological products
DE102013017920B4 (de) 2013-10-24 2017-03-09 Sven Klausner Verfahren zur Ermittlung der Sperrschichttemperatur eines Leistungshalbleiters mit einem Zustandsbeobachter
CN103985811B (zh) * 2014-05-29 2016-07-27 赣南师范学院 一种场效应管片上阵列热电转换器及其全自对准制造工艺
DE102016206590A1 (de) 2016-04-19 2017-10-19 Zf Friedrichshafen Ag Verfahren zum Ermitteln einer Temperatur eines Transistors, Steuergerät und Schaltvorrichtung
US10393594B2 (en) * 2016-08-12 2019-08-27 Qualcomm Incorporated Thermopile mesh
GB2571524A (en) * 2018-02-28 2019-09-04 Continental Automotive Gmbh Electric device comprising a printed circuit board and method for determining local temperatures at different measurement points of the printed circuit boar
KR101971117B1 (ko) * 2018-06-14 2019-08-13 에이에스티엔지니어링(주) 마이크로 웰이 적용된 써모커플 웨이퍼
US11312621B2 (en) * 2018-08-06 2022-04-26 Invensense, Inc. Sensing thermal gradients within a microelectromechanical device
US11614497B2 (en) 2019-12-03 2023-03-28 International Business Machines Corporation Leakage characterization for electronic circuit temperature monitoring
TWI742613B (zh) 2020-04-14 2021-10-11 聯陽半導體股份有限公司 積體電路的溫度感測裝置
DE102021202150A1 (de) * 2021-03-05 2022-09-08 Robert Bosch Gesellschaft mit beschränkter Haftung Leistungsmodul mit Alterungserkennung
WO2023239681A1 (en) * 2022-06-06 2023-12-14 Microchip Technology Incorporated Integrated thermocouple

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5726481A (en) * 1995-06-30 1998-03-10 U.S. Philips Corporation Power semiconductor device having a temperature sensor
US6037645A (en) * 1998-01-27 2000-03-14 The United States Of America As Represented By The United States Department Of Commerce Temperature calibration wafer for rapid thermal processing using thin-film thermocouples
US20060019434A1 (en) * 2004-07-23 2006-01-26 Jae-Hun Jeong Semiconductor device having body contact through gate and method of fabricating the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7111653A (zh) 1971-08-25 1973-02-27
US4207481A (en) 1977-10-27 1980-06-10 National Semiconductor Corporation Power IC protection by sensing and limiting thermal gradients
US4779994A (en) * 1987-10-15 1988-10-25 Virginia Polytechnic Institute And State University Heat flux gage
DE4418207C1 (de) * 1994-05-25 1995-06-22 Siemens Ag Thermischer Sensor/Aktuator in Halbleitermaterial
JP3196823B2 (ja) 1997-06-11 2001-08-06 日本電気株式会社 半導体装置
US5969639A (en) * 1997-07-28 1999-10-19 Lockheed Martin Energy Research Corporation Temperature measuring device
US6072165A (en) * 1999-07-01 2000-06-06 Thermo-Stone Usa, Llc Thin film metal/metal oxide thermocouple
US6717225B2 (en) * 2001-12-11 2004-04-06 Texas Instruments Incorporated Integrated thermal difference sensor for power dissipating device
US7187053B2 (en) * 2003-06-26 2007-03-06 International Business Machines Corporation Thermal sensing method and system
KR20050071911A (ko) * 2004-01-05 2005-07-08 매그나칩 반도체 유한회사 일렉트로마이그레이션 테스트 패턴의 온도 측정 장치 및그 제조 방법
JP4771043B2 (ja) * 2004-09-06 2011-09-14 日本電気株式会社 薄膜半導体素子及びその駆動回路並びにそれらを用いた装置
JP4482667B2 (ja) * 2004-09-13 2010-06-16 独立行政法人産業技術総合研究所 冷却効果を持つ配線構造
JP5034327B2 (ja) * 2006-06-07 2012-09-26 富士通セミコンダクター株式会社 半導体製造装置用温度測定具、半導体製造装置の温度測定方法、及び半導体製造装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5726481A (en) * 1995-06-30 1998-03-10 U.S. Philips Corporation Power semiconductor device having a temperature sensor
US6037645A (en) * 1998-01-27 2000-03-14 The United States Of America As Represented By The United States Department Of Commerce Temperature calibration wafer for rapid thermal processing using thin-film thermocouples
US20060019434A1 (en) * 2004-07-23 2006-01-26 Jae-Hun Jeong Semiconductor device having body contact through gate and method of fabricating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802742B (zh) * 2018-08-21 2023-05-21 台灣積體電路製造股份有限公司 評估熱敏結構的方法、評估積體電路設計的熱敏結構的方法
US11687698B2 (en) 2018-08-21 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Electromigration evaluation methodology with consideration of both self-heating and heat sink thermal effects

Also Published As

Publication number Publication date
WO2009088411A1 (en) 2009-07-16
US20090166794A1 (en) 2009-07-02
TW200941720A (en) 2009-10-01
US8373244B2 (en) 2013-02-12
DE102007063228B4 (de) 2021-01-21
DE102007063228A1 (de) 2009-07-16

Similar Documents

Publication Publication Date Title
TWI462283B (zh) 藉由分布於接觸結構中的熱電偶而進行之半導體裝置中之溫度監視
US8212184B2 (en) Cold temperature control in a semiconductor device
US10197819B2 (en) Efficient thermo-optic phase shifters using multi-pass heaters
US8796807B2 (en) Temperature monitoring in a semiconductor device by using a PN junction based on silicon/germanium materials
US20040152240A1 (en) Method and apparatus for the use of self-assembled nanowires for the removal of heat from integrated circuits
JP5197849B2 (ja) チップ内能動的熱伝達システムを備えた半導体デバイス
US20090285261A1 (en) Integrated Circuit System Monitor
US8920027B2 (en) Assessing thermal mechanical characteristics of complex semiconductor devices by integrated heating systems
TWI278767B (en) A better placement and routing method and adding heat sink to reduce temperature rise caused by joule heating
JP2012204840A (ja) 界面接触抵抗の測定方法、界面接触抵抗の測定のための半導体デバイス、及びその製造方法
TW201003880A (en) Semiconductor device comprising a chip internal electrical test structure allowing electrical measurements during the fabrication process
US8564120B2 (en) Heat dissipation in temperature critical device areas of semiconductor devices by heat pipes connecting to the substrate backside
KR100445505B1 (ko) 반도체장치
US10103083B2 (en) Integrated circuits with Peltier cooling provided by back-end wiring
KR100821127B1 (ko) 열전대를 구비하는 고전력 소자 및 그 제조방법
TW200532715A (en) Method and structure for integrated thermistor
US20230392992A1 (en) Integrated thermocouple
WO2023239681A1 (en) Integrated thermocouple
US9977072B2 (en) Semiconductor structure and method for operating the same