TWI431709B - 高處理量之熱處理系統及操作方法 - Google Patents

高處理量之熱處理系統及操作方法 Download PDF

Info

Publication number
TWI431709B
TWI431709B TW098125825A TW98125825A TWI431709B TW I431709 B TWI431709 B TW I431709B TW 098125825 A TW098125825 A TW 098125825A TW 98125825 A TW98125825 A TW 98125825A TW I431709 B TWI431709 B TW I431709B
Authority
TW
Taiwan
Prior art keywords
substrate
heat treatment
substrates
temperature
temperature control
Prior art date
Application number
TW098125825A
Other languages
English (en)
Other versions
TW201013813A (en
Inventor
Thomas Hamelin
Laflamme, Jr
Gregory Whyman
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201013813A publication Critical patent/TW201013813A/zh
Application granted granted Critical
Publication of TWI431709B publication Critical patent/TWI431709B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

高處理量之熱處理系統及操作方法 交互參考相關申請案
本申請案係關於申請日為2007年3月6日之共同申請中美國專利申請案第11/682,625號「施行高處理量的非電漿處理用之處理系統與方法」(ES-099);於相同日期提出申請之共同申請中美國專利申請案第12/xxx,xxx號「高處理量化學處理系統用之加熱器組件」(ES-135);於相同日期提出申請之共同申請中美國專利申請案第12/xxx,xxx號「高處理量之化學處理系統及操作方法」(ES-147);於相同日期提出申請之共同申請中美國專利申請案第12/xxx,xxx號「高處理量化學處理系統用之基板支撐具」(ES-148);以及於相同日期提出申請之共同申請中美國專利申請案第12/xxx,xxx號「化學處理與熱處理用之高處理量處理系統」(ES-150)。藉由參考文獻方式將這些申請案之整體揭露內容全部併入於此。
本發明係關於熱處理系統,尤有關於高處理量之熱處理系統。
在材料的處理方法中,利用不同的處理以自基板的表面移除材料,該處理包含例如蝕刻處理、潔淨處理等。在圖案蝕刻期間,細微的特徵部,如凹溝、介層洞、接觸孔等,被形成於基板的表層中。例如,圖案蝕刻包含施加一薄層的輻射敏感材料,如光阻,至基板的上表面。使用微影技術形成圖案於輻射敏感材料層中,並使用一乾蝕刻處理或一系列的乾蝕刻處理將此圖案轉印至下方層。
此外,可以設置包含輻射敏感材料層、及一個以上之軟光罩層及/或硬光罩層之多層光罩,以在薄膜中蝕刻特徵部。例如,當使用硬光罩在薄膜中蝕刻特徵部時,在薄膜之主要蝕刻步驟前使用一獨立的蝕刻步驟,可將在輻射敏感層中的光罩圖案轉印至硬光罩層。硬光罩例如可以選自用於矽處理之數種材料,其包含二氧化矽(SiO2 )、氮化矽(Si3 N4 )、及碳。再者,為了縮小形成於薄膜中之特徵部尺寸,可以側向地修整硬光罩層。之後,可以在圖案轉印至下方層之前或之後,使用乾式潔淨處理來去除一個以上之光罩層及/或任何在處理期間累積在基板上之殘留物。圖案形成、修整、蝕刻、或潔淨之處理步驟其中一者以上,可以利用乾式、非電漿之處理來去除基板上的材料。例如,乾式、非電漿之處理可以包含具有二步驟處理的化學去除處理,該二步驟處理包含為使對這些曝露表層的表面化學進行改質之基板曝露表面的化學處理、及為使被化學改質的曝露表面層釋出被改質的表面化學的後置處理。雖然化學去除處理對於一材料相對於另一材料之去除,顯現出非常高的選擇性,但此處理受到低處理量之苦,因而使得該處理較不實用。
通常使用單一基板處理簇集工具來執行蝕刻處理,該工具包含基板運送站、一個以上的處理模組、以及基板處置系統,此基板處置系統用以負載單一基板進入該一個以上的處理模組的每一個中或自該一個以上的處理模組的每一個卸載單一基板出來。此單一基板構造容許每腔室有一基板能夠以在基板內以及從基板至基板兩者皆提供一致且可重複之處理特性的方式進行處理。雖然簇集工具提供處理在基板上之不同特徵部所需的特性,但在提供必要的處理特性之同時,增加處理模組之處理量將為半導體處理技術的躍進。
本發明係關於熱處理系統,尤有關於高處理量之熱處理系統。
再者,本發明關於處理多數個基板用之高處理量之熱處理系統。該熱處理系統用以熱處理在乾式、非電漿之環境被化學處理的多數個基板。
根據一實施例,說明一種熱處理多數個基板用之處理系統,其包含:一熱處理室,其中該熱處理室的一熱處理室溫度受到控制;一個以上的溫度控制基板支架,其裝設在該熱處理室之內,並用以支撐二個以上的基板,其中該一個以上的溫度控制基板支架包含上升該二個以上的基板之一熱處理基板溫度用之一機構,以熱處理在其上之被化學改質的曝露表層;一運送系統,其耦合至該熱處理室以運送該二個以上的基板進出該熱處理室;一基板升降機組件,其耦合至該熱處理室,用以在一運送平面與該一個以上的溫度控制基板支架之間垂直移動該二個以上的基板;以及一真空幫浦系統,其耦合至該熱處理室,並用以排空該熱處理室之氣體生成物。
根據另一實施例,說明一種操作一處理系統以熱處理一基板之方法,其包含:運送二個以上的基板進入一熱處理系統中,該熱處理系統包含:一熱處理室、一個以上的溫度控制基板支架,其裝設在該熱處理室之內、一基板升降機組件,其耦合至該熱處理室,用以在一運送平面與該一個以上的溫度控制基板支架之間垂直移動該二個以上的基板、一真空幫浦系統、以及一控制器,其耦合至該熱處理系統;使用該控制器,設定該熱處理系統之熱處理參數,其中該一個以上之熱處理參數包含一熱處理壓力、一熱處理室溫度、一熱處理基板溫度、及一熱處理基板支架溫度至少其中一者;以及使用該熱處理參數,處理在該熱處理系統中之該基板,以使在基板上之化學改質的曝露表層蒸發。
在各種不同的實施例中揭露用以執行高處理量之非電漿處理的設備與方法。然而,熟習相關技術者將認可:在沒有一種以上的特定細節的情況下、或在具有其他替代及/或額外方法、材料、或元件的情況下,各種不同的實施例仍可被實施。在其他情況下,不顯示或詳細說明熟知的結構、材料、或操作,以避免混淆本發明之各種不同實施例的實施態樣。同樣地,為了說明之目的,提出特定號碼、材料、以及構造,以提供對本發明的整體瞭解。然而,本發明可在沒有特定細節的情況下被實施。再者,吾人可瞭解圖式中所顯示的各種不同實施例為說明表示而沒有必要照比例繪製。
在整個說明書中所論及之「一種實施例」或「一實施例」或其變化係意謂:與實施例結合所說明之特定的特徵、結構、材料、或特性被包含在本發明的至少一實施例中,而不表示其存在於每一個實施例中。因此,出現在整個說明書中各種不同地方之例如「在一種實施例中」或「在一實施例中」的詞組沒有必要被視為本發明的同一實施例。再者,特定的特徵、結構、材料、或特性可以任何適當的方式結合在一個以上的實施例中。在其他實施例中,可包含各種不同的附加層及/或結構,及/或所說明的特徵可被省略。
以最可幫助瞭解本發明的方式,依序將各種不同的操作說明成多重分離的操作。然而,說明的順序不應被理解成暗示這些操作係必須順序相依。尤其,這些操作不需以出現的順序執行。說明的操作可以不同於說明實施例的順序加以執行。在附加實施例中,各種不同的附加操作可被執行,及/或所說明的操作可被省略。
一般存在有下列需求:多數個基板之高處理量處理用的系統與方法,以及多數個基板之高處理量化學與熱處理用的系統與方法。藉由在每一工作站使用專用處置器以及多數個基板支架,多數個基板之化學與熱處理的處理量可被改善。
根據一實施例,圖1顯示處理多數個基板之處理平台100的側視圖。例如,該處理可以包含乾式、非電漿之蝕刻處理或乾式、非電漿之潔淨處理。例如,該處理可以用以修整光罩層、或從基板表面去除殘留物及其它污染物。再者,例如,該處理可以包含化學氧化物去除處理。
處理平台100包含第一處理系統110及耦合至第一處理系統110之第二處理系統120。在一實施例中,第一處理系統110為化學處理系統,第二處理系統120為熱處理系統。在另一實施例中,第二處理系統120為基板清洗系統,如水清洗系統。如圖1所示,運送系統130亦耦合至第一處理系統110,用以運送多數個基板進出第一處理系統110及第二處理系統120,亦用以與多元件製造系統140交換多數個基板。多元件製造系統可以包含負載鎖元件以容許基板匣在大氣環境及低壓環境之間循環。
第一及第二處理系統110、120、以及運送系統130,例如可以在多元件製造系統140中包含處理元件。運送系統130可以包含專用處置器160,用以在第一處理系統110、第二處理系統120、以及多元件製造系統140之間移動多數個基板。例如,專用處置器160係專用於運送在處理系統(第一處理系統110及第二處理系統120)與多元件製造系統140之間的多數個基板,然而實施例並非如此受限。
在一實施例中,多元件製造系統140可允許運送基板往返處理元件,這些處理元件包含如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等裝置。為了隔離發生於第一及第二系統中之處理,利用隔離組件150以耦合每一系統。例如,隔離組件150可以至少包含用以提供熱絕緣之絕熱組件及用以提供真空隔離之閘閥組件其中之一。當然第一及第二處理系統110、120、以及運送系統130可以任何順序設置。
圖2顯示圖1中所示之處理多數個基板之運送系統的俯視圖。在此實施例中,基板142A與另一基板142B在相同的處理系統中被並列處理。雖然實施例並非如此受限,在一未顯示之替代實施例中,基板142A、142B可以被前後相接處理。雖然在圖2的每一個處理系統中只顯示二個基板,但在每一個處理系統中,可平行處理二個以上的基板。
仍參考圖2,處理平台100可以包含第一處理元件102及第二處理元件104,其用以自多元件製造系統140延伸且彼此平行地運作。如圖1及2所示,第一處理元件102可以包含第一處理系統110及第二處理系統120,其中運送系統130利用專用基板處置器160來將基板142移動進出第一處理元件102。
或者,圖3顯示根據另一實施例之處理多數個基板之處理平台200的側視圖。例如,處理可以包含乾式、非電漿之蝕刻處理或乾式、非電漿之潔淨處理。例如,該處理可以用以修整光罩層、或從基板表面去除殘留物及其它污染物。再者,例如,該處理可以包含化學氧化物去除處理。
處理平台200包含第一處理系統210及第二處理系統220,其中該第一處理系統210如所示在垂直方向堆疊在第二處理系統220之上。例如,第一處理系統210為化學處理系統,第二處理系統220為熱處理系統。或者,第二處理系統220為基板清洗系統,如水清洗系統。如圖3所示,運送系統230亦可耦合至第一處理系統210,用以運送多數個基板進出第一處理系統210,以及耦合至第二處理系統220,用以運送多數個基板進出第二處理系統220。亦如圖3所示,運送系統230可以包含專用處置器260,用以在第一處理系統210、第二處理系統220、以及多元件製造系統240之間移動多數個基板。專用處置器260可以專用於運送在處理系統(第一處理系統210及第二處理系統220)與多元件製造系統240之間的基板,然而實施例並非如此受限。
此外,運送系統230可以與一個以上之基板匣(未顯示)交換基板。雖然在圖3中只顯示二個處理系統,但包含如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等裝置之處理系統可以使用運送系統230或多元件製造系統240。為了隔離發生在第一與第二系統內的處理,可利用隔離組件250連接每一個系統。例如,隔離組件250可以至少包含用以提供熱絕緣的絕熱組件或用以提供真空隔離的閘閥組件其中之一。此外,例如,運送系統230可以作為隔離組件250的一部分。
一般而言,圖1所示之處理平台100的第一處理系統110與第二處理系統120至少其中一者包含至少二個運送通口,以允許多數個基板通過。例如,如圖1所示,第二處理系統120包含二個運送通口,第一運送通口允許基板通過第一處理系統110與第二處理系統120之間,而第二運送通口允許基板通過運送系統130與第二處理系統120之間。然而,關於圖1與圖2所示之處理平台100以及圖3所示之處理平台200,每一個處理系統各別包含至少一運送通口以允許多數個基板的通過。
根據另一實施例,圖4顯示處理多數個基板之處理平台300的俯視圖。例如,處理可以包含乾式、非電漿之蝕刻處理或乾式、非電漿之潔淨處理。例如,該處理可以用以修整光罩層、或從基板表面去除殘留物及其它污染物。再者,例如,該處理可以包含化學氧化物去除處理。
處理平台300包含第一處理系統310、第二處理系統320、耦合至第一運送系統330及選擇性的第二運送系統330'之選擇性的輔助處理系統370。在一實施例中,第一處理系統310為化學處理系統,第二處理系統320為熱處理系統。在另一實施例中,第二處理系統320為基板清洗系統,如水清洗系統。如圖4所示,第一運送系統330及選擇性的第二運送系統330',亦耦合至第一處理系統310及第二處理系統320,並用以運送多數個基板進出第一處理系統310及第二處理系統320,且亦用以與多元件製造系統340交換多數個基板。多元件製造系統340可以包含負載鎖元件以容許基板匣在大氣環境及低壓環境之間循環。
第一及第二處理系統310、320,與第一及選擇性的第二運送系統330、330',例如可以在多元件製造系統340中包含處理元件。運送系統330可以包含專用處置器360,運送系統330'可以包含選擇性的專用處置器360,用以在第一處理系統310、第二處理系統320、選擇性的輔助處理系統370、以及多元件製造系統340之間移動多數個基板。
在一實施例中,多元件製造系統340可允許運送基板往返處理元件,這些處理元件包含如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等裝置。再者,多元件製造系統340可允許運送基板往返輔助處理系統370,其中輔助處理系統370可以包含如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等。
為了隔離發生於第一及第二系統中之處理,利用隔離組件350耦合至每一系統。例如,隔離組件350可以至少包含用以提供熱絕緣之絕熱組件及用以提供真空隔離之閘閥組件其中之一。當然第一及第二處理系統310、320、以及運送系統330及330’可以任何順序設置。
如圖4所示,在此實施例中,二個以上之基板342可以在相同的處理系統中被並列處理。雖然實施例並未如此受限,在一未顯示之替代實施例中,基板342可以被前後相接處理。雖然在圖4的每一個處理系統中只顯示二個基板,但在每一個處理系統中,可平行處理二個以上的基板。
參考圖5、11A、及11B,如上所述之處理平台可以包含化學處理多數個基板之化學處理系統500以及熱處理多數個基板之熱處理系統1000。例如,處理平台包含化學處理系統500及耦合至化學處理系統500之熱處理系統1000。化學處理系統500包含可以控制溫度之化學處理室510。熱處理系統1000包含可以控制溫度之熱處理室1010。使用絕熱組件,化學處理室510與熱處理室1010可以彼此熱絕緣,以及使用閘閥組件可以彼此真空隔離,以下將作更詳細之說明。
如圖5所示,化學處理系統500更包含:溫度控制之基板支架540,其裝設在化學處理室510之內,並用以在其支撐表面上支撐二個以上之基板545;上部組件520,其耦合至化學處理室510之上部;以及真空幫浦系統580,其耦合至化學處理室510,以排空化學處理室510。
上部組件520包含氣體注入組件550,其耦合至化學處理室510,並用以通入一種以上之處理氣體至在化學處理室510中之處理空間512,以對在該二個以上之基板545上的曝露表層進行化學改質。此外,上部組件520包含加熱器組件530,其耦合至氣體注入組件550,並用以升高氣體注入組件550之溫度。
化學處理室510包含通口514,經由該通口514多數個基板545可以被運送進出化學處理室510。在化學處理室510中之通口514可以與在熱處理室1010中之通口1016界定共用通道,經由該共用通道在化學處理室510與熱處理室1010之間可以運送多數個基板545。
在處理期間,為了允許在二腔室510、1010中之獨立處理,可以使用閘閥組件518來密封共用通道。如圖5所示,閘閥組件518可以包含驅動系統516,諸如氣動驅動系統。再者,為了允許與如圖1至4中所示之運送系統交換基板,可以將運送通口1014形成在熱處理室1010中。例如,可以設置第二絕熱組件(未顯示)以將熱處理室1010與運送系統(未顯示)絕熱。雖然將通口1014顯示為熱處理室1010的一部分(與圖1一致),但運送通口1014可以形成在化學處理室510中而非在熱處理室1010中(如圖1中所示之相反腔室位置),或運送通口1014可以形成在化學處理室510與熱處理室1010兩者中。
如圖5所示,化學處理系統500包含溫度控制基板支架540,以提供數個熱控制及處理基板545之操作功能。基板支架540包含一個以上之溫度控制元件,其用以調節及/或升高多數個基板545之溫度。
一個以上溫度控制元件可用以加熱及/或冷卻基板545。例如,溫度控制基板支架540可以包含具有熱傳流體之再循環流的冷卻系統,其接收來自熱基板支架540的熱能並將熱能傳遞至熱交換系統(未顯示),或者,包含具有熱傳流體之再循環流的加熱系統,其接收來自熱交換器(未顯示)之熱能並將熱能傳遞至基板支架540。在其它實施例中,溫度控制元件可以包含電阻加熱元件、或電熱加熱器/冷卻器。這些溫度控制元件可以被利用來控制基板支架540、化學處理室510之室壁、以及上部組件520之溫度。
根據一實施例,圖6顯示用以執行前所確認功能其中數個之基板支架的數個觀點。在圖6中,顯示圖5中所描繪之溫度控制基板支架540之分解橫剖面圖。基板支架540包含:溫度控制基板檯542,其具有用以支撐二個以上之基板的上表面、與上表面相對之下表面、以及邊緣表面;腔室接合元件612,其耦合至溫度控制基板檯542之下表面;以及絕緣元件614,其設置在腔室接合元件612的底部與化學處理室510的下室壁610之間。腔室接合元件612可以包含二個以上之支撐柱613,其用以將溫度控制基板檯542支撐在離化學處理室510之下室壁610一段距離,其中二個以上之支撐柱613的每一個包含耦合至溫度控制基板檯542之下表面的第一端及耦合至化學處理室510之下室壁610的第二端。
溫度控制基板檯542及腔室接合元件612,可以例如由導電與導熱的材料所製成,如鋁、不銹鋼、鎳等。絕緣元件614例如可以由具有相對地更低導熱性之熱阻材料所製成,如石英、氧化鋁、鐵氟龍等。
溫度控制基板檯542可以包含溫度控制元件,如冷卻通道、加熱通道、電阻加熱元件、或熱電元件。例如,如圖6所示,溫度控制基板檯542包含形成於溫度控制基板檯542內部之內的流體通道544。流體通道544包含入口流體導管546及出口流體導管548。
基板支架溫度控制系統560包含建構並設置以控制熱傳流體之溫度的流體熱單元。流體熱單元可以包含流體儲存槽、幫浦、加熱器、冷卻器、以及流體溫度感測器。例如,使用流體熱單元,基板支架溫度控制系統560能促進熱傳流體之入口流562的供應及熱傳流體之出口流564的排出。基板支架溫度控制系統560更包含控制器,其耦合至流體熱單元,並用以執行監測、調節、或控制熱傳流體的溫度至少其中之一。
例如,基板支架溫度控制系統560可以接收來自耦合至溫度控制基板檯542、並用以量測基板支架的溫度之溫度感測器的溫度量測結果。此外,例如,基板支架溫度控制系統560可以將基板支架溫度與基板支架目標溫度作比較,然後利用控制器來調節熱傳流體之溫度、或熱傳流體之流率、或其組合,以縮小在基板支架溫度與基板支架目標溫度之間的差異。
此外,例如,基板支架溫度控制系統560可以接收來自耦合至溫度控制基板檯542之多數個溫度感測器的多數個溫度量測結果,並可以利用控制器來執行對多數個基板支架的溫度之監測、調節、或控制至少其中之一,以改變溫度控制基板檯542之溫度均勻性。
流體通道544例如可為在溫度控制基板檯542內的螺旋或彎曲通道,其可容許例如水、Fluorinert、Galden HT-135等流體的流率,以提供溫度控制基板檯542之傳導-對流的加熱或冷卻。或者,溫度控制基板檯542可以包含根據通過個別元件之電流流動的方向而能夠加熱或冷卻基板的熱電元件陣列。例示性的熱電元件為一可從Advanced Thermoelectric購得的Model ST-127-1.4-8.5M(40mm×40mm×3.4mm熱電裝置,其最大熱傳功率為72W)。
雖然只顯示單一流體通道544,但溫度控制基板檯542可以包含形成於溫度控制基板檯542內部之內的一個以上之額外的流體通道,其中一個以上之額外的流體通道的每一個具有額外的入口端及額外的出口端,且其中額外的入口端的每一個及額外的出口端的每一個用以經由二個以上之支撐柱613而接收及送回額外的熱傳流體。
絕緣元件614可以更包含絕熱間隙以在溫度控制基板檯542與化學處理室510之間提供額外的絕熱。可以使用作為真空幫浦系統580的一部分之幫浦系統(未顯示)或真空管線而將絕熱間隙排空,及/或可以將絕熱間隙耦合至氣體供應源(未顯示)以改變其熱傳導性。氣體供應源例如可為用以將熱傳氣體耦合至基板545之背側的背側氣體供應源。
每一個元件542、612、及614更包含扣接裝置(例如螺栓與螺孔),以將一元件固定於另一個元件,並且將溫度控制基板支架540固定於化學處理室510。再者,每一個元件542、612、及614可促進上述設備通行到個別元件,且吾人在必要之處利用如彈性O形環之真空密封件,以保持化學處理室510的真空完整性。
此外,溫度控制基板支架540可以包含靜電鉗夾系統(未顯示)(或機械鉗夾系統),以將基板545靜電式地(或機械式地)鉗夾在溫度控制基板支架540。靜電鉗夾(ESC,electrostatic clamp)可以包含:陶瓷層;鉗夾電極,其埋設於陶瓷層中;以及高壓(HV)直流(DC)電壓供應器,其使用電性連接而耦合至鉗夾電極。靜電鉗夾例如可為單極或雙極。此種靜電鉗夾的設計與實現係習知靜電鉗夾系統技藝者所熟知。
再者,溫度控制基板支架540可以包含背側氣體供應系統(未顯示),用以供應熱傳氣體。例如可以將熱傳氣體傳送至基板545之背側,以改善在基板545與溫度控制基板支架540之間的熱傳導氣體間隙。例如,供應至基板545之背側的熱傳氣體為包含如氦氣、氬氣、氙氣、氪氣的惰性氣體、處理氣體、或如氧氣、氮氣、或氫氣的其他氣體。當基板之溫度控制需要升高或降低溫度時,可以利用此一系統。例如,背側氣體系統可以包含如二區域(中央-邊緣)系統的多區域氣體供應系統,其中背側氣體間隙壓力可在基板545的中央與邊緣之間獨立變化。
此外,溫度控制基板支架540可以包含升降銷組件570,該升降銷組件570包括:升降銷576之第一陣列,其用以升降第一基板往返溫度控制基板檯542之上表面;以及升降銷576之第二陣列,其用以升降第二基板往返溫度控制基板檯542之上表面。
如圖6所示,升降銷組件570包含:升降銷支撐構件574;以及驅動系統572,其經由饋通616穿越下室壁610而耦合在化學處理室510中,並用以移動升降銷支撐構件574,以使得升降銷576之第一陣列經由升降銷孔洞之第一陣列來移動,升降銷576之第二陣列經由升降銷孔洞之第二陣列來移動。
吾人可以使用如熱電偶(例如K型熱電偶、Pt感測器等)的溫度感測裝置來監測溫度控制基板支架540的溫度。再者,基板支架溫度控制系統560可以利用溫度量測結果作為對基板支架54的回饋,以控制基板支架540的溫度。例如,可以調整流體流率、流體溫度、熱傳氣體類型、熱傳氣體壓力、鉗夾力、電阻加熱元件電流或電壓、熱電裝置電流或極性等至少其中之一,以影響在基板支架540之溫度及/或在基板545之溫度上的改變。
現在參考圖7A及7B,其顯示根據另一實施例之基板支架的俯視圖及側視圖。如圖7A所示,基板支架740包含溫度控制基板檯742,該溫度控制基板檯742具有用以支撐二基板745及745'之相接上表面760、與上表面760相對之下表面762、以及邊緣表面764。溫度控制基板檯742更用以調整及/或控制二基板745及745'之溫度。基板支架740更包含入口流體導管746及出口流體導管748,其用以經由流體通道744供應及排出熱傳流體之流量。
如圖7A所示,入口流體導管746經由二個以上支撐柱其中一個而形成,其中入口流體導管746用以接收來自流體熱單元之熱傳流體並供應熱傳流體至流體通道744之入口端。再者,出口流體導管748經由二個以上支撐柱其中另一個而形成,其中出口流體導管748用以接收來自流體通道744的出口端之熱傳流體。溫度控制基板檯742可以包含上部741及下部743,其中流體通道744在結合上下二部之前形成在上部741或下部743中、或在兩者中。上部741及下部743可以利用設置於其間之密封件彼此固定、或藉由將上下二部焊接在一起而結合。
流體通道744可以具有蜿蜒之形狀;然而,流體通道可以為任意之形狀。例如,圖7D顯示基板支架740'包含具有更迂迴之路徑的流體通道744'。
參考圖7C,其提供溫度控制基板檯742之俯視圖,以顯示在化學處理室之下壁中,溫度控制基板支架742對於室壁720及真空幫浦口780之例示性空間關係。溫度控制基板支架742以改善經由化學處理室至真空幫浦口780之流動傳導性的方式而成形。
參考圖7A、7B、7D、8A、及8B,基板支架740可以更包含升降銷組件,該升降銷組件包括:含三個升降銷孔洞之第一升降銷孔洞陣列750,其用以容許第一升降銷陣列751經由溫度控制基板檯742而通過,以升降第一基板745往返溫度控制基板檯742之上表面760;以及含三個升降銷孔洞之第二升降銷孔洞陣列750',其用以容許第二升降銷陣列751'經由溫度控制基板檯742而通過,以升降第二基板745'往返溫度控制基板檯742之上表面760。
如圖8A及8B所示,升降銷組件包含升降銷支撐構件752以及一驅動系統,該驅動系統包含活塞構件754,該活塞構件754經由室壁710而耦合在化學處理室510中,並用以移動升降銷支撐構件752,以使得第一升降銷陣列751經由之第一升降銷孔洞陣列750而移動、第二升降銷陣列751'經由第二升降銷孔洞陣列750'而移動。第一升降銷陣列751用以對準並穿過第一升降銷孔洞陣列750,其中在第一升降銷陣列751中之每一個升降銷包含接觸第一基板用之第一接觸端及耦合至升降銷支撐構件752之第一支撐端。第二升降銷陣列751'用以對準並穿過第二升降銷孔洞陣列750',其中在第二升降銷陣列751'中之每一個升降銷包含接觸第二基板用之第二接觸端及耦合至升降銷支撐構件752之第二支撐端。活塞構件754耦合至升降銷支撐構件752,並藉由饋通而滑動穿越室壁710以垂直地移動升降銷支撐構件752。
如圖8C所示,在第一升降銷孔洞陣列750中的每一個升降銷孔洞及第二升降銷陣列751'可以包含具有外傾端之嵌入件749,該外傾端之外傾尺寸747大於升降銷孔洞之額定尺寸747'。在基板支架740裝配期間(維修之前、期間、或之後)使用嵌入件749,可以輔助第一升降銷陣列751對準第一升降銷孔洞陣列750及第二升降銷陣列751'對準第二升降銷孔洞陣列750'。
再者,如圖8B所示,溫度控制基板檯742可以選擇性地包含耦合至下表面762及/或邊緣表面764之裙部790。裙部790可以幫助減少沈積在溫度控制基板檯742的底面及升降銷組件上之污染及處理殘留量。再者,裙部790可以幫助減少聚集在靠近溫度控制基板檯742的底面(亦即下表面762)及升降銷組件之處理反應物。
如上所述,上部組件520包含:氣體注入組件550,其耦合至化學處理室510,並用以通入一種以上之處理氣體至處理空間512;以及加熱器組件530,其耦合至氣體注入組件550,並用以升高氣體注入組件550之溫度。
氣體注入組件550可以包含噴淋頭氣體注入系統,該噴淋頭氣體注入系統具有:氣體分配組件;以及一個以上之氣體分配板,其耦合至氣體分配組件,並用以形成一個以上之氣體分配充氣部。雖然沒有顯示,一個以上之氣體分配充氣部可包含一個以上的氣體分配擋板。一個以上之氣體分配板更包含一個以上的氣體分配孔口,以將處理氣體從氣體分配充氣部分配至位於化學處理室內的處理空間。此外,一個以上的氣體供應管線可經由例如氣體分配組件而耦合至一個以上之氣體分配充氣部,以供應包含一種以上之氣體的處理氣體。此處理氣體例如可包含氨氣(NH3 )、氟化氫(HF)、氫氣(H2 )、氧氣(O2 )、一氧化碳(CO)、二氧化碳(CO2 )、氬氣(Ar)、以及氦氣(He)等。
如圖5所示,氣體注入組件550可用以分配至少包含二氣體之處理氣體進入化學處理室510中。氣體注入組件550可以包含:第一孔口陣列552,其用以通入來自氣體供應系統556之第一處理氣體;以及第二孔口陣列554,其用以通入來自氣體供應系統556之第二處理氣體。例如,第一處理氣體可以包含HF,以及第二處理氣體可以包含NH3 及選擇性地包含Ar。
如圖9(圖5之具有額外細節的分解圖)所示,上部組件820包含:氣體注入組件850;加熱器組件830,其耦合至氣體注入組件850,並用以升高氣體注入組件850之溫度。氣體注入組件850用以分配至少包含二氣體之處理氣體。氣體注入組件850包含氣體分配組件,該氣體分配組件具有:第一氣體分配充氣部856,其用以經由第一孔口陣列852,而通入第一處理氣體至處理空間812;以及第二氣體分配充氣部858,其用以經由第二孔口陣列854,而通入第二處理氣體至處理空間812。第一氣體分配充氣部856用以經由第一通道855而接收來自氣體供應系統870之第一處理氣體,且第二氣體分配充氣部858用以經由第二通道857而接收來自氣體供應系統870之第二處理氣體。雖然未顯示,氣體分配充氣部856、858可以包含一種以上之氣體分配擋板。
處理氣體例如可以包含NH3 、HF、H2 、O2 、CO、CO2 、Ar、以及He等。由於此配置,第一處理氣體及第二處理氣體可以獨立地被通入至處理空間812,而沒有任何交互作用,除了在處理空間812中。
如圖5所示,加熱器組件530耦合至氣體注入組件550,並用以升高氣體注入組件550之溫度。加熱器組件530包含多數個加熱元件532及耦合電力至該多數個加熱元件用之電源534。
如圖9所示,加熱器組件830包含耦合至氣體注入組件850之上表面的多數個電阻加熱元件831、832、833、以及834。加熱器組件更包含電源860,其耦合至多數個電阻加熱元件831、832、833、以及834,並用以耦合電流至多數個電阻加熱元件831、832、833、以及834的每一個。電源860可以包含直流(DC)電源或交流(AC)電源。再者,多數個電阻加熱元件831、832、833、以及834可以並聯或串聯而連接。
此外,加熱器組件830可以更包含:絕緣構件836;以及鉗夾構件838,其用以固定多數個多數個電阻加熱元件831、832、833、以及834在氣體注入組件850之上表面。再者,加熱器組件830可以包含熱屏蔽840及一個以上之柱體842,其用以屏蔽多數個多數個電阻加熱元件831、832、833、以及834,並隔開熱屏蔽840與氣體注入組件850之上表面一段距離。或者,可以藉由熱絕緣泡體提供絕緣。
現在參考圖10A及10B,其提供根據另一實施例之包含加熱器組件930及氣體注入組件950之上部組件920的俯視圖及側視圖。上部組件920可以包含平板構件922及下部構件924。加熱器組件930包含具有上表面之平板構件922,以及耦合至平板構件922之上表面的多數個電阻加熱元件932、934、936、及938。如圖10A所示,多數個電阻加熱元件932、934、936、及938的每一個包含具有180°主軸彎曲之加熱元件。例如,多數個電阻加熱元件932、934、936、及938的每一個包含:第一端933,其被固定地耦合至平板構件922之上表面;第二端931,其用以耦合至電源;彎曲部,其位於第一端933與第二端931之間;第一筆直段,其延伸在第一端933與彎曲部之間;以及第二筆直段,其延伸在第二端931與彎曲部之間。
對於多數個電阻加熱元件932、934、936、及938的每一個,第一筆直段可以實質上與第二筆直段平行。此外,多數個電阻加熱元件932、934、936、及938其中一個之第一筆直段及第二筆直段可以實質上與多數個電阻加熱元件其中另一個之第一筆直段及第二筆直段平行。再者,多數個電阻加熱元件932、934、936、及938可以成對地配置在平板構件922之上表面。此外,可以配置耦合至平板構件922之上表面的一個以上之間隔物940,以將多數個電阻加熱元件932、934、936、及938其中一個相對於多數個電阻加熱元件932、934、936、及938其中另一個而設置。
為了均勻地加熱及/或控制氣體分配系統之溫度分佈,可以將多數個電阻加熱元件932、934、936、及938以交錯的方式配置,其中將多數個電阻加熱元件932、934、936、及938其中二個,配置為使得多數個電阻加熱元件932、934、936、及938至少其中二個的第一個之第一端933被設置在接近多數個電阻加熱元件932、934、936、及938至少其中二個的第二個中之彎曲部的內側邊緣。
多數個電阻加熱元件932、934、936、及938例如可以包含由鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等所製成之電阻加熱元件。製造電阻加熱元件用之可購得的例示材料包含Kanthal、Nikrothal、Akrothal,其為Kanthal Corporation of Bethel,CT.所生產之金屬合金名稱的註冊商標。KANTHAL系列包含鐵素體合金(FeCrAl),NIKROTHAL系列包含沃斯田(austenitic)合金(NiCr、NiCrFe)。根據一實施例,數個電阻加熱元件932、934、936、及938的每一個可以包含Watlow FIREBAR加熱元件,其可從Watlow Electric Manufacturing Company(12001 Lackland Road,St.Louis,MO 63146)購得。或者,或此外,可以在任何實施例中採用冷卻元件。
如上所述,上部組件920更包含用以耦合電力至多數個電阻加熱元件932、934、936、及938之電源。電源可以包含直流(DC)電源或交流(AC)電源。多數個電阻加熱元件932、934、936、及938可以並聯或串聯而連接。此外,溫度感測器960可以耦合至氣體注入組件950,並用以量測氣體注入組件950之溫度。溫度感測器960可以包含熱電偶(例如K型熱電偶、Pt感測器等)。控制器可以耦合至加熱器組件930及溫度感測器960,並用以執行對氣體注入組件950之溫度的監測、調節、或控制至少其中之一。例如,可以至少調節電壓、電流、功率等其中之一,以影響在氣體注入組件950之溫度及/或在上部組件920之溫度上的改變。再者,可以利用多數個溫度感測器來監測、調節、及/或控制氣體注入組件950及/或上部組件920之溫度分佈。
再次參考圖5,化學處理系統500可以更包含維持在一升高溫度之溫度控制化學處理室510。例如,室壁加熱元件(未顯示)可以耦合至室壁溫度控制部(未顯示),且室壁加熱元件可用以耦合至化學處理室510。加熱元件例如可以包含如鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等之燈絲的電阻加熱器元件。製造電阻加熱元件用之可購得的例示材料包含Kanthal、Nikrothal、Akrothal,其為Kanthal Corporation of Bethel,CT.所生產之金屬合金名稱的註冊商標。KANTHAL系列包含鐵素體合金(FeCrAl),NIKROTHAL系列包含沃斯田(austenitic)合金(NiCr、NiCrFe)。當電流流過燈絲時,功率會耗散成為熱,因此室壁溫度控制部例如可以包含可控制式DC電源供應器。例如,室壁加熱元件可以包含至少一FIRERODcartridge加熱器,其可從Watlow Electric Manufacturing Company(12001 Lackland Road,St.Louis,MO 63146)購得。在化學處理室510中亦可採用冷卻元件。可以使用溫度感測裝置,如熱電偶(例如K型熱電偶、Pt感測器等),來監測化學處理室510之溫度。再者,控制器可以利用溫度量測結果作為對室壁溫度控制部的回饋,以控制化學處理室510之溫度。
仍然參考圖5,真空幫浦系統580可以包含真空幫浦及閘閥,用以調節腔室壓力。真空幫浦例如可以包含渦輪分子式真空幫浦(TMP,turbo-molecular vacuum pump),其抽取速度可上至每分鐘約5000公升(及更大)。例如,此渦輪分子式真空幫浦可為Seiko STP-A803真空幫浦,或Ebara ET1301W真空幫浦。對於通常小於約50 mTorr的低壓處理而言,渦輪分子式真空幫浦係為有用。對於高壓(即大於約100 mTorr)或低處理量的處理(即無氣體流動)而言,吾人可使用機械增壓幫浦以及乾式粗抽幫浦。
仍然參考圖5,化學處理系統500可以更包含控制系統590,其具有可產生控制電壓的微處理器、記憶體、以及數位輸入/輸出口,該控制電壓足以傳遞及啟動對化學處理系統500的輸入以及來自化學處理系統500如溫度與壓力感測裝置的輸出。此外,控制系統590可與化學處理室510、溫度控制基板支架540、上部組件520、加熱器組件530、氣體注入組件550、真空幫浦系統580、基板支架溫度控制系統560、升降銷組件570、以及閘閥組件518耦合並交換資訊。例如,儲存在記憶體中的程式可用以依照處理配方啟動對上述化學處理系統500之元件的輸入。
控制系統590可以位於相對於化學處理系統500之局部,或可以經由網際網路或企業內部網路而位於相對於化學處理系統500之遠處。因此使用直接連接、網際網路、或企業內部網路至少其中之一,控制系統590可以與化學處理系統500交換資料。控制系統590可以耦合至在客戶位置(即裝置製造廠等)之企業內部網路,或耦合至在供應商位置(即設備製造商等)之企業內部網路。再者,另一電腦(即控制器、伺服器等)可以存取控制系統590,以經由直接連接、網際網路、或企業內部網路至少其中之一,而交換資料。
如圖11A所示,熱處理系統1000更包含:基板支架1040,其裝設在熱處理室1010之內,並用以在其支撐表面上支撐二個以上之基板1045;上部組件1020,其耦合至熱處理室1010之上部;以及真空幫浦系統1080,其耦合至熱處理1010,以排空熱處理室1010。
基板支架1040包含溫度控制基板支架,其具有一個以上之支撐二個以上之基板1045用之托架1042。一個以上之托架1042可以使用熱阻障1044及絕緣構件1046而與熱處理1010絕熱。舉例而言,一個以上之托架1042可由鋁、不銹鋼、或鎳所製成,而熱阻障1044可由熱絕緣體所製成,如鐵氟龍、氧化鋁、或石英。再者,一個以上之托架1042可以塗佈保護性阻障以降低二個以上之基板1045的污染。例如,施加至一個以上之托架1042的部分或全部之塗層,可以包含氣相沈積材料,如矽。
基板支架1040更包含:埋設於其中的一個以上的加熱元件;以及對其耦合的基板支架溫度控制部1060。加熱元件例如可以包含如鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等之燈絲的電阻加熱器元件。製造電阻加熱元件用之可購得的例示材料包含Kanthal、Nikrothal、Akrothal,其為Kanthal Corporation of Bethel,CT.所生產之金屬合金名稱的註冊商標。KANTHAL系列包含鐵素體合金(FeCrAl)以及NIKROTHAL系列包含沃斯田(austenitic)合金(NiCr、NiCrFe)。當電流流過燈絲時,功率會耗散成為熱,因此基板支架溫度控制部1060例如可以包含可控制式DC電源供應器。或者,溫度控制基板支架1040可以例如為可從Watlow Electric Manufacturing Company(12001 Lackland Road,St.Louis,MO 63146)購得的鑄件加熱器(cast-in heater),其最大操作溫度為約400℃至約450℃,或為亦可從Watlow購得之包含氮化鋁材料的薄膜加熱器,其操作溫度可高至約300℃,以及功率密度可高達約23.25 W/cm2 。或者,冷卻元件可被併入溫度控制基板支架1040中。
可以使用溫度感測裝置,如熱電偶(例如K型熱電偶),來監測基板支架1040之溫度。再者,控制器可以利用溫度量測結果作為對基板支架溫度控制部1060的回饋,以控制基板支架1040之溫度。
此外,可使用例如光纖溫度計的溫度感測裝置來監測基板溫度,此光纖溫度計可為從Advanced Energies,Inc.(1625 Sharp Point Drive,Fort Collins,CO,80525)購得的Model No.OR2000F,其量測範圍從約50℃至約2000℃,以及其準確度約正負1.5℃;或是使用例如能帶邊緣溫度量測系統的溫度感測裝置,此能帶邊緣溫度量測系統為如提出申請於2002年7月2日之共同申請中美國專利申請案第10/168544號所述者,藉由參考文獻方式將其整體揭露內容併入於此。
仍然參考圖11A,熱處理室1010係為溫度控制並維持在一選定的溫度。例如,熱室壁加熱元件(未顯示)可以耦合至熱室壁溫度控制部(未顯示),且熱室壁加熱元件(未顯示)可用以耦合至熱處理室1010。加熱元件例如可以包含如鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等之燈絲的電阻加熱器元件。製造電阻加熱元件用之可購得的例示材料包含Kanthal、Nikrothal、Akrothal,其為Kanthal Corporation of Bethel,CT.所生產之金屬合金名稱的註冊商標。KANTHAL系列包含鐵素體合金(FeCrAl)以及NIKROTHAL系列包含沃斯田(austenitic)合金(NiCr、NiCrFe)。當電流流過燈絲時,功率會耗散成為熱,因此熱室壁溫度控制部例如可以包含可控制式DC電源供應器。例如,室壁加熱元件可以包含至少一FIRERODcartridge加熱器,其可從Watlow(1310 Kingsland Dr.,Batavia,IL,60510)購得。或者,或此外,在熱處理室1010中亦可採用冷卻元件。可以使用溫度感測裝置,如熱電偶(例如K型熱電偶、Pt感測器等),來監測熱處理室1010之溫度。再者,控制器可以利用溫度量測結果作為對熱室壁溫度控制部的回饋,以控制熱處理室1010之溫度。
仍然參考圖11A,熱處理系統1000更包含上部組件1020。上部組件1020例如可以包含氣體注入系統1050,用以將沖洗氣體、處理氣體、或潔淨氣體通入至在熱處理室1010中的處理空間1012。或者,熱處理室1010可以包含與上部組件分開之氣體注入系統。例如,沖洗氣體、處理氣體、或潔淨氣體可以經由熱處理室1010之側壁而被通入至熱處理室1010。其可更包含套子或蓋子,此套子或蓋子具有至少一樞紐、把手、以及扣環,用以將此蓋子鎖在關閉位置。在一替代實施例中,上部組件1020可包含例如鎢絲鹵素燈陣列之輻射加熱器,其用以加熱擱置在基板升降機組件1070之葉片1074及1074'(見圖12)頂上之基板1045'。在此種情況下,熱處理室1010可不包含基板支架1040。
仍然參考圖11A,上部組件1020係為溫度控制並維持在一選定的溫度。例如,上部組件1020可以耦合至上部組件溫度控制部(未顯示),且上部組件加熱元件(未顯示)可用以耦合至上部組件1020。加熱元件例如可以包含如鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等之燈絲的電阻加熱器元件。製造電阻加熱元件用之可購得的例示材料包含Kanthal、Nikrothal、Akrothal,其為Kanthal Corporation of Bethel,CT.所生產之金屬合金名稱的註冊商標。KANTHAL系列包含鐵素體合金(FeCrAl)以及NIKROTHAL系列包含沃斯田(austenitic)合金(NiCr、NiCrFe)。當電流流過燈絲時,功率會耗散成為熱,因此上部組件溫度控制部例如可以包含可控制式DC電源供應器。例如,上部組件加熱元件可以包含雙區域矽橡膠加熱器(約1.0mm厚),其可為約1400W(或約5 W/in2 的功率密度)。可以使用溫度感測裝置,如熱電偶(例如K型熱電偶、Pt感測器等),來監測上部組件1020之溫度。再者,控制器可以利用溫度量測結果作為對上部組件溫度控制部的回饋,以控制上部組件1020之溫度。或者,或此外,上部組件1020可以包含冷卻元件。
現在參考圖11A、11B、及12,熱處理系統1000更包含基板升降機組件1070。基板升降機組件1070用以將基板1045下降至托架1042、1042'之上表面,及將基板1045'自托架1042、1042'之上表面上升至固持平面、或在其之間的運送平面。在運送平面,可以與用以運送基板進出化學及熱處理室510、1010之運送系統交換基板1045'。當另一對基板在運送系統與化學及熱處理室510、1010之間被交換時,在固持平面,基板1045'可以被冷卻。如圖12所示,基板升降機組件1070包含一對葉片1074、1074',其每一葉片具有三個或三個以上之接收基板1045'用的副片1076、1076'。此外,葉片1074、1074'被耦合至驅動臂1072、1072',用以耦合基板升降機組件1070至熱處理室1010,其中每一驅動臂1072、1072'藉由驅動系統1078而驅動,用以在熱處理室1010內使葉片1074、1074'進行垂直移動。副片1076、1076'用以將基板1045'固持在升高的位置,並且當位於降下的位置時,可隱藏在形成於托架1042、1042'吶的容納腔1077之內。驅動系統1078例如可以包含氣動驅動系統,此氣動驅動系統被設計以符合包括氣缸衝程長度、氣缸衝程速度、定位準確度、非旋轉準確度等各種不同規格,此系統的設計係為熟習氣動驅動系統設計技藝者所知悉。
或者,如圖11A、11B、及13所示,熱處理系統1000更包含基板升降機組件1070'。基板升降機組件1070'用以下降基板1045'至相接的托架1042"之上表面及由相接的托架1042"之上表面上升基板1045',並由托架1042"之上表面上升基板1045'至固持平面、或在其之間的運送平面。在運送平面,基板1045'可以被與運送基板進出化學及熱處理室510、1010用之運送系統交換。當另一對基板在運送系統與化學及熱處理室510、1010之間被交換時,在固持平面,基板1045'可以被冷卻。如圖13所示,基板升降機組件1070'包含單一葉片1074",其具有二組的三個或三個以上之接收基板1045'用的副片1076"、1076'''。此外,單一葉片1074"被耦合至驅動臂1072",用以耦合基板升降機組件1070'至熱處理室1010,如上所述其中驅動臂1072"藉由驅動系統1078而驅動,用以在熱處理室1010內使葉片1074"進行垂直移動。副片1076"、1076'''用以將基板1045'固持在升高的位置,並且當位於降下的位置時,可隱藏在形成於托架1042"內的容納腔之內。驅動系統1078例如可以包含氣動驅動系統,此氣動驅動系統被設計以符合包括氣缸衝程長度、氣缸衝程速度、定位準確度、非旋轉準確度等各種不同規格,此系統的設計係為熟習氣動驅動系統設計技藝者所知悉。
此外,如圖11A所示,熱處理系統1000更包含基板偵測系統,此基板偵測系統包括一個以上的偵測器1022,用以確認基板是否安置於固持平面中。基板偵測系統可以經由一個以上的光學窗1024而得到光學的存取。基板偵測系統例如可以包含Keyence數位雷射感測器。
仍然參考圖11A,熱處理系統1000更包含真空幫浦系統1080。真空幫浦系統1080例如可以包含真空幫浦以及諸如閘閥或蝶型閥的調節閥。真空幫浦例如可以包含渦輪分子式真空幫浦(TMP,turbo-molecular vacuum pump),其抽取速度可上至每分鐘約5000公升(及更大)。對於通常小於約50 mTorr的低壓處理而言,渦輪分子式真空幫浦係為有用。對於高壓(即大於約100 mTorr)或低處理量的處理(即無氣體流動)而言,吾人可使用機械增壓幫浦以及乾式粗抽幫浦。
仍然參考圖11A,熱處理系統1000可以更包含控制系統1090,其具有可產生控制電壓的微處理器、記憶體、以及數位輸入/輸出口,該控制電壓足以傳遞及啟動對熱處理系統1000的輸入以及來自熱處理系統1000的監測輸出。此外,控制系統1090可與基板支架溫度控制部1060、上部組件1020、氣體注入系統1050、基板偵測系統、真空幫浦系統1080、以及基板升降機組件1070耦合並交換資訊。例如,儲存在記憶體中的程式可用以依照處理配方啟動對上述熱處理系統1000之元件的輸入。
控制系統1090可以位於相對於化學處理系統500之局部,或可以經由網際網路或企業內部網路而位於相對於熱處理系統1000之遠處。因此使用直接連接、網際網路、或企業內部網路至少其中之一,控制系統1090可以與熱處理系統1000交換資料。控制系統1090可以耦合至在客戶位置(即裝置製造廠等)之企業內部網路,或耦合至在供應商位置(即設備製造商等)之企業內部網路。再者,另一電腦(即控制器、伺服器等)可以存取控制系統1090,以經由直接連接、網際網路、或企業內部網路至少其中之一,而交換資料。
在一替代實施例中,控制系統590與控制系統1090可為同一控制系統。
圖14顯示包含化學處理系統及熱處理系統之處理平台的操作方法。將該方法顯示為從步驟1410開始之流程圖1400,在步驟1410中,使用基板運送系統將多數個基板運送至化學處理系統。基板被容納在一個以上之基板支架內的升降銷接收,並將基板下降至一個以上之基板支架。之後,基板可以停放在一個以上之基板支架上以進行處理。或者,使用靜電鉗夾系統將基板固定在一個以上之基板支架,且將熱傳氣體供應至基板的背側。
在步驟1420中,設定對於基板之化學處理的一個以上化學處理參數。例如,一個以上的化學處理參數包含化學處理壓力、化學處理室壁溫度、化學處理基板支架溫度、化學處理基板溫度、化學處理氣體分配系統溫度、以及化學處理氣體流率至少其中之一。例如,可以發生下列其中之一以上的情形:1)利用耦合至室壁溫度控制部及第一溫度感測裝置的控制器以設定化學處理室的化學處理室溫度;2)利用耦合至氣體分配系統溫度控制部及第二溫度感測裝置的控制器以設定化學處理室的化學處理氣體分配系統溫度;3)利用耦合至至少一溫度控制元件及第三溫度感測裝置的控制器以設定化學處理基板支架的溫度;4)利用耦合至溫度控制元件、背側氣體供應系統、以及鉗夾系統至少其中之一及位於基板支架中之第四溫度感測裝置的控制器以設定化學處理基板溫度;5)利用耦合至真空幫浦系統以及氣體分配系統至少其中之一及壓力感測裝置的控制器以設定在化學處理室內的處理壓力;及/或6)藉由耦合至位於氣體分配系統內之一個以上的質量流率控制器的控制器而設定一個以上的處理氣體的質量流率。
在步驟1430中,於步驟1420所提出的條件下,使基板進行經過第一段時間的化學處理。例如,第一段時間可從約10秒至約480秒分佈。
在步驟1440中,將基板從化學處理室運送至熱處理室。在此期間,選擇性的基板夾板被移除,並且中止到基板背側的選擇性的熱傳氣體流動。使用升降銷組件,將基板從一個以上之基板支架垂直升起至運送平面。運送系統接收來自升降銷的基板,並將基板放置在熱處理系統內。在此,基板升降機組件接收來自運送系統的基板,並將基板下降至基板支架。
在步驟1450中,設定關於基板之熱處理的一個以上的熱處理參數。舉例而言,一個以上的熱處理參數包含熱處理室壁溫度、熱處理上部組件溫度、熱處理基板溫度、熱處理基板支架溫度、以及熱處理壓力至少其中之一。例如,可以發生下列其中一種以上的情形:1)利用耦合至熱室壁溫度控制部及位於熱處理室中之第一溫度感測裝置的控制器以設定熱處理室壁溫度;2)利用耦合至上部組件溫度控制部及位於上部組件中之第二溫度感測裝置的控制器以設定熱處理上部組件溫度;3)利用耦合至基板支架溫度控制部及位於加熱的基板支架中之第三溫度感測裝置的控制器以設定熱處理基板支架溫度;4)利用耦合至基板支架溫度控制部及位於加熱的基板支架中之第四溫度感測裝置、並耦合至基板的控制器以設定熱處理基板溫度;及/或5)利用耦合至真空幫浦系統、氣體分配系統、以及壓力感測裝置耦合的控制器以設定在熱處理室內的熱處理壓力。
在步驟1460中,於步驟1450所提出的條件下,使基板進行經過第二段時間的熱處理。例如,第二段時間可從10秒至480秒分佈。
在一實施例中,如圖1至4所描繪之處理平台,其包含圖5之化學處理系統以及圖11A及11B之熱處理系統,並可用以執行乾式、非電漿之蝕刻處理或乾式、非電漿之潔淨處理。例如,該處理可用以修整光罩層、或從基板表面去除殘留物及其它污染物。再者,例如,該處理可以包含化學氧化物去除處理。
處理平台包含化學處理系統,用以化學處理在基板上之曝露表層,如氧化表層,藉以使曝露表面上的處理化學品之吸附影響表層的化學改質。此外,處理平台包含用以熱處理基板的熱處理系統,藉以升高基板溫度,以使位於基板上之化學改質的曝露表層進行脫附(或蒸發)。
在化學處理系統中,處理空間可以被操作在大於大氣壓、在大氣壓、或在降壓的環境下。在下述的實施例中,處理空間被操作在降壓的環境下。包含HF及NH3 的處理氣體被通入。或者,此處理氣體可更包含載氣。載氣例如可包含惰性氣體,如氬、氙、氦等等。處理壓力可從約1 mTorr分佈至約1000 mTorr。或者,處理壓力可從約10 mTorr分佈至約500 mTorr。對於每一種氣體物質而言,處理氣體流率可從約1 sccm分佈至約10000 sccm。或者,流率可從約10 sccm分佈至約500 sccm。
此外,化學處理室可被加熱至從約10℃分佈至約200℃的溫度。或者,此腔室溫度可從約30℃分佈至約100℃。此外,可將氣體分配系統加熱至從約10℃分佈至約200℃的溫度。或者,氣體分配系統溫度可從約30℃分佈至約100℃。可將基板維持在從約10℃分佈至約80℃的溫度。或者,基板溫度可從約25℃分佈至約60℃。
在熱處理系統中,熱處理室可被加熱至從約20℃分佈至約200℃的溫度。或者,此腔室溫度可從約100℃分佈至約150℃。此外,上部組件可被加熱至從約20℃分佈至約200℃的溫度。或者,上部組件溫度可從約100℃分佈至約150℃。基板支架可被加熱至超過約100℃的溫度,例如,從約100℃分佈至約200℃的溫度。或者,基板可被加熱至超過約100℃的溫度,例如,從約100℃分佈至約200℃的溫度。
根據另一實施例,包含化學處理室510(圖5)及熱處理室1010(圖11A及11B)的元件之一個以上的表面,可以被塗佈保護性阻障。保護性阻障可以包含陶瓷塗佈、塑膠塗佈、聚合物的塗佈、氣相沉積塗佈等。例如,保護性阻障可以包含聚醯亞胺(polyimide)(如凱通(Kapton))、聚四氟乙烯(polytetrafluoroethylene)樹脂(如鐵弗龍(Teflon)PTFE)、四氟乙烯-全氟烷氧基乙烯基醚共聚物(polyfluoroalkoxy)(PFA)樹脂(如TeflonPFA)、氟化乙烯-丙烯共聚物(fluorinated ethylene propylene)樹脂(如TeflonFEP)、表面陽極化層、陶瓷噴霧塗佈(如氧化鋁、氧化釔等)、電漿電解氧化層等。
現在參考圖15,其執行化學氧化物去除處理,其中包含HF及NH3 的處理氣體被通入至化學處理系統,用以對二氧化矽(SiO2 )薄膜的表層進行化學改質。之後,在熱處理系統中將化學變化的SiO2 薄膜表層去除。如圖15所示,對於一組假設的處理環境(即壓力、溫度等),SiO2 薄膜的蝕刻量(nm)被提供作為HF分壓(mtorr)的函數。對於第一組資料(虛線、中空方形),在化學處理系統中曝露至化學處理的表面包含裸鋁。對於使用與第一組資料相同處理條件之第二組資料(實線、十字形),在化學處理系統中曝露至化學處理之一個以上的表面包含具有對其施加PTFE之塗佈。在此實施例中,將PTFE施加至在化學處理系統中之基板支架的底面。如圖15所描繪,施加塗佈至曝露在化學處理的一個以上的裸鋁表面,會使得蝕刻量增加。吾人設想在這些表面上NH4 F的形成中,此塗佈會減少HF反應物之聚集,因此,減少由曝露的鋁表面所消耗的HF量。
參考圖16,根據實施例提供增加乾式、非電漿之蝕刻速率的方法。將該方法顯示為流程圖1600,其從在化學處理系統中執行化學處理之步驟1610開始。化學處理可以包含乾式、非電漿之化學氧化物去除處理,其中一個以上的基板曝露至包含HF及選擇性的NH3 之氣體環境。氣體環境可以更包含稀釋氣體,如惰性氣體。
在步驟1620中,在熱處理系統中執行熱處理。熱處理可以包含升高一個以上的基板之溫度以去除在化學處理中化學變化的表層。
在步驟1630中,施加塗佈至在化學處理室中之一個以上的表面,以增加對於每一組化學處理及熱處理步驟所達到的蝕刻量。塗佈可以包含任何上述材料其中之一。塗佈可以防止或減少氟化銨(NH4 F)吸附至化學處理系統的內部表面上。化學處理系統的內部表面可以包含化學處理室、溫度控制基板支架、或氣體注入組件、或其任何組合。
雖然以上僅就本發明之某些實施例加以詳細說明,但熟習本項技藝者將輕易地明瞭,在沒有實質上離開本發明之新穎教示及優點的情況下,可以存有許多可能之修改型式。因此,本發明人意圖包含所有這些修改型式在本發明範疇之內。
100...處理平台
110...第一處理系統
102...第一處理元件
104...第二處理元件
120...第二處理系統
130...運送系統
140...多元件製造系統
142A...基板
142B...基板
150...隔離組件
160...專用處置器
200...處理平台
210...第一處理系統
220...第二處理系統
230...運送系統
240...多元件製造系統
250...隔離組件
260...專用處置器
300...處理平台
310...第一處理系統
320...第二處理系統
330...第一運送系統
330’...第二運送系統
340...多元件製造系統
342...基板
350...隔離組件
360...專用處置器
360’...專用處置器
370...輔助處理系統
500...化學處理系統
510...化學處理室
512...處理空間
514...通口
516...驅動系統
518...閘閥組件
520...上部組件
530...加熱器組件
532...加熱元件
534...電源
540...基板支架
542...溫度控制基板檯
544...流體通道
545...基板
546...入口流體導管
548...出口流體導管
550...氣體注入組件
552...第一孔口陣列
554...第二孔口陣列
556...氣體供應系統
560...基板支架溫度控制系統
562...入口流
564...出口流
570...升降銷組件
572...驅動系統
574...升降銷支撐構件
576...第一升降銷陣列
580...真空幫浦系統
590...控制系統
610...下室壁
612...腔室接合元件
613...支撐柱
614...絕緣元件
616...饋通
710...室壁
720...室壁
740...基板支架
740’...基板支架
741...上部
742...溫度控制基板檯
743...下部
744...流體通道
744’...流體通道
745...基板
745’...基板
746...入口流體導管
747...外傾尺寸
747’...額定尺寸
748...出口流體導管
749...嵌入件
750...第一升降銷孔洞陣列
750’...第二升降銷孔洞陣列
751...第一升降銷陣列
751’...第二升降銷陣列
752...升降銷支撐構件
754...活塞構件
760...上表面
762...下表面
764...邊緣表面
780...真空幫浦口
790...裙部
812...處理空間
820...上部組件
830...加熱器組件
831...電阻加熱元件
832...電阻加熱元件
833...電阻加熱元件
834...電阻加熱元件
836...絕緣構件
838...鉗夾構件
840...熱屏蔽
842...柱體
850...氣體注入組件
852...第一孔口陣列
854...第二孔口陣列
855...第一通道
856...第一氣體分配充氣部
857...第二通道
858...第二氣體分配充氣部
860...電源
870...氣體供應系統
920...上部組件
922...平板構件
924...下部構件
930...加熱器組件
931...第二端
932...電阻加熱元件
933...第一端
934...電阻加熱元件
936...電阻加熱元件
938...電阻加熱元件
940...間隔物
950...氣體注入組件
960...溫度感測器
1000...熱處理系統
1010...熱處理室
1012...處理空間
1014...運送通口
1016...通口
1020...上部組件
1022...偵測器
1024...光學窗
1040...基板支架
1042...托架
1042’...托架
1042”...托架
1044...熱阻障
1045...基板
1045’...基板
1046...絕緣構件
1050...氣體注入系統
1060...基板支架溫度控制部
1070...基板升降機組件
1070’...基板升降機組件
1072...驅動臂
1072’...驅動臂
1072”...驅動臂
1074...葉片
1074’...葉片
1074”...葉片
1076...副片
1076’...副片
1076”...副片
1076'''...副片
1077...容納腔
1078...驅動系統
1080...真空幫浦系統
1090...控制系統
1400...流程圖
1410...將基板運送至化學處理系統
1420...設定處理參數
1430...化學處理基板
1440...將基板運送至熱處理系統
1450...設定處理參數
1460...熱處理基板
1600...流程圖
1610...在化學處理系統中執行化學處理
1620...在熱處理系統中執行熱處理
1630...施加塗佈至化學處理室之內部表面
在隨附之圖式中:圖1顯示根據實施例之第一處理系統及第二處理系統之運送系統的概略側視圖;圖2顯示圖1中所描繪之運送系統的概略俯視圖;圖3顯示根據另一實施例之第一處理系統及第二處理系統之運送系統的概略側視圖;圖4顯示根據另一實施例之第一處理系統及第二處理系統之運送系統的概略俯視圖;圖5顯示根據實施例之化學處理系統的橫剖面側視圖;圖6提供圖5中所描繪之化學處理系統之橫剖面側視圖的分解圖;圖7A提供根據實施例之基板支架的俯視圖;圖7B提供圖7A中所描繪之基板支架的側視圖;圖7C顯示根據實施例之化學處理系中之基板支架以及幫浦系統的俯視佈局圖;圖7D提供根據另一實施例之基板支架的俯視圖;圖8A提供根據實施例之升降銷組件的俯視圖;圖8B提供圖8A中所描繪之升降銷組件的側視圖;圖8C提供根據實施例之基板支架中之升降銷對準裝置的分解圖;圖9提供根據實施例之加熱器組件的橫剖面圖;圖10A提供根據實施例之加熱器組件的俯視圖;圖10B提供圖10A中所描繪之加熱器組件的側視圖;圖11A及11B顯示根據實施例之熱處理系統的橫剖面側視圖;圖12顯示根據實施例之基板升降機組件的俯視圖;圖13提供根據另一實施例之基板升降機組件的俯視圖;圖14提供根據實施例之化學處理系統及熱處理系之操作方法;圖15提供使用乾式、非電漿處理之蝕刻速率的例示性資料;以及圖16提供根據實施例使用使用乾式、非電漿蝕刻處理之蝕刻基板的方法。
1000...熱處理系統
1010...熱處理室
1012...處理空間
1014...運送通口
1016...通口
1020...上部組件
1022...偵測器
1024...光學窗
1040...基板支架
1042...托架
1044...熱阻障
1045...基板
1046...絕緣構件
1050...氣體注入系統
1060...基板支架溫度控制部
1070...基板升降機組件
1072...驅動臂
1074...葉片
1078...驅動系統
1080...真空幫浦系統
1090...控制系統

Claims (20)

  1. 一種熱處理多數個基板用之處理系統,其包含:一熱處理室,其中該熱處理室的一熱處理室溫度受到控制;一個以上的溫度控制基板支架,其裝設在該熱處理室之內,並用以支撐二個以上的基板,該等基板在一單一處理空間之中於該一個以上溫度控制基板支架的一支撐表面之上實質上彼此共平面配置,其中該一個以上的溫度控制基板支架包含升高該二個以上的基板之一熱處理基板溫度用之一機構,以熱處理在該二個以上基板之上被化學改質的曝露表層,且其中該一個以上溫度控制基板支架的該支撐表面係用以同時地承受該二個以上基板;一基板升降機組件,其耦合至該熱處理室,用以在一運送平面與該一個以上的溫度控制基板支架之間垂直移動該二個以上的基板;一真空幫浦系統,其耦合至該熱處理室,並用以排空該熱處理室之氣體生成物;以及一專用基板處置器,用以同時地運送實質上彼此共平面配置的該二個以上基板,在裝載該二個以上基板至該一個以上溫度控制基板支架之上之時將該等基板運送進入該熱處理室,以及在從該一個以上溫度控制基板支架卸載該二個以上基板之時將該等基板運送出該熱處理室。
  2. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該熱處理室用以耦合至一化學處理室,該化學處理室用以對在該二個以上的基板上之該曝露表層進行化學改質。
  3. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該一個以上的溫度控制基板支架包含一單一的溫度控制基板支架,其用以在該支撐表面之上支撐該二個以上的基板之全部。
  4. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該一個以上的溫度控制基板支架包含多數個溫度控制基板支架,且其中該多數個溫度控制基板支架的每一個分別在該支撐表面之上支撐該二個以上的基板其中一基板。
  5. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該基板升降機組件包含:一單一的葉片元件,其用以支撐該二個以上的基板;以及一驅動系統,其耦合至該單一的葉片元件,並用以垂直移動該單一的葉片元件。
  6. 如申請專利範圍第5項之熱處理多數個基板用之處理系統,其中該驅動系統包含一氣動驅動系統。
  7. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該基板升降機組件包含對於該二個以上的基板的每一個之一獨立的升降組件,且其中對於該二個以上的基板的每一個之該獨立的升降組件包含:一葉片元件,其用以支撐該二個以上的基板其中一個;以及一驅動系統,其耦合至該葉片元件,並用以垂直移動該葉片元件。
  8. 如申請專利範圍第7項之熱處理多數個基板用之處理系統,其中該驅動系統包含一氣動驅動系統。
  9. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,更包含:一基板偵測系統,其耦合至該熱處理室,並用以偵測該二個以上的基板是否存在於該基板升降機組件上。
  10. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,更包含: 一控制器,其耦合至該熱處理室、該溫度控制基板支架、該基板升降機組件、以及該真空幫浦系統至少其中一者,並用以對該熱處理室溫度、一熱處理基板支架溫度、該熱處理基板溫度、以及一熱處理壓力至少其中一者執行設定、監測、或調節至少其中一者。
  11. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該一個以上的溫度控制基板支架至少包含一薄膜加熱器、一鑄件加熱器(cast-in heater)、一電阻元件、一加熱通道、一輻射燈、以及一熱電裝置其中一者。
  12. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該熱處理室包含一冷卻通道、一加熱通道、一電阻加熱元件、一輻射燈、以及一熱電裝置至少其中一者。
  13. 如申請專利範圍第1項之熱處理多數個基板的處理系統,更包含:一上部組件,其中該上部組件受到溫度控制。
  14. 如申請專利範圍第13項之熱處理多數個基板用之處理系統,其中該上部組件用以執行通入一沖洗氣體至該熱處理室、及偵測該基板是否存在於該基板升降機組件上至少其中一者。
  15. 如申請專利範圍第1項之熱處理多數個基板用之處理系統,其中該一個以上的溫度控制基板支架的每一個由一金屬製成,且其中在該一個以上的溫度控制基板支架上的至少一表面包含一塗層。
  16. 如申請專利範圍第15項之熱處理多數個基板用之處理系統,其中該塗層包含使用一氣相沉積製程所施加之一含矽材料。
  17. 一種操作一處理系統以熱處理一基板之方法,其包含:利用一專用基板處置器運送二個以上的基板進入一熱處理系統中,該專用基板處置器係用以將實質上彼此共平面配置的該二個以上基板同時地運送進入該熱處理系統,該熱處理系統包含:一熱處理室;一個以上的溫度控制基板支架,其裝設在該熱處理室之內;一基板升降機組件,其耦合至該熱處理室,用以在一運送平面與該一個以上的溫度控制基板支架之間垂直移動該二個以上的基板;一真空幫浦系統;以及一控制器,其耦合至該熱處理系統;將該二個以上基板裝載至在一單一處理空間之中該一個以上溫度控制基板支架的支撐表面之上;使用該控制器,設定該熱處理系統之熱處理參數,其中該一個以上之熱處理參數包含一熱處理壓力、一熱處理室溫度、一熱處理基板溫度、及一熱處理基板支架溫度至少其中一者;以及使用該熱處理參數,處理在該熱處理系統中之該基板,以使在該基板上之化學改質的曝露表層蒸發。
  18. 如申請專利範圍第17項之操作一處理系統以熱處理一基板之方法,其中:該熱處理室溫度從20℃分佈至200℃;該熱處理基板支架溫度超過100℃;以及該熱處理基板溫度超過100℃。
  19. 如申請專利範圍第17項之操作一處理系統以熱處理一基板之方法,其中:該熱處理室溫度從100℃分佈至150℃;該熱處理基板支架溫度超過150℃;以及該熱處理基板溫度超過100℃。
  20. 如申請專利範圍第17項之操作一處理系統以熱處理一基 板之方法,其中該使用該控制器設定該熱處理系統之熱處理參數的步驟更包含設定被通入至該熱處理室之一沖洗氣體的一流率。
TW098125825A 2008-07-31 2009-07-31 高處理量之熱處理系統及操作方法 TWI431709B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/183,763 US8303715B2 (en) 2008-07-31 2008-07-31 High throughput thermal treatment system and method of operating

Publications (2)

Publication Number Publication Date
TW201013813A TW201013813A (en) 2010-04-01
TWI431709B true TWI431709B (zh) 2014-03-21

Family

ID=41607272

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098125825A TWI431709B (zh) 2008-07-31 2009-07-31 高處理量之熱處理系統及操作方法

Country Status (2)

Country Link
US (1) US8303715B2 (zh)
TW (1) TWI431709B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713677B (zh) * 2016-01-21 2020-12-21 美商應用材料股份有限公司 高生產力浸泡退火系統

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
US10256123B2 (en) 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US10950275B2 (en) * 2016-11-18 2021-03-16 Facebook, Inc. Methods and systems for tracking media effects in a media effect index
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0054201B1 (en) * 1980-12-11 1986-11-05 Kabushiki Kaisha Toshiba Dry etching device and method
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
TW204411B (zh) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US6074951A (en) * 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5838055A (en) * 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5876879A (en) * 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US20020011216A1 (en) * 1999-06-04 2002-01-31 Tue Nguyen Integral susceptor-wall reactor system and method
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US20010016226A1 (en) * 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
JP4808889B2 (ja) * 2000-01-05 2011-11-02 東京エレクトロン株式会社 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス
US6245619B1 (en) * 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
KR100462237B1 (ko) * 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
WO2002020864A2 (en) * 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP4672113B2 (ja) * 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6936134B2 (en) * 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713677B (zh) * 2016-01-21 2020-12-21 美商應用材料股份有限公司 高生產力浸泡退火系統

Also Published As

Publication number Publication date
US8303715B2 (en) 2012-11-06
TW201013813A (en) 2010-04-01
US20100025368A1 (en) 2010-02-04

Similar Documents

Publication Publication Date Title
TWI407520B (zh) 化學處理與熱處理用之高處理量處理系統
TWI431709B (zh) 高處理量之熱處理系統及操作方法
US7964058B2 (en) Processing system and method for chemically treating a substrate
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
JP4795935B2 (ja) 基板を処理する処理システムおよび方法
TWI536478B (zh) 高處理量之化學處理系統及操作方法
US7651583B2 (en) Processing system and method for treating a substrate
US8287688B2 (en) Substrate support for high throughput chemical treatment system
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US20050269291A1 (en) Method of operating a processing system for treating a substrate
EP1730768A2 (en) Method and system for adjusting a chemical oxide removal process using partial pressure
EP1730770A2 (en) Processing system and method for treating a substrate
JP5356522B2 (ja) 化学処理及び熱処理用高スループット処理システム及びその動作方法
US8115140B2 (en) Heater assembly for high throughput chemical treatment system