TWI430406B - 3dic architecture with interposer for bonding dies - Google Patents

3dic architecture with interposer for bonding dies Download PDF

Info

Publication number
TWI430406B
TWI430406B TW100103852A TW100103852A TWI430406B TW I430406 B TWI430406 B TW I430406B TW 100103852 A TW100103852 A TW 100103852A TW 100103852 A TW100103852 A TW 100103852A TW I430406 B TWI430406 B TW I430406B
Authority
TW
Taiwan
Prior art keywords
substrate
interconnect structure
wafer
integrated circuit
circuit component
Prior art date
Application number
TW100103852A
Other languages
Chinese (zh)
Other versions
TW201135879A (en
Inventor
Hsien Pin Hu
Chen Hua Yu
Ming Fa Chen
Jing Cheng Lin
Jiun Ren Lai
Yung Chi Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201135879A publication Critical patent/TW201135879A/en
Application granted granted Critical
Publication of TWI430406B publication Critical patent/TWI430406B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Wire Bonding (AREA)

Description

積體電路元件及其形成方法Integrated circuit component and method of forming same

本揭露書是有關於積體電路,且特別是有關於包含中介層(interposers)之三維積體電路(three-dimensional integrated circuits,3DICs)及其形成方法。The present disclosure relates to integrated circuits, and more particularly to three-dimensional integrated circuits (3DICs) including interposers and methods of forming the same.

自從積體電路之發明起,半導體工業已經歷持續的快速成長,這是由於各種電子元件(即,電晶體、二極體、電阻元件、電容元件等)之整合密度的持續增進。佔最大原因地,此整合密度之增進來自於最小特徵尺寸(minimum feature size)的一再縮小化,其允許了更多元件整合至所給予之晶片面積中。Since the invention of the integrated circuit, the semiconductor industry has experienced continuous rapid growth due to the continuous increase in the integration density of various electronic components (ie, transistors, diodes, resistive components, capacitive components, etc.). For the most part, this increase in integration density comes from the repeated minimization of the minimum feature size, which allows more components to be integrated into the given wafer area.

這些整合增進實際上為實質二維的,其中所整合之元件所佔的體積實質於半導體晶圓之表面上。雖然,微影製程之顯著的增進已於二維積體電路製作中造成相當大的進步,但在二維中所能達到的密度有著物理限制。這些限制其中之一為製造這些元件所需之最小尺寸。並且,當更多的元件放進一晶片中時,需要更多複雜的設計。另一附加限制係因為隨著元件數目之增加,元件間之內連線的數目與長度隨之而顯著增加。當內連線之長度與數目增加時,電路之電阻電容延遲(RC delay)與功率損耗(power consumption)亦皆增加。These integration enhancements are actually two-dimensional in nature, where the integrated components occupy a volume that is substantially on the surface of the semiconductor wafer. Although the significant enhancement of the lithography process has made considerable progress in the fabrication of two-dimensional integrated circuits, the density that can be achieved in two dimensions has physical limitations. One of these limitations is the minimum size required to make these components. Also, when more components are placed in a wafer, more complex designs are needed. Another additional limitation is that as the number of components increases, the number and length of interconnects between components increases significantly. As the length and number of interconnects increase, the RC delay and power consumption of the circuit also increase.

因而形成了三維積體電路(3DICs),其中可堆疊兩晶片,其於其中一晶片中形成有穿矽導電結構(through-silicon vias,TSVs)以將另一晶片連接至封裝基板。穿矽導電結構常常在前端製程(front-end-of-line,FEOL)(其中形成了元件,例如,電晶體)之後形成,且還可能在後端製程(back-end-of-line,BEOL)(其中形成了內連線結構)之後形成。這可能造成已形成之晶片的良率損失。再者,既然穿矽導電結構是在積體電路形成之後才形成,製造的流程時間亦會拉長。Thus, three-dimensional integrated circuits (3DICs) are formed in which two wafers can be stacked, in which one through-silicon vias (TSVs) are formed to connect another wafer to the package substrate. The through-conducting conductive structure is often formed after a front-end-of-line (FEOL) in which components such as transistors are formed, and possibly also in a back-end-of-line (BEOL) process. ) (formed in which an interconnect structure is formed). This can result in yield loss of the formed wafer. Furthermore, since the conductive structure is formed after the formation of the integrated circuit, the manufacturing process time is also lengthened.

本發明一實施例提供一種積體電路元件,包括:一中介層,大抵不具有積體電路元件,其中該中介層包括:一基底,具有一第一側及相反於該第一側之一第二側;複數個穿基底導電結構,位於該基底之中;一第一內連線結構,位於該基底之該第一側上,且電性耦接至至少一該些穿基底導電結構;以及一第二內連線結構,位於該基底之該第二側上,且電性耦接至至少一該些穿基底導電結構;一第一晶片,接合於該第一內連線結構之上;以及一第二晶片,接合於該第二內連線結構之上。An embodiment of the present invention provides an integrated circuit component, including: an interposer having substantially no integrated circuit component, wherein the interposer includes: a substrate having a first side and opposite to the first side a plurality of through-substrate conductive structures are disposed in the substrate; a first interconnect structure is disposed on the first side of the substrate and electrically coupled to the at least one of the through-substrate conductive structures; a second interconnect structure is disposed on the second side of the substrate and electrically coupled to the at least one of the through substrate conductive structures; a first wafer bonded to the first interconnect structure; And a second wafer bonded to the second interconnect structure.

本發明一實施例提供一種積體電路元件,包括:一中介層,大抵不具有積體電路元件,其中該中介層包括:一基底,具有一第一側及相反於該第一側之一第二側;複數個穿基底導電結構,位於該基底之中;一第一內連線結構,位於該基底之該第一側上,且電性耦接至至少一該些穿基底導電結構;以及一開口,位於該基底之中,且鄰接至少一該些穿基底導電結構;一第一晶片,接合於該第一內連線結構之上;以及一第二晶片,形成於該開口之中,且接合至該第一內連線結構之上。An embodiment of the present invention provides an integrated circuit component, including: an interposer having substantially no integrated circuit component, wherein the interposer includes: a substrate having a first side and opposite to the first side a plurality of through-substrate conductive structures are disposed in the substrate; a first interconnect structure is disposed on the first side of the substrate and electrically coupled to the at least one of the through-substrate conductive structures; An opening in the substrate adjacent to at least one of the through-substrate conductive structures; a first wafer bonded to the first interconnect structure; and a second wafer formed in the opening And bonded to the first interconnect structure.

本發明一實施例提供一種積體電路元件的形成方法,包括:提供一矽基底,大抵不具有積體電路元件;形成一穿基底導電結構,自該矽基底之一前側穿過該矽基底至一預定深度;於該矽基底之該前側上形成一第一內連線結構,其中該第一內連線結構包括至少一介電層及位於該至少一介電層中之金屬結構;將一第一晶片接合至該第一內連線結構上;自該矽基底之一背側移除該矽基底以使該穿基底導電結構之一端露出;於該矽基底之該背側上形成一第二內連線結構,且該第二內連線結構電性耦接至該穿基底導電結構之該端;形成一開口,穿過該第二內連線結構及該矽基底,並到達該第一內連線結構之一表面;以及將一第二晶片接合至該開口中之該第一內連線結構的該表面上。An embodiment of the present invention provides a method of forming an integrated circuit component, comprising: providing a germanium substrate having substantially no integrated circuit component; forming a through-substrate conductive structure from a front side of the germanium substrate through the germanium substrate to Forming a first interconnecting structure on the front side of the germanium substrate, wherein the first interconnecting structure comprises at least one dielectric layer and a metal structure in the at least one dielectric layer; a first wafer is bonded to the first interconnect structure; the germanium substrate is removed from a back side of the germanium substrate to expose one end of the through-substrate conductive structure; and a first side is formed on the back side of the germanium substrate a second interconnect structure, and the second interconnect structure is electrically coupled to the end of the through-substrate conductive structure; forming an opening, passing through the second interconnect structure and the germanium substrate, and reaching the first a surface of one of the interconnect structures; and bonding a second wafer to the surface of the first interconnect structure in the opening.

亦揭露其他實施例。Other embodiments are also disclosed.

以下將詳細說明本發明實施例之製作與使用方式。然應注意的是,本發明提供許多可供應用的發明概念,其可以多種特定型式實施。文中所舉例討論之特定實施例僅為製造與使用本發明之特定方式,非用以限制本發明之範圍。再者,當述及一第一材料層位於一第二材料層上或之上時,包括第一材料層與第二材料層直接接觸或間隔有一或更多其他材料層之情形。為了簡單與清楚化,許多結構可能會繪成不同的尺寸。The manner of making and using the embodiments of the present invention will be described in detail below. It should be noted, however, that the present invention provides many inventive concepts that can be applied in various specific forms. The specific embodiments discussed herein are merely illustrative of specific ways of making and using the invention, and are not intended to limit the scope of the invention. Furthermore, when a first material layer is referred to or on a second material layer, the first material layer is in direct contact with or separated from the second material layer by one or more other material layers. Many structures may be drawn to different sizes for simplicity and clarity.

提供一種新穎的三維積體電路(3DIC)及其形成方法。將說明製造實施例之中間製程步驟。將討論實施例之變化。在通篇的圖式與實施例中,相似的標號將用以標示相似的元件。A novel three-dimensional integrated circuit (3DIC) and a method of forming the same are provided. The intermediate process steps of the manufacturing embodiment will be explained. Variations of the embodiments will be discussed. In the drawings and embodiments, like reference numerals will be used to refer to the like.

請參照第1A圖,提供基底10。在通篇說明中,基底10及對應的內連線結構12及32(未顯示於第1A圖中,請參照第1D圖)將共同稱作中介層晶圓(interposer wafer)100。基底10可由半導體材料形成,例如矽、矽鍺、碳化矽、砷化鎵、或其他常用的半導體材料。或者,基底10係由介電材料形成。中介層晶圓100大抵不具有積體電路元件,包含例如是電晶體及二極體之主動元件。此外,中介層晶圓100可包括(或可不具有)例如是電容元件、電阻元件、電感元件、變容元件(varactors)、及/或其相似物之被動元件。Referring to Figure 1A, a substrate 10 is provided. Throughout the description, the substrate 10 and the corresponding interconnect structures 12 and 32 (not shown in FIG. 1A, please refer to FIG. 1D) will collectively be referred to as an interposer wafer 100. Substrate 10 may be formed from a semiconductor material such as germanium, germanium, tantalum carbide, gallium arsenide, or other commonly used semiconductor materials. Alternatively, substrate 10 is formed from a dielectric material. The interposer wafer 100 generally does not have integrated circuit components, and includes active components such as transistors and diodes. Moreover, the interposer wafer 100 may include (or may not have) passive components such as capacitive elements, resistive elements, inductive elements, varactors, and/or the like.

前側(front-side)內連線結構12係形成於基底10之上。內連線結構12包括一或更多的介電層18及位於介電層18中之金屬線路(metal lines)14及介層窗(vias)16。在通篇的敘述中,中介層晶圓100在第1A圖中朝上的一側稱作前側,而朝下的一側稱作背側。金屬線路14及介層窗16稱作前側重佈線路(front-side redistribution lines,RDLs)。再者,穿基底導電結構(through-substrate vias,TSVs)20係形成於基底10中至預定深度,且可能穿過一些或全部的介電層18。穿基底導電結構20電性耦接至前側重佈線路(14/16)。A front-side interconnect structure 12 is formed over the substrate 10. The interconnect structure 12 includes one or more dielectric layers 18 and metal lines 14 and vias 16 in the dielectric layer 18. Throughout the description, the side of the interposer wafer 100 that faces upward in FIG. 1A is referred to as the front side, and the side that faces downward is referred to as the back side. Metal lines 14 and vias 16 are referred to as front-side redistribution lines (RDLs). Furthermore, through-substrate vias (TSVs) 20 are formed in the substrate 10 to a predetermined depth and may pass through some or all of the dielectric layers 18. The through substrate conductive structure 20 is electrically coupled to the front side redistribution line (14/16).

接著,於中介層晶圓100之前側上形成前側(金屬)凸塊(或接墊)24,且其電性耦接至穿基底導電結構20及重佈線路(14/16)。在一實施例中,前側金屬凸塊24為銲料凸塊(solder bumps),例如共晶銲料凸塊(eutectic solder bumps)。在另一實施例中,前側金屬凸塊24為銅凸塊或其他金屬凸塊,其可由金、銀、鎳、鎢、鋁、及/或前述之合金而形成。前側金屬凸塊24可突出於內連線結構12之表面。Next, a front side (metal) bump (or pad) 24 is formed on the front side of the interposer wafer 100, and is electrically coupled to the through substrate conductive structure 20 and the redistribution line (14/16). In an embodiment, the front side metal bumps 24 are solder bumps, such as eutectic solder bumps. In another embodiment, the front side metal bumps 24 are copper bumps or other metal bumps that may be formed of gold, silver, nickel, tungsten, aluminum, and/or alloys of the foregoing. The front side metal bumps 24 may protrude from the surface of the interconnect structure 12.

請參照第1B圖,將晶片(dies)22接合至前側金屬凸塊24。晶片22可為包含積體電路元件之元件晶片,例如包含電晶體、電容元件、電感元件、電阻元件(未顯示)、及其相似物於其中。再者,晶片22可為包括核心電路(core circuit)之邏輯晶片,且可例如為中央處理單元(center processing unit,CPU)晶片。晶片22與前側金屬凸塊24之間的接合可為銲料接合(solder bonding)或直接金屬對金屬接合(direct metal-to-metal bonding),例如銅對銅接合。作為替代地,晶片22係在背側內連線結構32(第1D圖)形成之後才接合,之後將詳細討論。底膠23注入於晶片22與中介層晶圓100之間的間隔之中,並被固化。Referring to FIG. 1B, the dies 22 are bonded to the front side metal bumps 24. The wafer 22 may be an element wafer including integrated circuit components, for example, including a transistor, a capacitive element, an inductive element, a resistive element (not shown), and the like. Moreover, the wafer 22 can be a logic chip including a core circuit, and can be, for example, a central processing unit (CPU) wafer. The bond between the wafer 22 and the front side metal bumps 24 may be solder bonding or direct metal-to-metal bonding, such as copper-to-copper bonding. Alternatively, the wafer 22 is joined after the back side inner wiring structure 32 (Fig. 1D) is formed, as will be discussed in detail later. The primer 23 is injected into the space between the wafer 22 and the interposer wafer 100 and cured.

請參照第1C圖,透過黏著層28將承載基板(carrier)26(其可為玻璃晶圓,glass wafer)接合至中介層晶圓100的前側之上。黏著層28可為紫外線膠(UV glue)或可由其他所知的黏著材料形成。進行晶圓背側研磨以自背側薄化基底10直至穿基底導電結構20露出。可進行蝕刻製程以進一步降低基底10之表面而使穿基底導電結構20突出於基底10剩餘部分之背表面。Referring to FIG. 1C, a carrier 26 (which may be a glass wafer) is bonded to the front side of the interposer wafer 100 through the adhesive layer 28. Adhesive layer 28 can be a UV glue or can be formed from other known adhesive materials. Wafer backside polishing is performed to thin the substrate 10 from the back side until the through substrate conductive structure 20 is exposed. An etching process can be performed to further reduce the surface of the substrate 10 such that the through substrate conductive structure 20 protrudes from the back surface of the remaining portion of the substrate 10.

接著,如第1D及1E圖所示,形成背側內連線結構32以連接穿基底導電結構20。在許多實施例中,背側內連線結構32可具有相似於前側內連線結構12之結構,而可包括金屬凸塊及一或更多層的重佈線路。例如,背側內連線結構32可包括位於基底10上之介電層34,其中介電層34可為低溫聚醯亞胺層(low-temperature polyimide layer)或可由所週知的介電材料形成,例如旋塗玻璃(spin-on glass)、氧化矽、氮氧化矽、或其相似物。介電層34可使用化學氣相沉積(CVD)而形成。當使用低溫聚醯亞胺層時,介電層34亦可用作應力緩衝層。如第1E圖所示,接著形成凸塊下金屬層(UBM)36及背側金屬凸塊38A。相似地,背側金屬凸塊38A可為銲料凸塊,例如共晶銲料凸塊、銅凸塊、或其他由金、銀、鎳、鎢、鋁、及/或前述之合金所形成之金屬凸塊。在一實施例中,凸塊下金屬層36及背側金屬凸塊38A之形成可包括毯覆式形成凸塊下金屬層、於凸塊下金屬層上形成遮罩,其具有開口、於開口中電鍍背側金屬凸塊38A、移除遮罩、及進行快速蝕刻以移除毯覆式凸塊下金屬層先前由遮罩所覆蓋之部分。Next, as shown in FIGS. 1D and 1E, the back side interconnect structure 32 is formed to connect through the base conductive structure 20. In many embodiments, the backside interconnect structure 32 can have a structure similar to the front side interconnect structure 12, and can include metal bumps and one or more layers of redistribution circuitry. For example, the backside interconnect structure 32 can include a dielectric layer 34 on the substrate 10, wherein the dielectric layer 34 can be a low-temperature polyimide layer or a well-known dielectric material. Formed, for example, spin-on glass, cerium oxide, cerium oxynitride, or the like. Dielectric layer 34 can be formed using chemical vapor deposition (CVD). When a low temperature polyimide layer is used, the dielectric layer 34 can also function as a stress buffer layer. As shown in FIG. 1E, a bump under metal layer (UBM) 36 and a back side metal bump 38A are then formed. Similarly, the backside metal bumps 38A can be solder bumps, such as eutectic solder bumps, copper bumps, or other metal bumps formed of gold, silver, nickel, tungsten, aluminum, and/or alloys of the foregoing. Piece. In an embodiment, the under bump metal layer 36 and the back side metal bump 38A may comprise a blanket-formed under bump metal layer, a mask formed on the under bump metal layer, having an opening, opening The backside metal bumps 38A are plated, the mask is removed, and a quick etch is performed to remove portions of the underlying metal layer of the blanket bump that were previously covered by the mask.

請參照第1F圖,將晶片50接合至中介層晶圓100的背側。晶片50可透過前側內連線結構12、背側內連線結構32、及穿基底導電結構20而電性耦接至晶片22。晶片22及晶片50可為不同型式之晶片。例如,晶片22可為邏輯晶片,例如CPU晶片,而晶片50可為記憶體晶片。Referring to FIG. 1F, the wafer 50 is bonded to the back side of the interposer wafer 100. The wafer 50 is electrically coupled to the wafer 22 through the front side interconnect structure 12 , the back side interconnect structure 32 , and the through substrate conductive structure 20 . Wafer 22 and wafer 50 can be different types of wafers. For example, wafer 22 can be a logic wafer, such as a CPU wafer, and wafer 50 can be a memory wafer.

接著,如第1H圖所示,於中介層晶圓100的背側上形成大凸塊38B,且其電性耦接至背側內連線結構32、穿基底導電結構20、及可能的晶片22及50。大凸塊38B可為銲料凸塊,其例如由共晶銲料(eutectic solder)形成,雖然它們亦可為其他型式的凸塊,例如金屬接點(metal bonds)。在其他實施例中,接合晶片50及形成大凸塊38B之順序可顛倒。第1G圖顯示另一實施例,其中係先形成大凸塊38B,接著接合晶片50以形成顯示於第1H圖中之結構。在這些實施例中,凸塊38A(以下稱為小凸塊)及大凸塊38B可使用單一步驟凸塊形成製程(one-step bump formation process)而同時形成。Next, as shown in FIG. 1H, a large bump 38B is formed on the back side of the interposer wafer 100, and is electrically coupled to the back side interconnect structure 32, the through substrate conductive structure 20, and possibly the wafer. 22 and 50. The large bumps 38B can be solder bumps, for example formed of eutectic solder, although they can be other types of bumps, such as metal bonds. In other embodiments, the order in which the wafer 50 is bonded and the large bumps 38B are formed may be reversed. Figure 1G shows another embodiment in which large bumps 38B are formed first, followed by bonding of wafers 50 to form the structure shown in Figure 1H. In these embodiments, bumps 38A (hereinafter referred to as small bumps) and large bumps 38B may be formed simultaneously using a one-step bump formation process.

在第1I圖中,取下如第1H圖所示之承載基板26,例如藉由對黏著層28(UV膠)照射紫外光而造成黏著層28失去黏性。接著,將切割膠帶60貼合至最終結構之前側。接著,沿著線62進行切割以將中介層晶圓100及接合於中介層晶圓100上之晶片22及50分離成數個晶片。In Fig. 1I, the carrier substrate 26 as shown in Fig. 1H is removed, and the adhesive layer 28 is rendered viscous, for example, by irradiating the adhesive layer 28 (UV glue) with ultraviolet light. Next, the dicing tape 60 is attached to the front side of the final structure. Next, dicing along line 62 separates interposer wafer 100 and wafers 22 and 50 bonded to interposer wafer 100 into a plurality of wafers.

在第1I圖中,由於晶片50之存在,中介層晶圓100之部分的背側不可用於形成大凸塊38B。然而,在顯示於第2A-2D圖之其他實施例中,可形成更多的大凸塊38B,這是因為一些大凸塊38B(如第2D圖所示,標示為38B’)可形成作垂直對準並重疊於晶片50。簡要的製程流程顯示於第2A-2D圖之中。此實施例之起始製程步驟可實質上相同於第1A-1F圖所示者,其中形成了用以接合晶片50之小凸塊38A,而這一次不形成大凸塊38B。接著,如第2A圖所示,將晶片50接合至中介層晶圓100之背側。將底膠52填入晶片50與中介層晶圓100之間的間隔之中,並接著將底膠52固化。In FIG. 1I, the back side of the portion of the interposer wafer 100 is not available for forming the large bumps 38B due to the presence of the wafer 50. However, in other embodiments shown in Figures 2A-2D, more large bumps 38B may be formed because some of the large bumps 38B (labeled 38B' as shown in Figure 2D) may be formed. Vertically aligned and overlaid on wafer 50. A brief process flow is shown in Figure 2A-2D. The initial process steps of this embodiment can be substantially the same as those shown in Figures 1A-1F, in which the small bumps 38A are formed to bond the wafer 50, and this time no large bumps 38B are formed. Next, as shown in FIG. 2A, the wafer 50 is bonded to the back side of the interposer wafer 100. The primer 52 is filled into the space between the wafer 50 and the interposer wafer 100, and then the primer 52 is cured.

請參照第2B圖,將封裝化合物(molding compound)54(或稱為封裝材料,encapsulating material)形成於晶片50及中介層晶圓100之上。封裝化合物54之頂表面可高於或等高於晶片50之頂表面。請參照第2C圖,形成深介層窗(deep vias)56以穿過封裝化合物54,並電性耦接至背側內連線結構32。接著,形成內連線結構58,其包括電性耦接至深介層窗56之重佈線路49,並接著形成凸塊下金屬層(未標示)及大凸塊38B。再次,可於凸塊下金屬層之下形成應力緩衝層,其可由聚醯亞胺層或防銲層(solder resist)而形成。可發現一些大凸塊38B(標作38B’)可直接形成於部分的晶片50之上,且與部分的晶片50垂直重疊,因而大凸塊38B之數目可增加至超出第1I圖所示之結構。Referring to FIG. 2B, a molding compound 54 (or encapsulating material) is formed on the wafer 50 and the interposer wafer 100. The top surface of the encapsulation compound 54 can be higher or higher than the top surface of the wafer 50. Referring to FIG. 2C, deep vias 56 are formed to pass through the encapsulation compound 54 and electrically coupled to the back side interconnect structure 32. Next, an interconnect structure 58 is formed that includes a redistribution trace 49 that is electrically coupled to the deep via 56 and then forms a under bump metal layer (not labeled) and a large bump 38B. Again, a stress buffer layer may be formed under the under bump metal layer, which may be formed from a polyimide layer or a solder resist. It can be seen that some of the large bumps 38B (labeled 38B') can be formed directly on a portion of the wafer 50 and vertically overlap the portion of the wafer 50, so that the number of large bumps 38B can be increased beyond that shown in FIG. structure.

在第2D圖中,取下承載基板26。接著,將切割膠帶60貼合至最終結構之前側。接著,進行切割以將中介層晶圓100及接合於中介層晶圓100上之晶片22及50分離成複數個晶片。In the 2D drawing, the carrier substrate 26 is removed. Next, the dicing tape 60 is attached to the front side of the final structure. Next, dicing is performed to separate the interposer wafer 100 and the wafers 22 and 50 bonded to the interposer wafer 100 into a plurality of wafers.

第3A-3D圖顯示另一實施例,此實施例之起始製程步驟可實質上相同於顯示於第1A-1F及第2A圖中者,其中晶片50接合於中介層晶圓100之上。接著,如第3A圖所示,將虛置晶圓(dummy wafer)66接合至中介層晶圓100之上,其中虛置晶圓之材料亦稱為封裝材料(encapsulating material)。在一實施例中,虛置晶圓66為一虛置矽晶圓。在另一實施例中,虛置晶圓66由其他半導體材料形成,例如碳化矽、砷化鎵、或其相似物。虛置晶圓66可不具有積體電路元件(例如電容元件、電阻元件、變容元件、電感元件、及/或電晶體)於其中。在又一實施例中,虛置晶圓66可為介電材料晶圓(dielectric wafer)。空腔(cavities)68係形成於虛置晶圓66之中。虛置晶圓66於中介層晶圓100上之接合可包括氧化物對氧化物接合(oxide-to-oxide bonding)。在一實施例中,在虛置晶圓66接合至中介層晶圓100上之前,預先於虛置晶圓66上形成氧化物層69,其可由氧化矽(例如,熱氧化物,thermal oxide)所形成,且氧化物層70可預先形成於中介層晶圓100之上。接著,透過氧化物對氧化物接合而將氧化物層69接合至氧化物層70之上。因此,晶片50係藏置於空腔68之中,且最終結構之表面72是平坦的。3A-3D shows another embodiment. The initial process steps of this embodiment can be substantially the same as those shown in FIGS. 1A-1F and 2A, wherein the wafer 50 is bonded over the interposer wafer 100. Next, as shown in FIG. 3A, a dummy wafer 66 is bonded over the interposer wafer 100, wherein the material of the dummy wafer is also referred to as an encapsulating material. In one embodiment, the dummy wafer 66 is a dummy wafer. In another embodiment, dummy wafer 66 is formed from other semiconductor materials, such as tantalum carbide, gallium arsenide, or the like. The dummy wafer 66 may have no integrated circuit components (eg, capacitive components, resistive components, varactor components, inductive components, and/or transistors) therein. In yet another embodiment, the dummy wafer 66 can be a dielectric wafer. Cavities 68 are formed in the dummy wafer 66. Bonding of the dummy wafer 66 onto the interposer wafer 100 can include oxide-to-oxide bonding. In one embodiment, an oxide layer 69, which may be made of yttrium oxide (eg, thermal oxide), is formed on the dummy wafer 66 before the dummy wafer 66 is bonded to the interposer wafer 100. The oxide layer 70 is formed on the interposer wafer 100 in advance. Next, the oxide layer 69 is bonded to the oxide layer 70 by oxide-to-oxide bonding. Thus, wafer 50 is housed in cavity 68 and the surface 72 of the final structure is flat.

接著,如第3B圖所示,形成穿基底導電結構(即,深介層窗56)以穿過虛置晶圓66及氧化物層69及70,並電性耦接至背側內連線結構32。接著,形成內連線結構58,其包括電性耦接至深介層窗56之重佈線路49,並接著形成凸塊下金屬層(未標示)及大凸塊38B。再次,大凸塊38B包括凸塊38B’,其直接形成於晶片50之上,且垂直重疊於晶片50。Next, as shown in FIG. 3B, a through-substrate conductive structure (ie, deep via 56) is formed to pass through the dummy wafer 66 and the oxide layers 69 and 70, and is electrically coupled to the back side interconnect. Structure 32. Next, an interconnect structure 58 is formed that includes a redistribution trace 49 that is electrically coupled to the deep via 56 and then forms a under bump metal layer (not labeled) and a large bump 38B. Again, the large bump 38B includes a bump 38B' that is formed directly over the wafer 50 and that is vertically overlaid on the wafer 50.

在第3C圖中,將承載基板26取下。接著,將切割膠帶60黏貼至最終結構之一側上。接著,進行切割以將中介層晶圓100及接合於中介層晶圓100上之晶片22及50分離成複數個晶片。In Fig. 3C, the carrier substrate 26 is removed. Next, the dicing tape 60 is adhered to one side of the final structure. Next, dicing is performed to separate the interposer wafer 100 and the wafers 22 and 50 bonded to the interposer wafer 100 into a plurality of wafers.

第4A-4D圖顯示又一實施例,其中晶片50位於中介層晶圓100中之空腔中。首先,形成顯示於第4A圖中之結構,其中製程可實質上相同於如第1A-1E圖所示者。因此,形成之細節在此不再討論。接著,如第4B圖所示,於中介層晶圓100中形成開口74,例如可使用濕式蝕刻或乾式蝕刻。其進行可藉由形成並圖案化光阻76,且接著透過光阻76中之開口蝕刻中介層晶圓100。蝕刻可停止於當蝕刻到前側內連線結構12時,或前側內連線結構12中之部分的金屬結構(metal features)露出時。前側內連線結構12中所露出的金屬結構可用作接墊(bond pads)。4A-4D shows yet another embodiment in which the wafer 50 is located in a cavity in the interposer wafer 100. First, the structure shown in Fig. 4A is formed, wherein the process can be substantially the same as that shown in Figs. 1A-1E. Therefore, the details of the formation are not discussed here. Next, as shown in FIG. 4B, an opening 74 is formed in the interposer wafer 100, and for example, wet etching or dry etching can be used. This is done by forming and patterning the photoresist 76 and then etching the interposer wafer 100 through the openings in the photoresist 76. The etching may stop when the front side interconnect structure 12 is etched, or when a portion of the metal features in the front side interconnect structure 12 are exposed. The metal structure exposed in the front side interconnect structure 12 can be used as a bond pads.

在第4C圖中,將晶片50插入開口74中,並接合至前側內連線結構12中之金屬結構之上。接合可為銲料接合、金屬對金屬接合、或其相似接合。因此,晶片50可電性耦接至晶片22及穿基底導電結構20。接著,將底膠80填入開口74中之剩餘空間之中。In FIG. 4C, the wafer 50 is inserted into the opening 74 and bonded to the metal structure in the front side interconnect structure 12. The bonding can be solder bonding, metal to metal bonding, or similar bonding. Therefore, the wafer 50 can be electrically coupled to the wafer 22 and through the substrate conductive structure 20. Next, the primer 80 is filled into the remaining space in the opening 74.

請參照第4D圖,形成大凸塊38B。在另一實施例中,大凸塊38B係形成於開口74之形成(第4B圖)與晶片50之接合之前。在第4E圖中,黏貼切割膠帶60,且可將顯示於第4E圖中之三維積體電路切割成個別的晶片。Referring to FIG. 4D, a large bump 38B is formed. In another embodiment, the large bump 38B is formed prior to the formation of the opening 74 (Fig. 4B) and the bonding of the wafer 50. In Fig. 4E, the dicing tape 60 is pasted, and the three-dimensional integrated circuit shown in Fig. 4E can be cut into individual wafers.

在另一實施例中,在形成顯示於第4C圖之結構之後,將封裝化合物54(第2B-2D圖)或虛置晶圓66(第3A-3C圖)形成/接合於顯示於第4C圖之結構上,以及中介層晶圓100之相對於晶片22的相反側上。剩餘的製程步驟可相似於顯示於第2B-2D圖及第3A-3C圖中者,因而在此不再討論。再者,在每一上述討論的實施例中,可在接合晶片50之前或之後,將晶片22接合至中介層晶圓50之上,並可在形成大凸塊38B後接合。In another embodiment, after forming the structure shown in FIG. 4C, the package compound 54 (2B-2D pattern) or the dummy wafer 66 (3A-3C pattern) is formed/joined and displayed on the 4C. The structure of the figure, as well as the opposite side of the interposer wafer 100 relative to the wafer 22. The remaining process steps can be similar to those shown in Figures 2B-2D and 3A-3C and are therefore not discussed here. Moreover, in each of the embodiments discussed above, wafer 22 can be bonded over interposer wafer 50 before or after bonding wafer 50, and can be bonded after formation of large bumps 38B.

在以上的實施例中,中介層晶圓100中之穿基底導電結構20(例如參照第1C圖)可具有相同的長度。在另一實施例中,穿基底導電結構20可具有不同的長度。第5A-5D圖顯示形成具有不同長度之穿基底導電結構20之實施例。請參照第5A圖,提供中介層晶圓100之基底10,且內連線結構12係形成於基底10之上。內連線結構12包括凸塊下金屬層及凸塊(未標示)。接著,如第5B圖所示,將晶片22接合至中介層晶圓100之上,且將底膠23注入晶片22與中介層晶圓100之間的間隔中,並將底膠23固化。In the above embodiments, the through-substrate conductive structures 20 in the interposer wafer 100 (see, for example, FIG. 1C) may have the same length. In another embodiment, the through substrate conductive structures 20 can have different lengths. 5A-5D illustrate an embodiment of forming a through-substrate conductive structure 20 having different lengths. Referring to FIG. 5A, a substrate 10 of the interposer wafer 100 is provided, and an interconnect structure 12 is formed on the substrate 10. The interconnect structure 12 includes under bump metal layers and bumps (not labeled). Next, as shown in FIG. 5B, the wafer 22 is bonded onto the interposer wafer 100, and the underfill 23 is injected into the space between the wafer 22 and the interposer wafer 100, and the underfill 23 is cured.

請參照第5C圖,將承載基板26(其可為玻璃晶圓)透過黏著層28而接合至中介層晶圓100之前側上。進行晶圓背側研磨以自背側將基底10薄化至所需的厚度。接著,形成穿基底導電結構開口(TSV openings)(其由所示之穿基底導電結構20所佔據)以穿過基底10。再者,穿基底導電結構開口延伸進入介電層18,其用以形成內連線結構12。接著,於穿基底導電結構開口中填充金屬材料以形成穿基底導電結構20,並形成用以電性隔離穿基底導電結構20與基底10之介電層25。在最終結構中,(內連線結構12之)金屬結構(metal features)88包括金屬結構88A及88B,其中金屬結構88A相較於金屬結構88B埋藏於較深的介電層18內部。在穿基底導電結構開口之形成中,金屬結構88A及88B可用作蝕刻停止層,因而介電層18之蝕刻停止於不同的深度。因此,穿基底導電結構20A之長度L1(第5D圖)大於穿基底導電結構20B之長度L2。後續製程步驟可實質上相同於顯示於第1E-1I圖中者,或實質相同於顯示於其他實施例中者(當合適時)。Referring to FIG. 5C, the carrier substrate 26 (which may be a glass wafer) is bonded to the front side of the interposer wafer 100 through the adhesive layer 28. Wafer backside grinding is performed to thin the substrate 10 from the back side to the desired thickness. Next, through-substrate conductive structure openings (TSV openings), which are occupied by the through-substrate conductive structures 20, are formed to pass through the substrate 10. Furthermore, the through-substrate conductive structure opening extends into the dielectric layer 18 to form the interconnect structure 12. Next, a metal material is filled in the through-substrate conductive structure opening to form the through-substrate conductive structure 20, and a dielectric layer 25 is formed to electrically isolate the through-substrate conductive structure 20 from the substrate 10. In the final structure, the metal features 88 (of the interconnect structure 12) include metal structures 88A and 88B, wherein the metal structures 88A are buried inside the deeper dielectric layer 18 than the metal structures 88B. In the formation of the through-substrate conductive structure openings, the metal structures 88A and 88B can be used as an etch stop layer, and thus the etching of the dielectric layer 18 is stopped at different depths. Therefore, the length L1 (Fig. 5D) of the through-substrate conductive structure 20A is greater than the length L2 of the through-substrate conductive structure 20B. Subsequent processing steps may be substantially the same as those shown in Figures 1E-1I, or substantially the same as shown in other embodiments (when appropriate).

可發現在實施例中(例如,第1I、2D、3C、及4E圖),在任何的晶片22及50中不需穿基底導電結構,雖然穿基底導電結構是可以形成於晶片22及50的。然而,晶片22及50中之元件可電性耦接至大凸塊38B,並彼此電性耦接。在習知的三維積體電路中,穿基底導電結構係在形成了元件晶片中之積體電路之後才形成。這造成良率損失及封裝流程時間的增加。然而,在實施例中,任何元件晶片22及50中不需穿基底導電結構,而可避免因為於晶片22及50中形成穿基底導電結構時所可能造成之良率損失。再者,因為中介層晶圓100及相應的穿基底導電結構可形成於晶片22及50已形成的時候,所以流程時間可減少。It can be seen that in embodiments (e.g., panels 1I, 2D, 3C, and 4E), no substrate conductive structures are required in any of the wafers 22 and 50, although the through-substrate conductive structures can be formed on the wafers 22 and 50. . However, the components in the wafers 22 and 50 can be electrically coupled to the large bumps 38B and electrically coupled to each other. In a conventional three-dimensional integrated circuit, the through-substrate conductive structure is formed after the integrated circuit in the element wafer is formed. This results in a loss of yield and an increase in the packaging process time. However, in the embodiment, the substrate conductive structures are not required to be worn in any of the component wafers 22 and 50, and the loss of yield due to the formation of the through-substrate conductive structures in the wafers 22 and 50 can be avoided. Moreover, since the interposer wafer 100 and the corresponding through-substrate conductive structure can be formed when the wafers 22 and 50 have been formed, the flow time can be reduced.

雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。While the invention has been described above in terms of several preferred embodiments, it is not intended to limit the scope of the present invention, and any one of ordinary skill in the art can make any changes without departing from the spirit and scope of the invention. And the scope of the present invention is defined by the scope of the appended claims.

10...基底10. . . Base

12、32、58...內連線結構12, 32, 58. . . Inline structure

14...金屬線路14. . . Metal line

16...介層窗16. . . Via window

18、25...介電層18, 25. . . Dielectric layer

20、20A、20B...穿基底導電結構20, 20A, 20B. . . Substrate conductive structure

22、50...晶片22, 50. . . Wafer

23、52、80...底膠23, 52, 80. . . Primer

24...前側(金屬)凸塊(或接墊)twenty four. . . Front side (metal) bump (or pad)

26‧‧‧承載基板26‧‧‧Loading substrate

28‧‧‧黏著層28‧‧‧Adhesive layer

34‧‧‧介電層34‧‧‧ dielectric layer

36‧‧‧凸塊下金屬層36‧‧‧Under bump metal layer

38‧‧‧背側金屬凸塊38‧‧‧Back side metal bumps

38A、38B、38B’‧‧‧凸塊38A, 38B, 38B’‧‧‧Bumps

49‧‧‧重佈線路49‧‧‧Re-route

54‧‧‧封裝化合物54‧‧‧Packaging compounds

56‧‧‧深介層窗56‧‧‧deep window

60‧‧‧切割膠帶60‧‧‧Cut Tape

62‧‧‧線62‧‧‧ line

66‧‧‧虛置晶圓66‧‧‧Virtual Wafer

68‧‧‧空腔68‧‧‧ Cavity

69、70‧‧‧氧化物層69, 70‧‧‧ oxide layer

72‧‧‧表面72‧‧‧ surface

74‧‧‧開口74‧‧‧ openings

76‧‧‧光阻76‧‧‧Light resistance

88、88A、88B‧‧‧金屬結構88, 88A, 88B‧‧‧Metal structure

100‧‧‧中介層晶圓100‧‧‧Interposer Wafer

L1、L2‧‧‧長度L1, L2‧‧‧ length

第1A-1I圖顯示根據本發明實施例製造三維積體電路的製程剖面圖,其中晶片接合於中介層之兩側上。1A-1I is a cross-sectional view showing a process for fabricating a three-dimensional integrated circuit in which a wafer is bonded to both sides of an interposer in accordance with an embodiment of the present invention.

第2A-2D圖顯示根據本發明實施例製造三維積體電路的製程剖面圖,其中使用封裝化合物以形成用以形成更多大凸塊之平坦表面。2A-2D are cross-sectional views showing a process for fabricating a three-dimensional integrated circuit in accordance with an embodiment of the present invention in which a potting compound is used to form a planar surface for forming more large bumps.

第3A-3C圖顯示根據本發明實施例製造三維積體電路的製程剖面圖,其中使用虛置矽晶圓以形成用以形成更多大凸塊之平坦表面。3A-3C are cross-sectional views showing a process for fabricating a three-dimensional integrated circuit in accordance with an embodiment of the present invention in which dummy wafers are used to form a planar surface for forming more large bumps.

第4A-4E圖顯示根據本發明實施例製造三維積體電路的製程剖面圖,其中一晶片位於中介層之開口之中。4A-4E are cross-sectional views showing a process for fabricating a three-dimensional integrated circuit in which a wafer is located in the opening of the interposer in accordance with an embodiment of the present invention.

第5A-5D圖顯示根據本發明實施例製造三維積體電路的製程剖面圖,其中中介層中之穿基底導電結構具有不同的長度。5A-5D are cross-sectional views showing a process for fabricating a three-dimensional integrated circuit in accordance with an embodiment of the present invention, wherein the through-substrate conductive structures in the interposer have different lengths.

10...基底10. . . Base

12、32...內連線結構12, 32. . . Inline structure

20...穿基底導電結構20. . . Substrate conductive structure

22、50...晶片22, 50. . . Wafer

80...底膠80. . . Primer

38B...凸塊38B. . . Bump

60...切割膠帶60. . . Cutting tape

100...中介層晶圓100. . . Interposer wafer

Claims (10)

一種積體電路元件,包括:一中介層,大抵不具有積體電路元件,其中該中介層包括:一基底,具有一第一側及相反於該第一側之一第二側;複數個穿基底導電結構,位於該基底之中;一第一內連線結構,位於該基底之該第一側上,且電性耦接至至少一該些穿基底導電結構;以及一第二內連線結構,位於該基底之該第二側上,且電性耦接至至少一該些穿基底導電結構;一第一晶片,接合於該第一內連線結構之上;一第二晶片,接合於該第二內連線結構之上;一封裝材料,位於該第二晶片之上;以及一空腔,位於該封裝材料與該第二晶片之間。 An integrated circuit component comprising: an interposer having substantially no integrated circuit component, wherein the interposer comprises: a substrate having a first side and a second side opposite to the first side; a substrate conductive structure is disposed in the substrate; a first interconnect structure is disposed on the first side of the substrate and electrically coupled to the at least one of the through substrate conductive structures; and a second interconnect The structure is located on the second side of the substrate and electrically coupled to the at least one of the through-substrate conductive structures; a first wafer bonded to the first interconnect structure; a second wafer bonded Above the second interconnect structure; an encapsulation material over the second wafer; and a cavity between the encapsulation material and the second wafer. 如申請專利範圍第1項所述之積體電路元件,更包括:一封裝材料,位於該第二內連線結構之上,且包圍該第二晶片;一導電介層窗,穿過該封裝材料,且電性耦接至該第二內連線結構;一第三內連線結構,位於該封裝材料之上,且電性連接至該導電介層窗;以及一凸塊,形成於該第三內連線結構之上,且電性耦接至該導電介層窗。 The integrated circuit component of claim 1, further comprising: a packaging material over the second interconnect structure and surrounding the second wafer; a conductive via through the package The material is electrically coupled to the second interconnect structure; a third interconnect structure is disposed over the encapsulation material and electrically connected to the conductive via; and a bump is formed on the The third interconnect structure is electrically coupled to the conductive via. 如申請專利範圍第1項所述之積體電路元件,更包括:一導電介層窗,穿過該封裝材料,且電性耦接至該第二內連線結構;一第三內連線結構,位於該封裝材料之上,且電性耦接至該導電介層窗;以及一凸塊,形成於該第三內連線結構之上,且電性耦接至該導電介層窗。 The integrated circuit component of claim 1, further comprising: a conductive via, passing through the package material and electrically coupled to the second interconnect structure; a third interconnect The structure is disposed on the package material and electrically coupled to the conductive via window; and a bump is formed on the third interconnect structure and electrically coupled to the conductive via window. 如申請專利範圍第3項所述之積體電路元件,其中該封裝材料包括一虛置矽基底。 The integrated circuit component of claim 3, wherein the encapsulating material comprises a dummy substrate. 如申請專利範圍第1項所述之積體電路元件,其中該些穿基底導電結構具有不同的長度,且自該基底延伸進入該第一內連線結構不同的深度。 The integrated circuit component of claim 1, wherein the through-substrate conductive structures have different lengths and extend from the substrate into different depths of the first interconnect structure. 如申請專利範圍第1項所述之積體電路元件,更包括一銲料凸塊,位於該第二內連線結構之上,且鄰接該第二晶片。 The integrated circuit component of claim 1, further comprising a solder bump located above the second interconnect structure and adjacent to the second wafer. 一種積體電路元件,包括:一中介層,大抵不具有積體電路元件,其中該中介層包括:一基底,具有一第一側及相反於該第一側之一第二側;複數個穿基底導電結構,位於該基底之中;一第一內連線結構,位於該基底之該第一側上,且電性耦接至至少一該些穿基底導電結構;以及一開口,位於該基底之中,且鄰接至少一該些穿基 底導電結構;一第一晶片,接合於該第一內連線結構之上;以及一第二晶片,形成於該開口之中,且接合至該第一內連線結構之上。 An integrated circuit component comprising: an interposer having substantially no integrated circuit component, wherein the interposer comprises: a substrate having a first side and a second side opposite to the first side; a substrate conductive structure, located in the substrate; a first interconnect structure on the first side of the substrate and electrically coupled to the at least one of the through substrate conductive structures; and an opening on the substrate And adjacent to at least one of the piercing bases a bottom conductive structure; a first wafer bonded over the first interconnect structure; and a second wafer formed in the opening and bonded to the first interconnect structure. 如申請專利範圍第7項所述之積體電路元件,更包括一第二內連線結構,位於該基底之該第二側之上,且電性耦接至少一該些穿基底導電結構。 The integrated circuit component of claim 7, further comprising a second interconnect structure disposed on the second side of the substrate and electrically coupled to the at least one of the through-substrate conductive structures. 一種積體電路元件的形成方法,包括:提供一矽基底,大抵不具有積體電路元件;形成一穿基底導電結構,自該矽基底之一前側穿過該矽基底至一預定深度;於該矽基底之該前側上形成一第一內連線結構,其中該第一內連線結構包括至少一介電層及位於該至少一介電層中之金屬結構;將一第一晶片接合至該第一內連線結構上;自該矽基底之一背側移除該矽基底以使該穿基底導電結構之一端露出;於該矽基底之該背側上形成一第二內連線結構,且該第二內連線結構電性耦接至該穿基底導電結構之該端;形成一開口,穿過該第二內連線結構及該矽基底,並到達該第一內連線結構之一表面;以及將一第二晶片接合至該開口中之該第一內連線結構的該表面上。 A method of forming an integrated circuit component, comprising: providing a germanium substrate having substantially no integrated circuit component; forming a through-substrate conductive structure from a front side of the germanium substrate through the germanium substrate to a predetermined depth; Forming a first interconnect structure on the front side of the germanium substrate, wherein the first interconnect structure comprises at least one dielectric layer and a metal structure in the at least one dielectric layer; bonding a first wafer to the a first interconnect structure; removing the germanium substrate from a back side of the germanium substrate to expose one end of the through-substrate conductive structure; forming a second interconnect structure on the back side of the germanium substrate, The second interconnect structure is electrically coupled to the end of the through-substrate conductive structure; an opening is formed through the second interconnect structure and the germanium substrate, and reaches the first interconnect structure a surface; and bonding a second wafer to the surface of the first interconnect structure in the opening. 一種積體電路元件,包括: 一中介層,大抵不具有積體電路元件,其中該中介層包括:一基底,具有一第一側及相反於該第一側之一第二側;複數個穿基底導電結構,位於該基底之中;一第一內連線結構,位於該基底之該第一側上,且電性耦接至至少一該些穿基底導電結構;以及一第二內連線結構,位於該基底之該第二側上,且電性耦接至至少一該些穿基底導電結構;一第一晶片,接合於該第一內連線結構之上;一第二晶片,接合於該第二內連線結構之上;其中該些穿基底導電結構具有不同的長度,且自該基底延伸進入該第一內連線結構不同的深度。An integrated circuit component comprising: An interposer, substantially without an integrated circuit component, wherein the interposer includes: a substrate having a first side and a second side opposite to the first side; a plurality of through-substrate conductive structures located on the substrate a first interconnect structure on the first side of the substrate and electrically coupled to the at least one of the through-substrate conductive structures; and a second interconnect structure located on the substrate On the two sides, and electrically coupled to the at least one of the through-substrate conductive structures; a first wafer bonded to the first interconnect structure; and a second wafer bonded to the second interconnect structure Above; wherein the through substrate conductive structures have different lengths and extend from the substrate into different depths of the first interconnect structure.
TW100103852A 2010-02-05 2011-02-01 3dic architecture with interposer for bonding dies TWI430406B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30185510P 2010-02-05 2010-02-05
US12/774,558 US10297550B2 (en) 2010-02-05 2010-05-05 3D IC architecture with interposer and interconnect structure for bonding dies

Publications (2)

Publication Number Publication Date
TW201135879A TW201135879A (en) 2011-10-16
TWI430406B true TWI430406B (en) 2014-03-11

Family

ID=44353047

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100103852A TWI430406B (en) 2010-02-05 2011-02-01 3dic architecture with interposer for bonding dies

Country Status (3)

Country Link
US (4) US10297550B2 (en)
CN (1) CN102163596B (en)
TW (1) TWI430406B (en)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8564141B2 (en) * 2010-05-06 2013-10-22 SK Hynix Inc. Chip unit and stack package having the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
FR2979167B1 (en) * 2011-08-19 2014-03-14 Soitec Silicon On Insulator FORMATION OF RELATED SEMICONDUCTOR STRUCTURES IN THREE DIMENSIONAL INTEGRATION PROCESSES USING RECOVERABLE SUBSTRATES
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8748284B2 (en) 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US20130075892A1 (en) * 2011-09-27 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Three Dimensional Integrated Circuit Fabrication
US8519516B1 (en) 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US9006004B2 (en) 2012-03-23 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Probing chips during package formation
US9613917B2 (en) 2012-03-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package (PoP) device with integrated passive device in a via
KR101965906B1 (en) * 2012-07-12 2019-04-04 에스케이하이닉스 주식회사 Semiconductor device
US9165887B2 (en) 2012-09-10 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with discrete blocks
US8809155B2 (en) 2012-10-04 2014-08-19 International Business Machines Corporation Back-end-of-line metal-oxide-semiconductor varactors
US9391041B2 (en) 2012-10-19 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out wafer level package structure
US9123780B2 (en) 2012-12-19 2015-09-01 Invensas Corporation Method and structures for heat dissipating interposers
US10032696B2 (en) 2012-12-21 2018-07-24 Nvidia Corporation Chip package using interposer substrate with through-silicon vias
US9633869B2 (en) * 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
US9373527B2 (en) 2013-10-30 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on package structure and method
US9209048B2 (en) * 2013-12-30 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Two step molding grinding for packaging applications
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
US10056267B2 (en) * 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9786574B2 (en) 2015-05-21 2017-10-10 Globalfoundries Inc. Thin film based fan out and multi die package platform
US11037904B2 (en) 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
US9812414B1 (en) * 2016-06-17 2017-11-07 Nanya Technology Corporation Chip package and a manufacturing method thereof
US9761535B1 (en) * 2016-06-27 2017-09-12 Nanya Technology Corporation Interposer, semiconductor package with the same and method for preparing a semiconductor package with the same
US9922845B1 (en) * 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
DE102017109670B4 (en) 2017-05-05 2019-12-24 Infineon Technologies Ag Manufacturing process for a chip package with side wall metallization
US10483187B2 (en) * 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
CN109285825B (en) * 2017-07-21 2021-02-05 联华电子股份有限公司 Chip stacking structure and manufacturing method of tube core stacking structure
DE102018124695A1 (en) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrate passive devices in package structures
US10510634B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US11107770B1 (en) * 2019-06-27 2021-08-31 Xilinx, Inc. Integrated electrical/optical interface with two-tiered packaging
US11239225B2 (en) * 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11121097B1 (en) * 2020-05-22 2021-09-14 Globalfoundries U.S. Inc. Active x-ray attack prevention device
US11393763B2 (en) * 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
TWI734545B (en) * 2020-07-03 2021-07-21 財團法人工業技術研究院 Semiconductor package structure
EP3944290A1 (en) * 2020-07-21 2022-01-26 Infineon Technologies Austria AG Chip-substrate composite semiconductor device
US11437329B2 (en) 2020-10-14 2022-09-06 Globalfoundries U.S. Inc. Anti-tamper x-ray blocking package
CN112908869A (en) * 2021-01-19 2021-06-04 上海先方半导体有限公司 Packaging structure and preparation method thereof
US20220392832A1 (en) * 2021-06-06 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US11815717B2 (en) 2021-11-12 2023-11-14 Globalfoundries U.S. Inc. Photonic chip security structure

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5588356A (en) * 1978-12-27 1980-07-04 Hitachi Ltd Semiconductor device
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
JP3166210B2 (en) * 1991-07-10 2001-05-14 三菱化学株式会社 Thermal transfer recording sheet
JPH05211239A (en) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> Interconnection structure of integrated circuit and method for formation of it
US5600530A (en) 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
DE4314907C1 (en) 1993-05-05 1994-08-25 Siemens Ag Method for producing semiconductor components making electrically conducting contact with one another vertically
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US6002177A (en) 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
US5789271A (en) * 1996-03-18 1998-08-04 Micron Technology, Inc. Method for fabricating microbump interconnect for bare semiconductor dice
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
EP2270845A3 (en) 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
JP3395621B2 (en) * 1997-02-03 2003-04-14 イビデン株式会社 Printed wiring board and manufacturing method thereof
US5986874A (en) 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US6740682B2 (en) 1997-08-29 2004-05-25 Tularik Limited Meta-benzamidine derivatives as serine protease inhibitors
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6072690A (en) 1998-01-15 2000-06-06 International Business Machines Corporation High k dielectric capacitor with low k sheathed signal vias
US6213376B1 (en) 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6274821B1 (en) * 1998-09-16 2001-08-14 Denso Corporation Shock-resistive printed circuit board and electronic device including the same
US6271059B1 (en) 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6461895B1 (en) 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
JP3532788B2 (en) 1999-04-13 2004-05-31 唯知 須賀 Semiconductor device and manufacturing method thereof
US6243272B1 (en) 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
JP3670917B2 (en) * 1999-12-16 2005-07-13 新光電気工業株式会社 Semiconductor device and manufacturing method thereof
US6356801B1 (en) 2000-05-19 2002-03-12 International Business Machines Corporation High availability work queuing in an automated data storage library
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
IT250133Y1 (en) * 2000-11-20 2003-07-24 Rucco Ambrogio SIMPLIFIED STRUCTURE ENVELOPE FOR THE PACKAGING OF SHIRTS AND SIMILAR PARTICULARLY
KR100364635B1 (en) 2001-02-09 2002-12-16 삼성전자 주식회사 Chip-Level Three-Dimensional Multi-Chip Package Having Chip Selection Pad Formed On Chip-Level And Making Method Therefor
JP2002290030A (en) * 2001-03-23 2002-10-04 Ngk Spark Plug Co Ltd Wiring board
US6465084B1 (en) * 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
KR100394808B1 (en) 2001-07-19 2003-08-14 삼성전자주식회사 Wafer level stack chip package and method for manufacturing the same
JP3817453B2 (en) * 2001-09-25 2006-09-06 新光電気工業株式会社 Semiconductor device
TW498472B (en) 2001-11-27 2002-08-11 Via Tech Inc Tape-BGA package and its manufacturing process
KR100435813B1 (en) 2001-12-06 2004-06-12 삼성전자주식회사 Multi chip package using metal bar and manufacturing method thereof
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
DE10200399B4 (en) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
EP1472730A4 (en) 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res Space-saving packaging of electronic circuits
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7573136B2 (en) 2002-06-27 2009-08-11 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor device components
US6600222B1 (en) 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6790748B2 (en) 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
JP3972846B2 (en) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 Manufacturing method of semiconductor device
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
US7320928B2 (en) 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
KR100537892B1 (en) 2003-08-26 2005-12-21 삼성전자주식회사 Chip stack package and manufacturing method thereof
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
KR100621992B1 (en) 2003-11-19 2006-09-13 삼성전자주식회사 structure and method of wafer level stack for devices of different kind and system-in-package using the same
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (en) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 Semiconductor device, chip alignment method for multi-chip semiconductor device, and method for manufacturing chip for multi-chip semiconductor device
KR100570514B1 (en) 2004-06-18 2006-04-13 삼성전자주식회사 Manufacturing method for wafer level chip stack package
KR100618837B1 (en) 2004-06-22 2006-09-01 삼성전자주식회사 Method for forming thin wafer stack for wafer level package
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
JP4343044B2 (en) 2004-06-30 2009-10-14 新光電気工業株式会社 Interposer, manufacturing method thereof, and semiconductor device
US7087538B2 (en) 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
CN1925720B (en) * 2005-09-01 2010-04-14 日本特殊陶业株式会社 Wiring board and capacitor
US7432592B2 (en) 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
KR100750741B1 (en) * 2006-09-15 2007-08-22 삼성전기주식회사 Cap wafer, semicondoctor chip having the same, and fabrication method thereof
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US20080303154A1 (en) 2007-06-11 2008-12-11 Hon-Lin Huang Through-silicon via interconnection formed with a cap layer
KR101213175B1 (en) 2007-08-20 2012-12-18 삼성전자주식회사 Semiconductor package having memory devices stacked on logic chip
US7851246B2 (en) * 2007-12-27 2010-12-14 Stats Chippac, Ltd. Semiconductor device with optical sensor and method of forming interconnect structure on front and backside of the device
CN101572260B (en) * 2008-04-30 2011-04-20 南亚科技股份有限公司 Multi-chip stacking type packaging body
US8039303B2 (en) * 2008-06-11 2011-10-18 Stats Chippac, Ltd. Method of forming stress relief layer between die and interconnect structure
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7956442B2 (en) 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US8168470B2 (en) * 2008-12-08 2012-05-01 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure in substrate for IPD and baseband circuit separated by high-resistivity molding compound
US8093711B2 (en) 2009-02-02 2012-01-10 Infineon Technologies Ag Semiconductor device
US8263434B2 (en) 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
US8143097B2 (en) 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
US8008121B2 (en) 2009-11-04 2011-08-30 Stats Chippac, Ltd. Semiconductor package and method of mounting semiconductor die to opposite sides of TSV substrate
US20110193235A1 (en) 2010-02-05 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Architecture with Die Inside Interposer
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8674513B2 (en) * 2010-05-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for substrate
US8581418B2 (en) * 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8338945B2 (en) * 2010-10-26 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Molded chip interposer structure and methods
US8557684B2 (en) * 2011-08-23 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit (3DIC) formation process
US8643148B2 (en) * 2011-11-30 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer structures and methods for forming the same

Also Published As

Publication number Publication date
TW201135879A (en) 2011-10-16
CN102163596A (en) 2011-08-24
CN102163596B (en) 2013-08-21
US10297550B2 (en) 2019-05-21
US10923431B2 (en) 2021-02-16
US11854990B2 (en) 2023-12-26
US20240105632A1 (en) 2024-03-28
US20190273046A1 (en) 2019-09-05
US20110193221A1 (en) 2011-08-11
US20210167018A1 (en) 2021-06-03

Similar Documents

Publication Publication Date Title
TWI430406B (en) 3dic architecture with interposer for bonding dies
US10847414B2 (en) Embedded 3D interposer structure
CN109427702B (en) Heat dissipation device and method
TWI440158B (en) 3dic architecture with die inside interposer
US8455995B2 (en) TSVs with different sizes in interposers for bonding dies
TWI538145B (en) Package structure and methods of forming the same
TWI780293B (en) Semiconductor device and manufacutring method thereof
TWI597810B (en) Package
TW201826483A (en) Semiconductor structure and manufacturing method thereof
US9257332B2 (en) Through-assembly via modules and methods for forming the same
TWI695432B (en) Package and method of forming same
US11380653B2 (en) Die stack structure and manufacturing method thereof
CN110610907A (en) Semiconductor structure and method of forming a semiconductor structure
TW202137475A (en) Semiconductor device and method of manufacturing the same
TW202046464A (en) Integrated circuit packages and methods of forming the same
TWI725280B (en) Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
TWI832663B (en) Semiconductor packages and methods of forming the same
CN115497929A (en) Semiconductor package and method of manufacturing the same