TWI416662B - Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity - Google Patents

Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity Download PDF

Info

Publication number
TWI416662B
TWI416662B TW95111464A TW95111464A TWI416662B TW I416662 B TWI416662 B TW I416662B TW 95111464 A TW95111464 A TW 95111464A TW 95111464 A TW95111464 A TW 95111464A TW I416662 B TWI416662 B TW I416662B
Authority
TW
Taiwan
Prior art keywords
metal
heat treatment
metal line
atmosphere
layer
Prior art date
Application number
TW95111464A
Other languages
Chinese (zh)
Other versions
TW200723445A (en
Inventor
Wolfgang Buchholtz
Petra Hetzer
Elvira Buchholta
Axel Preusse
Markus Keil
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/292,537 external-priority patent/US7375031B2/en
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW200723445A publication Critical patent/TW200723445A/en
Application granted granted Critical
Publication of TWI416662B publication Critical patent/TWI416662B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The method involves forming a metal line in a dielectric layer of a metallization layer of a semiconductor device, where the line extends along a length direction. A heat treatment including heating of a locally restricted zone is performed to modify the crystalline of metals in metal lines and to enhance the purity of the metal lines. The locally heated zone is scanned along a length direction of the metal line to reduce a number of grain boundaries in the length direction.

Description

形成具有減低之電與應力遷移及/或電阻率之互連結構之方法Method of forming an interconnect structure having reduced electrical and stress migration and/or resistivity

本發明大體上關於如先進積體電路之微結構的形成,且尤其是關於如積體電路之金屬化層中金屬線之導電結構的形成。The present invention relates generally to the formation of microstructures such as advanced integrated circuits, and more particularly to the formation of conductive structures such as metal lines in metallization layers of integrated circuits.

製造如積體電路之現代微裝置時,有持續驅動力以穩定地縮小徵裝置元件之特徵尺寸,從而增強這些結構的功能。例如,在現今積體電路,最小特徵尺寸(如場效電晶體之通道長度)已達到深次微米(deep sub-micron)範圍,藉此在速度及/或耗能方面增加這些電路的效能。由於個別電路元件的尺寸係隨著每一新電路世代而縮小,以藉此增進例如電晶體元件的切換速度,因此用於連接個別電路元件之互連線之可使用容積(available floor space)亦隨之降低。因此,必須降低這些互連線的尺寸以抵銷可使用容積的降低及每單位晶片區域增加的電路元件數。互連線所減少的截面積,可能會合併有極度縮小的電晶體元件之靜電消耗的增加,可能需要複數個堆疊金屬化層以符合在金屬線中可接受電流密度之觀點之需求。In the manufacture of modern microdevices such as integrated circuits, there is a sustained driving force to steadily reduce the feature size of the component elements, thereby enhancing the functionality of these structures. For example, in today's integrated circuits, the minimum feature size (e.g., the channel length of the field effect transistor) has reached the deep sub-micron range, thereby increasing the efficiency of these circuits in terms of speed and/or power consumption. Since the size of individual circuit components is reduced with each new circuit generation to thereby increase the switching speed of, for example, the transistor elements, the available floor space for interconnecting the individual circuit components is also It is reduced accordingly. Therefore, the size of these interconnect lines must be reduced to offset the reduction in usable volume and the number of circuit components added per unit wafer area. The reduced cross-sectional area of the interconnects may result in an increase in the static power consumption of the extremely reduced transistor components, which may require multiple stacked metallization layers to meet the standpoint of acceptable current density in the metal lines.

然而,先進積體電路,包括具有關鍵尺寸(critical dimension)為0.13微米或甚至更小之電晶體元件,可能需要在個別互連線中顯著增加的電流密度,儘管因為每單位面積顯著數目的電晶體元件而提供相對大量的金屬化層數目。然而,在提高的電流密度操作互連線,可能承擔多種和應力引發(stress-induced)線劣化相關之問題,其可最終導致積體電路提早故障(premature failure)。在此方面之一顯著現象為電流引發在金屬線中之材料移動,亦稱為“電遷移(electromigration)”,其可導致孔隙(voids)在其中形成及小丘(hillocks)在金屬線旁形成,因此造成裝置效能和可靠度降低或完全故障。例如,嵌入二氧化矽及/或氮化矽之鋁線經常做為金屬化層的金屬,其中如上所解釋,先進積體電路具有0.13微米或更小的關鍵尺寸,可能需要顯著降低的金屬線截面積以及因此提高電流密度,其可能造成因為顯著電遷移效應使得鋁較不傾向於形成金屬化層。However, advanced integrated circuits, including those having a critical dimension of 0.13 microns or even smaller, may require significantly increased current density in individual interconnects, albeit because of the significant number of cells per unit area. The crystal elements provide a relatively large number of metallization layers. However, operating the interconnect at increased current density may suffer from a variety of problems associated with stress-induced line degradation, which may ultimately lead to premature failure of the integrated circuit. One notable phenomenon in this regard is the current induced material movement in the metal line, also known as "electromigration", which can result in the formation of voids therein and the formation of hillocks beside the metal lines. Therefore, the performance and reliability of the device are reduced or completely broken. For example, aluminum wires embedded with ceria and/or tantalum nitride are often used as metals for the metallization layer, where as explained above, advanced integrated circuits have critical dimensions of 0.13 microns or less and may require significantly reduced metal lines. The cross-sectional area and thus the current density, which may result in aluminum being less prone to form a metallization layer due to significant electromigration effects.

因此,鋁逐漸由銅所取代,銅相對於鋁,呈現顯著較低電阻值及在高電流密度時對電遷移呈現較強抗性。因為銅在二氧化矽及多種低k介電材料中容易擴散的特性,引入銅至微結構及積體電路製造係造成多種嚴重問題。為了提供必要附著度及避免銅原子之非期望的擴散至敏感裝置區域,因此通常需要提供阻障層在銅和銅線所嵌入之介電材料之間。雖然氮化矽為可有效避免銅原子擴散的介電材料,選擇氮化矽為層間介電材料為較不想要的選擇,因為氮化矽呈現略高的介電常數(permittivity),因此增加鄰近銅線的寄生電容(parasitic capacitances)。因此,亦可提供銅所需之機械穩定性之薄導電阻障層係形成以分開主體(bulk)銅及圍繞之介電材料,且薄氮化矽或碳化矽或碳氮化矽通常僅以覆蓋層(capping layer)形式用於銅基金屬化層中。目前,鉭、鈦、鎢及其與氮及矽之化合物等為用於導電阻障層之較佳候選者,其中阻障層可包括二層或更多層不同材料之子層,以達到擴散抑制(diffusion suppressing)及黏著度性質方面的要求。Therefore, aluminum is gradually replaced by copper, which exhibits a significantly lower resistance value relative to aluminum and a stronger resistance to electromigration at high current densities. The introduction of copper to microstructures and integrated circuit fabrication systems poses a number of serious problems due to the tendency of copper to diffuse easily in cerium oxide and various low-k dielectric materials. In order to provide the necessary adhesion and to avoid undesired diffusion of copper atoms into the sensitive device region, it is often desirable to provide a barrier layer between the dielectric material in which the copper and copper wires are embedded. Although tantalum nitride is a dielectric material that can effectively prevent the diffusion of copper atoms, the choice of tantalum nitride as the interlayer dielectric material is a less desirable choice because tantalum nitride exhibits a slightly higher permittivity, thus increasing the proximity. The parasitic capacitances of copper wires. Therefore, a thin conductive barrier layer which can provide mechanical stability required for copper is formed to separate bulk copper and surrounding dielectric material, and thin tantalum nitride or tantalum carbide or tantalum carbonitride is usually only A capping layer form is used in the copper-based metallization layer. At present, tantalum, titanium, tungsten and its compounds with nitrogen and antimony are preferred candidates for the conductive barrier layer, wherein the barrier layer may comprise two or more sublayers of different materials to achieve diffusion inhibition. (diffusion suppressing) and the nature of the adhesion.

銅與鋁可顯著分別的另一特性為銅無法立即以化學及物理氣相沉積技術大量沉積。此外,銅無法以非等向性乾蝕刻(anisotropic dry etch)製程有效率地圖案化,因此需要通常稱為金屬鑲嵌(damascene)或鑲嵌(inlaid)技術的製程方式。在金屬鑲嵌製程,首先形成介電層接著圖案化為包括接著將填滿銅之溝槽(trenches)與通孔(vias),其中,如前所述,在填滿銅之前,導電阻障層形成在溝槽與通孔之側壁。沉積主體鋼材料至溝槽和通孔通常以濕式化學沉積製程達到,例如電鍍或無電電鍍,藉此需要確實填滿深寬比(aspect ratio)等於及大於5且直徑約0.1微米或更小之通孔與具有約0.1微米或更小至幾微米之寬度範圍之溝槽。雖然銅之電化學沉積製程在電子電路板製造領域已完整建立,大致上不含孔隙(void-free)的高深寬比通孔填充為非常複雜及具挑戰性的任務,其中最終獲得之銅金屬線之特性顯著地和製程參數、材料及所需結構幾何形狀相關。由於互連結構的幾何形狀由設計需求決定,對於給定的微結構而言,不能作重大的改變,其在於估計及控制關於製造金屬化層及製造銅微結構材料(如導電及非導電的阻障層)之製造製程及其交互作用、對互連結構的特性影響,以確保高產率及所需產品可靠度同時達到是非常重要的。Another characteristic that copper and aluminum can be significantly different is that copper cannot be deposited in large quantities immediately by chemical and physical vapor deposition techniques. In addition, copper cannot be efficiently patterned by an anisotropic dry etch process, thus requiring a process that is commonly referred to as damascene or inlaid techniques. In the damascene process, a dielectric layer is first formed and then patterned to include trenches and vias that are then filled with copper, wherein, as previously described, the conductive barrier layer is filled before filling the copper. Formed on the sidewalls of the trench and the via. Depositing the bulk steel material to the trenches and vias is typically achieved by a wet chemical deposition process, such as electroplating or electroless plating, whereby it is necessary to fill the aspect ratio equal to and greater than 5 and a diameter of about 0.1 microns or less. The via hole and the trench having a width ranging from about 0.1 micron to a few micrometers. Although the electrochemical deposition process of copper has been completely established in the field of electronic circuit board manufacturing, the void-free high aspect ratio via filling is a very complicated and challenging task, and the copper metal finally obtained. The characteristics of the line are significantly related to process parameters, materials, and the desired structural geometry. Since the geometry of the interconnect structure is determined by the design requirements, no significant changes can be made to a given microstructure, as it is to estimate and control the fabrication of the metallization layer and the fabrication of copper microstructure materials (eg, conductive and non-conductive). The manufacturing process of the barrier layer and its interaction, the influence of the characteristics of the interconnect structure, to ensure high yield and reliability of the required product at the same time is very important.

因此,隨著先進裝置中持續的特徵尺寸縮小造成電及應力遷移及銅線導電特性方面的限制越來越嚴格,為了找尋形成銅基金屬線之新材料及製程策略,已花費大量努力調查銅線劣化作用(degradation),特別是著眼於極度縮小型裝置中之電及應力遷移及過度降低的導電性。雖然在銅線中電及應力遷移的確切機制尚未完全瞭解,但結果顯示顯示位於側壁及介面之中和之上的孔隙、位於通孔底部之大型孔隙及殘餘物可顯著影響電及應力遷移行為。研究經驗結果顯示電及應力遷移程度可通常和金屬之材料組成、金屬之結晶結構、與鄰近材料(如導電與介電阻障層)之任何界面條件等有關。Therefore, as the continuous feature size reduction in advanced devices leads to stricter restrictions on electrical and stress migration and copper wire electrical conductivity characteristics, efforts have been made to investigate copper in order to find new materials and process strategies for forming copper-based metal wires. Degradation, especially focusing on electrical and stress migration and excessively reduced electrical conductivity in extremely reduced devices. Although the exact mechanism of electricity and stress migration in copper wires is not fully understood, the results show that pores located in and on the sidewalls and interfaces, large pores and residues at the bottom of the vias can significantly affect electrical and stress migration behavior. . The results of the research experience show that the degree of electrical and stress migration can be generally related to the material composition of the metal, the crystal structure of the metal, and any interface conditions of adjacent materials (such as conductive and dielectric barrier layers).

例如,在鋁線中,晶粒邊界(grain boundaries)提供應力及電流引發材料傳輸事件之較佳擴散路徑。結果,由於線尺寸降低係傾向於產生較小晶粒,可產生非比例地增加的誘發電及應力遷移。雖然晶粒邊界可能非必然在銅基金屬線中形成較佳擴散路徑,增加的晶粒邊界數目仍然可能顯著地增加銅基線之整體電阻率,因為在晶粒邊界增加之電子散射。因此,需要控制金屬化層之高複雜度製造製程(包括金屬沉積及後續其回火等)以增加在電及應力遷移及/或導電性方面之金屬互連結構之性能。For example, in aluminum wires, grain boundaries provide a better diffusion path for stress and current induced material transport events. As a result, since the wire size reduction tends to produce smaller grains, a non-proportional increase in induced electrical and stress migration can occur. Although grain boundaries may not necessarily form a better diffusion path in the copper-based metal line, the increased number of grain boundaries may still significantly increase the overall resistivity of the copper baseline due to increased electron scattering at the grain boundaries. Therefore, there is a need for a highly complex manufacturing process (including metal deposition and subsequent tempering thereof) that controls the metallization layer to increase the performance of the metal interconnect structure in terms of electrical and stress migration and/or conductivity.

因此,需要有一種提升技術的存在,該技術甚至在極度縮小的微結構中能夠形成呈現降低的應力及電引發材料擴散及/或增加導電性之金屬互連結構。Therefore, there is a need for a lift technology that can form metal interconnect structures that exhibit reduced stress and electrically induced material diffusion and/or increased conductivity, even in extremely reduced microstructures.

接著提出本發明之簡化概要,以提供本發明之一些方面之基礎瞭解。此概要並不是本發明之完整概觀。其不意欲用於界定本發明之重要或關鍵元件或描述本發明之範圍輪廓。其目的僅為以簡化形式提出一些概念,做為後續更詳細說明之前言。A simplified summary of the invention is set forth to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. They are not intended to be used to define important or critical elements of the invention or to describe the scope of the invention. Its purpose is to present some concepts only in a simplified form, as a more detailed description of the foregoing.

大體而言,本發明係關於在半導體裝置之金屬化層中形成金屬線之技術,其中該金屬線之關於電及應力遷移及/或導電性之特性之改善可藉由在形成該金屬線期間及/或之後施以熱處理以使得該金屬線之電性效能提昇。根據一些敘述性實施例,該熱處理可至少包括在次大氣(sub-atmospheric)及/或真空及/或減壓及/或鈍性氣氛(ambient)中進行的加熱製程,以促進在前續製造製程期間已引入至該金屬中之污染物的釋氣(out-gassing)。在其他實施例中,該熱處理包括至少一加熱製程,其設計為沿著預先定義方向改變該金屬線中所產生的溫度,以局部產生沿著該預先定義方向移動之加熱區域。In general, the present invention relates to techniques for forming metal lines in a metallization layer of a semiconductor device, wherein the improvement in electrical and stress migration and/or conductivity characteristics of the metal line can be achieved during formation of the metal line And/or afterwards a heat treatment is applied to increase the electrical performance of the wire. According to some narrative embodiments, the heat treatment may include at least a heating process performed in a sub-atmospheric and/or vacuum and/or reduced pressure and/or a blunt atmosphere to facilitate pre-production. Out-gassing of contaminants into the metal has been introduced during the process. In other embodiments, the heat treatment includes at least one heating process designed to vary the temperature generated in the wire along a predefined direction to locally create a heated region that moves in the predefined direction.

根據本發明之另一敘述性實施例,一種方法包括在半導體裝置之金屬化層之介電層中形成金屬線,其中該金屬線沿著長度方向延伸。再者,該方法包括施行熱處理以時間序列方式(timely sequential manner)沿著該長度方向改變溫度。In accordance with another illustrative embodiment of the present invention, a method includes forming a metal line in a dielectric layer of a metallization layer of a semiconductor device, wherein the metal line extends along a length direction. Further, the method includes performing a heat treatment to change the temperature along the length direction in a timed sequential manner.

根據本發明之又另一敘述性實施例,一種方法包括形成金屬線在介電層中,該介電層在包括半導體裝置之基材上形成,以及施行熱處理以修改該金屬線的結晶結構。此外,該方法包括暴露金屬線於真空氣氛中以促進該金屬線中之污染物的釋氣。In accordance with still another illustrative embodiment of the present invention, a method includes forming a metal line in a dielectric layer formed on a substrate including a semiconductor device, and performing a heat treatment to modify a crystalline structure of the metal line. Additionally, the method includes exposing the metal line to a vacuum atmosphere to promote outgassing of contaminants in the metal line.

在本發明之一敘述性實施例中,該金屬線在暴露於真空氣氛之後暴露於減壓氣氛。在此方面,真空氣氛係理解為具有數Torr大小之等級及顯著地更低(beyond)之減壓之氣體環境(atmosphere),而次大氣氣氛(sub-atmospheric ambient)可包括壓力條件其範圍為從值低於但靠近製造設備之環境壓力至真空壓力條件。In a descriptive embodiment of the invention, the wire is exposed to a reduced pressure atmosphere after exposure to a vacuum atmosphere. In this regard, a vacuum atmosphere is understood to be a gas atmosphere having a rating of several Torr and a significantly reduced pressure, while a sub-atmospheric ambient may include a pressure condition ranging from From ambient pressures below the value but close to the manufacturing equipment to vacuum pressure conditions.

本發明之例示實施例描述如下。為了清晰目的,在說明書中並無敘述所有實際應用之特徵。當然須瞭解任何此等實際實施例中,必須進行多種實施特定決定以達到發展者的特定目標,例如符合系統相關和商業相關限制,其可隨不同應用而不同。再者,須瞭解該種研發努力可為複雜和耗時的,但仍然可瞭解為熟習該項技術者例行從本揭露中獲得益處。Illustrative embodiments of the invention are described below. For the sake of clarity, not all features of the actual application are recited in the specification. It is of course understood that in any such actual embodiment, a variety of implementation specific decisions must be made to achieve a developer's specific goals, such as compliance with system related and business related constraints, which may vary from application to application. Furthermore, it is important to understand that such research and development efforts can be complex and time consuming, but it is still understood that those skilled in the art routinely benefit from this disclosure.

本發明現在參考所附圖式敘述。繪示於圖中之多種結構、系統與裝置僅作為解釋之用,使本發明不受該些熟習該項技術者已熟知的細節所阻礙。然而,所附圖式係包括於敘述與解釋本發明之敘述性實施例。本文所使用字與片語應以熟習該項技術者所瞭解之字與片語相符來解釋與瞭解。沒有意圖使用特別的術語或片語定義,即不同於熟習該項技術者所瞭解之原始及習慣意義,於本文中中之術語與片語。若欲將術語或片語的意義延伸至具有特別意義,亦即不同於熟習之用語者,該等特別意義將會在說明書中以定義方式直接且明確的提供術語或片語的特別定義來解釋。The invention will now be described with reference to the drawings. The various structures, systems, and devices shown in the drawings are for illustrative purposes only, and the invention is not limited by the details which are well known to those skilled in the art. However, the drawings are included to describe and explain the illustrative embodiments of the invention. The words and phrases used in this article should be interpreted and understood in accordance with the words and phrases understood by those skilled in the art. There is no intention to use a specific term or phrase definition, that is, a term and phrase in this context that is different from the original and customary meanings understood by those skilled in the art. If the meaning of a term or phrase is to be extended to a particular meaning, that is to say, to a person who is familiar with the term, such special meaning will be explained in a direct and unambiguous manner by providing a specific definition of the term or phrase in a defined manner. .

本發明係關於能在極度縮小之半導體裝置之金屬化層中形成金屬線的技術,其中金屬之結晶結構及/或金屬的純度藉由熱處理方式改變以在對熱及應力遷移耐性及/或其固有導電度(inherent conductivity)方面增進金屬線的特性。不意欲限制於本發明下列解釋,據信降低金屬線中晶粒邊界數目可顯著影響金屬線的電性效能,原因在於降低電及應力遷移及/增加固有之導電度。如所熟知者,微結構之金屬線中金屬的結晶依所使用材料類型、所使用沉積技術、整個沉積製程中所維持製程參數、以及金屬真正沉積之前與之後的任何製程而決定。The present invention relates to a technique for forming metal lines in a metallization layer of an extremely reduced semiconductor device, wherein the crystal structure of the metal and/or the purity of the metal is changed by heat treatment to impart heat and stress migration resistance and/or The intrinsic conductivity enhances the characteristics of the wire. Without wishing to be bound by the following explanation of the invention, it is believed that reducing the number of grain boundaries in the metal line can significantly affect the electrical performance of the metal line due to reduced electrical and stress migration and/or increased inherent conductivity. As is well known, the crystallization of the metal in the microstructured metal line is determined by the type of material used, the deposition technique used, the process parameters maintained throughout the deposition process, and any process before and after the metal is actually deposited.

例如,銅基金屬化層現在以如電鍍之電化學沉積技術形成,其中晶粒大小及結晶結構顯著地和沉積參數和需以銅基金屬填充之溝槽與通孔之尺寸有關,因為降低溝槽與通孔之尺寸可造成金屬晶粒以縮小之尺寸形成。因此,銅基金屬之固有導電度可能降低,因為在增加數目之晶粒邊界的電荷載子散射亦增加。For example, copper-based metallization layers are now formed by electrochemical deposition techniques such as electroplating, where grain size and crystal structure are significantly related to deposition parameters and trenches that need to be filled with copper-based metals, depending on the size of the vias, because the trenches are reduced The size of the slots and vias can cause the metal grains to be formed in a reduced size. Therefore, the intrinsic conductivity of the copper-based metal may be reduced because the charge carrier scattering at an increased number of grain boundaries also increases.

再者,如熟知者,以大致上不具有孔隙方式電化學沉積至極度縮小溝槽及通孔需要複雜的電鍍技術,其涉及高度複雜的電解質溶液。因此,多種添加物,例如沉積抑制劑、加速劑、錯合劑等,為含於典型電解質溶液中,其可在沉積之金屬中維持一定程度的量,藉此亦損及金屬線所得之固有導電度。再者,污染物出現在金屬及/或存在多數晶粒邊界亦可對電及應力遷移行為產生影響,因為晶粒邊界及/或污染物可影響金屬與相鄰材料之任何界面特性,相鄰材料例如為銅之任何擴散阻障層。再者,晶粒邊界可直接影響應力引發材料傳送,例如鋁之情況。結果,藉由改變金屬之結晶度(crystallinity)及/或降低污染物含量,可改進金屬線整體特性。Moreover, as is well known, electrochemical deposition to extremely narrow trenches and vias in a substantially non-porous manner requires complex plating techniques involving highly complex electrolyte solutions. Therefore, various additives, such as deposition inhibitors, accelerators, complexing agents, etc., are contained in a typical electrolyte solution, which can be maintained in a certain amount in the deposited metal, thereby also impairing the inherent conductivity of the metal wire. degree. Furthermore, the presence of contaminants in the metal and/or the presence of most grain boundaries can also have an effect on electrical and stress migration behavior, as grain boundaries and/or contaminants can affect any interfacial properties of the metal and adjacent materials, adjacent The material is, for example, any diffusion barrier layer of copper. Furthermore, grain boundaries can directly affect the transfer of stress-inducing materials, such as aluminum. As a result, the overall properties of the wire can be improved by changing the crystallinity of the metal and/or reducing the level of contaminants.

應瞭解本發明特別有利於銅基金屬化層之使用,因為這些結構通常以使用電化學沉積技術之金屬鑲嵌製程製造,因此產生大量小晶粒及含有電解質的污染物。然而,本發明亦可用於由任何其他適當材料形成之金屬線,例如鋁,因此本發明不應視為受限於銅基金屬化層,除非該限制為所附申請專利範圍所明白提出者。It will be appreciated that the present invention is particularly advantageous for the use of copper-based metallization layers because these structures are typically fabricated in a damascene process using electrochemical deposition techniques, thereby producing a large number of small grains and contaminants containing electrolytes. However, the invention may also be applied to metal wires formed of any other suitable material, such as aluminum, and thus the invention should not be construed as being limited to copper-based metallization layers, unless such limitations are apparent from the scope of the appended claims.

參考第1a至1f圖及第2a至2d圖,現在更詳細說明本發明之進一步敘述性實施例。第1a圖圖示包括基材101之半導體裝置100,其可形成任何微結構特徵於其中,例如積體電路之電路元件。基材101可代表用於形成如半導體裝置之微結構之任何適當材料。例如,基材101可代表以主體矽(bulk silicon)基材或以絕緣層上覆矽(silicon-on-insulatoe,SOI)基材形式之矽基(silicon-based)基材,如在大量主要複雜積體電路,如微處理器、儲存晶片、ASIC等,現今都以矽為主基材製造。然而,應瞭解的是可使用任何其他適當的半導體材料,例如包括不同組成份(例如矽鍺、碳化矽等)的半導體區域、不同結晶方向、不同內部應變(inherent strain)的矽基材料、或包括任何化合物半導體材料(如、II-IV半導體、III-V半導體等)的基材。Referring to Figures 1a through 1f and Figures 2a through 2d, a further illustrative embodiment of the present invention will now be described in more detail. Figure 1a illustrates a semiconductor device 100 comprising a substrate 101 that can form any microstructure features therein, such as circuit elements of an integrated circuit. Substrate 101 can represent any suitable material for forming microstructures such as semiconductor devices. For example, the substrate 101 may represent a silicon-based substrate in the form of a bulk silicon substrate or a silicon-on-insulatoe (SOI) substrate, as in a large number of major Complex integrated circuits, such as microprocessors, memory chips, ASICs, etc., are now manufactured on the basis of germanium. However, it should be understood that any other suitable semiconductor material may be used, such as semiconductor regions including different compositions (eg, tantalum, tantalum carbide, etc.), different crystallographic orientations, different internal strains of germanium-based materials, or A substrate comprising any compound semiconductor material (eg, II-IV semiconductor, III-V semiconductor, etc.).

半導體裝置100可於基材101上形成一層或多層金屬化層,其中,在所示之例示實施例中,兩層金屬化層110和120以層堆疊形成。金屬化層110可包括介電層111及形成於介電層111中之金屬線112。類似地,金屬化層120可包括成於介電層121中之複數條金屬線122,其中一條或多條金屬線122可經由通孔123連接至下層金屬化層110。金屬線122和112可包括任何適當金屬且在一特定實施例中包括銅,其中其他成分可至少局部地提供至金屬線122及/或112中以形成金屬合金。例如,已發現銅合金的存在可在電及應力遷移耐性觀點強化個別金屬線之特性。再者,當層120及110代表銅基金屬化層時,可提供適當阻障層以避免銅不適當地擴散至鄰近的介電材料層111及121。為了方便起見,在第1a圖並不顯示任何此等阻障層,且可在後續參考第2a圖的敘述中詳細說明。The semiconductor device 100 can form one or more metallization layers on the substrate 101, wherein, in the illustrated embodiment, the two metallization layers 110 and 120 are formed in a layer stack. The metallization layer 110 may include a dielectric layer 111 and metal lines 112 formed in the dielectric layer 111. Similarly, the metallization layer 120 can include a plurality of metal lines 122 formed in the dielectric layer 121, wherein the one or more metal lines 122 can be connected to the lower metallization layer 110 via the vias 123. Metal lines 122 and 112 may comprise any suitable metal and, in a particular embodiment, copper, wherein other components may be provided at least partially into metal lines 122 and/or 112 to form a metal alloy. For example, the presence of copper alloys has been found to enhance the properties of individual metal lines in terms of electrical and stress migration resistance. Moreover, when layers 120 and 110 represent a copper-based metallization layer, a suitable barrier layer can be provided to avoid improper diffusion of copper to adjacent dielectric material layers 111 and 121. For the sake of convenience, any such barrier layers are not shown in Figure 1a and may be described in detail later in the description with reference to Figure 2a.

層120中之金屬線122可定義寬度方向124,其可描繪金屬線122的橫向尺寸(lateral dimension)。類似地,長度方向125可藉由金屬線122定義,其實質地垂直於寬度方向124且垂直於第1a圖的圖式平面。應瞭解的是,在如高度複雜微處理器之先進積體電路中,複數層金屬化層,例如層110及120,互相向上堆疊,其中在每一金屬化層中,個別金屬線大致上以平行方式延伸,而在相鄰金屬化層中之個別金屬線亦為平行延伸,但大致上和後續金屬化層之長度方向垂直。以此方式,相鄰金屬化層之金屬線間之任何寄生電容為最小化。根據此等配置,金屬化層110可具有金屬線112以大致上沿著“寬度”方向124的平行方式延伸,以便降低線122和112之間的電容耦合(capacitive coupling)。應瞭解的是該等配置可在整體效能方面具有優點,且在下述中在對於用以改變結晶結構之熱處理方面,針對每一金屬化層110、120係個別定義特定長度方向。在其他實施例中,一些或所有金屬線112及122可定義其特定寬度方向124及長度方向125,使得對應“方向性”熱處理可以個別方式進行。Metal lines 122 in layer 120 may define a width direction 124 that may depict the lateral dimension of metal lines 122. Similarly, the length direction 125 can be defined by a metal line 122 that is substantially perpendicular to the width direction 124 and perpendicular to the plane of the pattern of Figure 1a. It should be appreciated that in an advanced integrated circuit such as a highly complex microprocessor, a plurality of metallization layers, such as layers 110 and 120, are stacked one on another, wherein in each metallization layer, individual metal lines are substantially The individual metal lines in the adjacent metallization layers also extend in parallel, but are substantially perpendicular to the length of the subsequent metallization layer. In this way, any parasitic capacitance between the metal lines of adjacent metallization layers is minimized. In accordance with such configurations, the metallization layer 110 can have metal lines 112 extending in a substantially parallel manner along the "width" direction 124 to reduce capacitive coupling between the lines 122 and 112. It will be appreciated that such configurations may have advantages in overall performance, and in the following, in terms of heat treatment to modify the crystalline structure, a particular length direction is individually defined for each metallization layer 110, 120. In other embodiments, some or all of the metal lines 112 and 122 may define their particular width direction 124 and length direction 125 such that the corresponding "directional" heat treatment may be performed in an individual manner.

第1b圖顯示包括複數個晶片區域130之基材101的平面圖,各晶片區域可包括半導體裝置,如第1a圖之半導體裝置100。再者,晶片區域130係顯示為暴露金屬化層120,其中金屬線122之長度方向125現在為水平指向。然而,圖中金屬線122的指向僅為描述性,且因此係以長度方向125定義該掃瞄方向。應更進一步瞭解,晶片區域130之尺寸相對於基材尺寸,以及尤其是金屬線122的尺寸是顯著放大者。Figure 1b shows a plan view of a substrate 101 comprising a plurality of wafer regions 130, each of which may comprise a semiconductor device, such as semiconductor device 100 of Figure 1a. Moreover, wafer region 130 is shown as exposing metallization layer 120, with length direction 125 of metal line 122 now being horizontally directed. However, the orientation of the metal line 122 in the figure is merely descriptive, and thus the scan direction is defined in the length direction 125. It should be further appreciated that the dimensions of the wafer region 130 are significantly magnified relative to the substrate size, and particularly the size of the metal lines 122.

半導體裝置100可根據完整建立之製程形成,其可參考第2a圖及後續之金屬鑲嵌技術之實施例而描述。在其他實施例中,當金屬化層110及120為鋁基金屬化層時,金屬線112和122可在廣為認可的沉積技術之基礎上沉積鋁而形成,例如化學氣相沉積、濺鍍沉積等。之後,金屬化層可由光微影及完整建立之蝕刻技術加以圖案化,因而形成金屬線112和122以及通孔123。之後,可施行熱處理以改變金屬線112和122之結晶結構,如下列所述,或根據其他實施例,個別金屬線112和122可藉由沉積適當介電材料及平坦化所形成之起伏(topography)而嵌入介電層111、121。The semiconductor device 100 can be formed in accordance with a completely established process, which can be described with reference to the embodiment of FIG. 2a and subsequent damascene techniques. In other embodiments, when the metallization layers 110 and 120 are aluminum-based metallization layers, the metal lines 112 and 122 can be formed by depositing aluminum on the basis of widely recognized deposition techniques, such as chemical vapor deposition, sputtering. Deposition and so on. Thereafter, the metallization layer can be patterned by photolithography and a completely established etching technique, thereby forming metal lines 112 and 122 and vias 123. Thereafter, a heat treatment may be performed to change the crystalline structure of the metal lines 112 and 122, as described below, or according to other embodiments, the individual metal lines 112 and 122 may be undulated by deposition of a suitable dielectric material and planarization (topography) ) the dielectric layers 111, 121 are embedded.

無關形成金屬線112、122製程順序,改變金屬線112之結晶結構及/或其污染物之數量之敘述性實施例將在下方詳細描述。A narrative embodiment that changes the crystal structure of the metal lines 112 and/or the amount of contaminants thereof irrespective of the process sequence for forming the metal lines 112, 122 will be described in detail below.

第1c圖繪示設為在金屬線122上實施熱處理之系統150,以在熱處理期間以時間序列方式沿著長度方向125改變溫度。為了此目的,系統150可包括熱源151,該熱源151係設定以加熱區域在基材101之上或之中建立局部侷限加熱區域(locally restricted heating zone)。在一敘述性實施例中,熱源151可包括用於建立輻射束或粒子束之來源以產生局部侷限光束點153在基材101之上或之中,其中光束點153可代表局部侷限加熱區域之例子。在一特定實施例中,光束152可代表具有如波長、強度等之特定特性之雷射光束,以在局部侷限加熱區域153中產生所需的熱。熱源151可包含形成該光束152以呈現所期待之特性所需之任何設備(未圖示)。例如,相關光束光學元件(optics),如鏡子、透鏡等,可提供光束152聚焦及導引至基材101之局部侷限區域上。再者,系統150設為以在基材101與熱源151之間建立相對移動(relative motion),而使局部侷限區域153之掃描移動至少沿著長度方向125。例如,系統150可包括可移動基材支架154,其可至少沿著長度方向125移動。在其他情況中,基材支架154亦可在其他方向移動,例如在垂直於長度方向125之橫向,且亦可垂直移動,即沿著光束152之方向。FIG. 1c depicts a system 150 that is configured to perform a heat treatment on metal line 122 to change the temperature along the length direction 125 in a time series manner during the heat treatment. For this purpose, system 150 can include a heat source 151 that is configured to establish a locally restricted heating zone on or in substrate 101 with a heated zone. In a narrative embodiment, heat source 151 can include a source for establishing a beam of radiation or a beam of particles to create a localized beam spot 153 on or in substrate 101, wherein beam spot 153 can represent a locally confined heating zone. example. In a particular embodiment, beam 152 may represent a laser beam having specific characteristics such as wavelength, intensity, etc. to produce the desired heat in locally confined heating region 153. Heat source 151 can include any device (not shown) needed to form the beam 152 to exhibit the desired characteristics. For example, associated beam optics, such as mirrors, lenses, etc., can provide beam 152 for focusing and directing onto localized regions of substrate 101. Furthermore, the system 150 is configured to establish a relative motion between the substrate 101 and the heat source 151, with the scanning of the localized confinement region 153 moving at least along the length direction 125. For example, system 150 can include a moveable substrate holder 154 that can be moved at least along length direction 125. In other cases, the substrate holder 154 can also be moved in other directions, such as transverse to the length direction 125, and can also move vertically, i.e., along the direction of the beam 152.

在系統150操作期間,基材101可適當地定位於基材支架154上以允許大致上沿著至少一金屬線122之長度方向125相對移動。如果金屬線122以實質平行線提供,所有金屬線122可定義有共同的長度方向125。During operation of system 150, substrate 101 can be suitably positioned on substrate holder 154 to allow relative movement generally along the length direction 125 of at least one metal line 122. If metal lines 122 are provided in substantially parallel lines, all metal lines 122 may define a common length direction 125.

第1d圖繪示具有暴露於熱源151的複數條金屬線122之金屬化層120的局部放大圖。在所示的例示實施例中,光束152產生局部侷限光束點或加熱區域153覆蓋一條或多條金屬線122的一部分。在此狀況中,光束點153定義由熱源151產生之局部侷限加熱區域。須注意加熱區域153內之強度分布(intensity profile)可不需為均勻者。因此,加熱區域強度及因此強度造成之線122中的溫度分布,可於加熱區域153內局部地改變,其係根據掃描速度、光束點大小及光束之整體強度、吸收特性等。熱源151可依比例調整(dimensioned)使得在光束點153之溫度,以及因此在局部侷限區域之溫度超過特定目標溫度,該特定目標溫度使得金屬線122受到光束點153所影響的部分內的結晶結構重組(reconfiguration)。應瞭解通常熱源151釋放至局部侷限加熱區域153內的能量通常可依比例調整,使得區域153在時間間隔內達到目標溫度,且其不允許金屬線122中顯著熱傳導。結果,鄰近加熱區域金屬線122之加熱區域153之部分顯著地較冷且可大致上維持其現有結晶結構。因此,藉由建立基材101及熱源151之相對移動,加熱區域153可沿著長度方向125掃描並因而依序加熱線122之部分,藉此使目前加熱部分的結晶結構具有類似於之前經過加熱而現在冷卻至低於目標溫度使剛獲得的結晶結構“冷凍”之部分之結晶結構。以此方式,金屬線122中之晶粒大小可在長度方向125增加,藉此顯著降低每單位長度之晶粒邊界數。例如,在銅基金屬線中,在長度方向125的晶粒大小可達到10微米或甚至更大。FIG. 1d is a partial enlarged view of a metallization layer 120 having a plurality of metal lines 122 exposed to a heat source 151. In the illustrated exemplary embodiment, beam 152 produces a locally confined beam spot or heating region 153 that covers a portion of one or more of metal lines 122. In this case, beam spot 153 defines a locally confined heating zone created by heat source 151. It should be noted that the intensity profile within the heating zone 153 may not need to be uniform. Therefore, the intensity of the heating zone and thus the temperature distribution in the line 122 can be locally varied within the heating zone 153 depending on the scanning speed, the beam spot size, and the overall intensity of the beam, absorption characteristics, and the like. The heat source 151 can be dimensioned such that the temperature at the beam spot 153, and thus the temperature in the locally confined region, exceeds a particular target temperature that causes the metal line 122 to be crystallized within the portion of the beam spot 153 that is affected by the beam spot 153. Reconfiguration. It will be appreciated that the energy normally released into the locally confined heating zone 153 by the heat source 151 is typically scaled such that the zone 153 reaches the target temperature during the time interval and it does not allow significant heat transfer in the wire 122. As a result, portions of the heated region 153 adjacent the heating region metal lines 122 are significantly cooler and can substantially maintain their existing crystalline structure. Thus, by establishing the relative movement of the substrate 101 and the heat source 151, the heating region 153 can be scanned along the length direction 125 and thus sequentially heat portions of the line 122, thereby allowing the crystalline structure of the current heated portion to have a similar heating The crystal structure is now cooled to a fraction below the target temperature to "freeze" the crystal structure that has just been obtained. In this manner, the grain size in the metal line 122 can be increased in the length direction 125, thereby significantly reducing the number of grain boundaries per unit length. For example, in a copper-based metal line, the grain size in the length direction 125 can reach 10 microns or even larger.

在一些實施例中,局部侷限加熱區域153在長度方向125的延伸可選擇為幾個微米或更少以讓有效重組變為可能,因為區域尺寸小於所需晶粒尺寸。掃描移動可以大致上連續方式進行,例如根據特定速度持續移動基材支架154,或在其他實施例中,可建立大致上步進式(stepwise)移動,其中可調整每一步之後之停駐時間(dwell time)及步進尺寸以獲得“移動”加熱區域153間的所期望之重疊程度。根據局部侷限加熱區域153的水平延伸,亦即第1d圖中垂直方向,一或多條金屬線122在以上述方式熱處理後,可施行橫向之相對應移動。在一些實施例中,將圍繞金屬線122之介電材料之溫度“應力”維持在低位準為有利的。在此狀況中,沿著長度方向125的掃描操作可重複一次或數次且在加熱區域153內產生適當的溫度。例如,加熱區域之典型有效溫度可選擇為大約100至400℃。In some embodiments, the extension of the locally confined heating region 153 in the length direction 125 can be selected to be a few microns or less to enable efficient recombination because the region size is less than the desired grain size. The scanning movement can be performed in a substantially continuous manner, such as continuously moving the substrate holder 154 according to a particular speed, or in other embodiments, a substantially stepwise movement can be established, wherein the dwell time after each step can be adjusted ( Dwell time) and step size to achieve the desired degree of overlap between the "mobile" heating zones 153. According to the horizontal extension of the locally confined heating zone 153, i.e., the vertical direction in Fig. 1d, one or more of the wires 122 may be subjected to lateral movement correspondingly after heat treatment in the above manner. In some embodiments, it may be advantageous to maintain the temperature "stress" of the dielectric material surrounding the metal line 122 at a low level. In this case, the scanning operation along the length direction 125 may be repeated one or several times and an appropriate temperature is generated within the heating region 153. For example, a typical effective temperature for the heated zone can be selected to be about 100 to 400 °C.

在一敘述性實施例中,具有由如光束點153代表之掃描局部侷限加熱區域之熱處理可至少部分在次大氣氣氛或真空氣氛進行,以同步促進金屬線122中所含任何污染物之釋氣。為了此目的,至少部分基材支架154可置於個別製程腔體160,其使得建立適當氣氛及其特別允許次大氣氣氛的建立,以及在其他實例中,真空氣氛的建立。在這些實施例中,熱源151可附加至製程腔體160,或可以光束152可導引且無非所需耗損之方式耦合至製程腔體160。在其他狀況中,熱源151可(至少部分地)置於個別製程腔體中。在一些實施例中,基材101可在次大氣或真空氣氛中預熱以便進一步在金屬線122之整個方向性加熱過程中促進釋氣及/或維持金屬線122在升高溫度,藉此減輕熱源151將暴露於移動加熱區域153之金屬線122升高至目標溫度以上之限制。In a narrative embodiment, the heat treatment having a locally localized heating zone, as represented by beam spot 153, can be performed at least partially in a sub-atmospheric atmosphere or vacuum atmosphere to simultaneously promote outgassing of any contaminants contained in metal line 122. . For this purpose, at least a portion of the substrate holder 154 can be placed in an individual process chamber 160 that allows for the establishment of a suitable atmosphere and, in particular, the establishment of a sub-atmospheric atmosphere, and in other instances, the establishment of a vacuum atmosphere. In these embodiments, heat source 151 may be attached to process chamber 160 or may be coupled to process chamber 160 in a manner that beam 152 may be directed and without undesirable wear and tear. In other cases, heat source 151 can be placed (at least partially) in an individual process chamber. In some embodiments, the substrate 101 can be preheated in a sub-atmospheric or vacuum atmosphere to further promote outgassing throughout the directional heating of the wire 122 and/or maintain the wire 122 at elevated temperatures, thereby mitigating The heat source 151 limits the exposure of the metal line 122 of the moving heating zone 153 above the target temperature.

在又一實施例中,熱處理可包括進一步步驟,其中金屬線122暴露於減壓氣體氣氛以藉此提供金屬線122之大致上不氧化之金屬表面。為了此目的,混合氣體(forming gas)或氫與鈍氣之其他混和物,鈍氣如氬、氙、氪等,可引入製程腔體160,其中壓力範圍可自次大氣條件至大氣或升高壓力條件。在減壓氣氛基礎之熱處理可與方向性區域加熱同時施行,或可在區域加熱製程步驟後施行。例如,在一敘述性實施例中,當金屬線122接受方向性區域加熱時,第一熱處理步驟可在真空氣氛中施行,而在另一實施例中,在區域加熱前可實施非方向性加熱步驟且真空氣氛可在後續區域加熱期間維持。之後,第二熱處理,其可包括非方向性及/或方向性加熱步驟,可在減壓氣氛中實施以強化該線122之金屬純度。In yet another embodiment, the heat treatment can include a further step in which the metal line 122 is exposed to a reduced pressure gas atmosphere to thereby provide a substantially non-oxidized metal surface of the metal line 122. For this purpose, a forming gas or other mixture of hydrogen and blunt gas, such as argon, helium, neon, etc., may be introduced into the process chamber 160, wherein the pressure range may range from sub-atmospheric conditions to atmospheric or elevated Pressure conditions. The heat treatment under the reduced pressure atmosphere may be performed simultaneously with the directional zone heating, or may be performed after the zone heating process step. For example, in a descriptive embodiment, the first heat treatment step can be performed in a vacuum atmosphere when the wire 122 is heated by the directional region, and in another embodiment, the non-directional heating can be performed before the region is heated. The step and vacuum atmosphere can be maintained during subsequent zone heating. Thereafter, a second heat treatment, which may include a non-directional and/or directional heating step, may be performed in a reduced pressure atmosphere to enhance the metal purity of the line 122.

第1e圖繪示基板101之平面圖,其中熱源151或至少其部分設為讓在基板101之延伸“垂直”部分能夠進行時間序列或方向式熱處理,或使非掃描方向跨過整個基材101加熱之局部侷限加熱區域153能夠產生,該非掃描方向性亦即,第1e圖中,箭頭161所指示垂直方向。至此,熱源151可包括適當光束光學元件(未圖示)以在垂直方向塑造光束152為縱向(longitudinal)形狀。例如,熱源151可包括複數條光纖(未圖示),該複數條光纖垂直排列以在基材101上提供複數條緊密間隔開之雷射光束。再者,提供複數條光纖亦允許使用兩種或更多種雷射光源,如果掃描如200毫米或300毫米基材之大直徑基材所需能量可能不由單一雷射提供。再者,適當聚焦元件,如透鏡,可在光纖末端提供以產生所期望之高聚焦雷射光束。另一方面,個別光學耦合器可用於有效率耦合雷射光束及將其分離至複數條光纖。1e is a plan view of the substrate 101, wherein the heat source 151 or at least a portion thereof is configured to enable time series or directional heat treatment in the extended "vertical" portion of the substrate 101, or to heat the non-scanning direction across the entire substrate 101. The localized limited heating region 153 can be generated, that is, the vertical direction indicated by the arrow 161 in Fig. 1e. To this end, the heat source 151 can include a suitable beam optic (not shown) to shape the beam 152 in a vertical direction into a longitudinal shape. For example, heat source 151 can include a plurality of optical fibers (not shown) that are vertically aligned to provide a plurality of closely spaced laser beams on substrate 101. Furthermore, the provision of a plurality of optical fibers also allows the use of two or more laser sources, and the energy required to scan large diameter substrates such as 200 mm or 300 mm substrates may not be provided by a single laser. Again, a suitable focusing element, such as a lens, can be provided at the end of the fiber to produce the desired high-focus laser beam. On the other hand, individual optical couplers can be used to efficiently couple the laser beam and separate it into a plurality of fibers.

第1f圖為根據進一步敘述性實施例繪示第1e圖熱源151之截面圖。在此實施例中,熱源151亦可顯著地延伸於橫向,即第1e圖之垂直方向或垂直於第1f圖之圖式平面之方向,其中熱經過熱傳導媒介(heat transfer medium)155傳導至複數條金屬線122。熱傳導媒介155可以熱氣體形式提供,例如熱氮氣或其他任何適當實質之鈍氣。在另一實施例中,熱傳導媒介155可以適當流體之蒸氣形式提供,該流體具有之冷凝溫度為等於或高於用以局部加熱該金屬線122之目標溫度。因此,在此實施例中,當提供至該金屬線122上時,熱傳導媒介155可接觸或冷凝於金屬線122上,藉此以高效率方式局部傳導熱,因為直接接觸金屬線122及額外產生之潛在熱(latent heat)。為提供熱傳導媒介155至金屬線122上,熱源151可包括多個單獨的噴嘴156,或可包括一個或多個伸長噴嘴通道(elongated nozzle channels),該噴嘴通道相對於長度方向125橫向地延伸以便形成噴嘴條(nozzle bar)或噴嘴“間隙(gap)”在非掃描方向(第1e圖中,垂直方向)。例如,可以提供單一橫向間隔作為伸長的噴嘴,藉此讓複數條金屬線122可依據伸長噴嘴的橫向延伸同步處理。一個或多個噴嘴156可設為以沿著長度方向125高度局部化方式供給熱傳導媒介155,其中噴嘴開口尺寸可大約為1微米且與金屬線的距離保持大約數微米以內。在其他實施例中,熱傳導媒介155可以液態形式提供,其可在冷卻之後固化。例如,熔融聚合物材料可以方向性方式“沉積”以提供局部侷限加熱區域153。在熱處理之後,聚合物材料可以完整建立之蝕刻製程移除。Figure 1f is a cross-sectional view of the heat source 151 of Figure 1e, according to a further illustrative embodiment. In this embodiment, the heat source 151 may also extend significantly in the lateral direction, that is, in the vertical direction of the 1st diagram or in the direction perpendicular to the plane of the pattern of the 1fth diagram, wherein the heat is conducted to the plural through the heat transfer medium 155. Strip metal line 122. The heat transfer medium 155 can be provided in the form of a hot gas, such as hot nitrogen or any other suitable substantial blunt gas. In another embodiment, the heat transfer medium 155 can be provided in the form of a vapor of a suitable fluid having a condensation temperature equal to or higher than a target temperature for locally heating the metal line 122. Thus, in this embodiment, when provided on the metal line 122, the heat transfer medium 155 can contact or condense on the metal line 122, thereby locally conducting heat in a highly efficient manner because of direct contact with the metal line 122 and additional generation The latent heat. To provide a thermally conductive medium 155 to the wire 122, the heat source 151 can include a plurality of individual nozzles 156, or can include one or more elongated nozzle channels that extend laterally relative to the length direction 125 so that A nozzle bar or a nozzle "gap" is formed in the non-scanning direction (the vertical direction in Fig. 1e). For example, a single lateral spacing can be provided as an elongated nozzle whereby a plurality of metal wires 122 can be synchronized in accordance with the lateral extension of the elongated nozzle. The one or more nozzles 156 can be configured to supply the thermally conductive medium 155 in a highly localized manner along the length direction 125, wherein the nozzle opening size can be approximately 1 micron and the distance from the metal wire can be maintained within a few microns. In other embodiments, the heat transfer medium 155 can be provided in a liquid form that can be cured after cooling. For example, the molten polymeric material can be "deposited" in a directional manner to provide a locally confined heating zone 153. After the heat treatment, the polymeric material can be removed by a fully established etching process.

在又一實施例中,熱源可經由輻射傳遞熱。在此狀況中,熱源151可包括在非掃描方向延伸的加熱元件,但限制在掃描或長度方向125的尺寸。例如加熱元件可包括導體,如連接至對應電源之導線,該電源藉由使電流流過導線來加熱導線。再者,導線可結合至適當聚焦系統,該聚焦系統可導引熱輻射至金屬線122上,藉此形成沿著非掃描方向延伸之聚焦線。In yet another embodiment, the heat source can transfer heat via radiation. In this case, the heat source 151 may include a heating element that extends in the non-scanning direction, but is limited in size in the scanning or length direction 125. For example, the heating element can include a conductor, such as a wire connected to a corresponding power source that heats the wire by flowing a current through the wire. Furthermore, the wires can be bonded to a suitable focusing system that directs thermal radiation onto the metal lines 122, thereby forming a focus line that extends along the non-scanning direction.

在操作如第1e與1f圖之熱源151時,以連續或步進移動之掃描操作可施行一次、兩次或數次,依據製程及裝置需求而定。藉此,可改變熱源151與金屬線122的距離以便調整移動之加熱區域153的有效溫度。再者,有效溫度可由控制有效掃描“速度”來改變或額外調整,無論是使用連續或步進式移動。When operating the heat source 151 as shown in Figures 1e and 1f, the scanning operation in a continuous or stepwise movement may be performed once, twice or several times, depending on the process and device requirements. Thereby, the distance between the heat source 151 and the wire 122 can be changed to adjust the effective temperature of the moving heating zone 153. Furthermore, the effective temperature can be changed or additionally adjusted by controlling the effective scanning "speed", whether using continuous or stepwise movement.

參考第2a至2d圖,現在更詳細敘述本發明之進一步敘述性實施例。第2a圖以截面圖繪示,半導體裝置200包括基材201,該基材201具有一層或多層金屬化層210、220形成於其上。根據基材201之特性,採用先前解釋且參考基材101之相同標準。金屬化層210、220之至少一者可代表極度縮小之半導體裝置之銅基金屬化層。因此,金屬化層210可包括介電層211,介電層211可以例如低k介電材料等之任何適當材料形成,且金屬化層210可包括含有銅及/或其任何合金之金屬線212,其中金屬線212可由適當阻障層217而與介電層211及下層基材201分開。類似地,金屬化層220可包括由如低k介電材料等任何適當材料組成之介電層221。介電層221包括複數個溝槽226,溝槽226具有在寬度方向224之橫向尺寸(latera1 dimension),於精細之裝置中,該橫向尺寸可為數個微米至100奈米及甚至更小之等級。再者,溝槽226定義長度方向225,長度方向225大致上垂直橫向方向224。介電層221與溝槽226之暴露表面係以阻障層227覆蓋,阻障層227上形成有晶種層(seed layer)228。晶種層228可由銅或促進後續電化學沉積製程中於溝槽226內沉積金屬之任何其他適當材料組成。在一敘述性實施例中,晶種層228由大致上與後續電化學沉積將沉積之材料相同之材料組成。Further illustrative embodiments of the present invention are now described in more detail with reference to Figures 2a through 2d. 2a is a cross-sectional view of a semiconductor device 200 including a substrate 201 having one or more metallization layers 210, 220 formed thereon. Depending on the nature of the substrate 201, the same criteria as previously explained and referenced to the substrate 101 are employed. At least one of the metallization layers 210, 220 can represent a copper-based metallization layer of an extremely thinned semiconductor device. Thus, metallization layer 210 can include dielectric layer 211, which can be formed of any suitable material, such as a low-k dielectric material, and metallization layer 210 can include metal lines 212 containing copper and/or any alloy thereof. The metal line 212 may be separated from the dielectric layer 211 and the underlying substrate 201 by a suitable barrier layer 217. Similarly, metallization layer 220 can include a dielectric layer 221 composed of any suitable material, such as a low-k dielectric material. The dielectric layer 221 includes a plurality of trenches 226 having a lateral dimension in the width direction 224. In a fine device, the lateral dimension can be on the order of a few micrometers to 100 nanometers and even less. . Again, the groove 226 defines a longitudinal direction 225 and the longitudinal direction 225 is substantially perpendicular to the lateral direction 224. The exposed surface of the dielectric layer 221 and the trench 226 is covered with a barrier layer 227, and a seed layer 228 is formed on the barrier layer 227. The seed layer 228 may be comprised of copper or any other suitable material that facilitates deposition of metal within the trenches 226 in a subsequent electrochemical deposition process. In a narrative embodiment, seed layer 228 is comprised of a material that is substantially the same as the material to be deposited by subsequent electrochemical deposition.

如第2a圖所示之裝置200可由下列製程形成。在基材201中或其上形成任何電路元件之後,金屬化層210可根據製程策略形成,如將以層220之形成解釋之。亦即,例如以完整建立之化學氣相沉積(CVD)技術及/或旋轉塗布技術來沉積適當介電材料,接著以先進光微影及蝕刻技術在介電層221中形成溝槽226。如前所解釋,在需要極高操作速度的先進積體電路中,形成於金屬化層220中之溝槽226大致上沿著長度方向225互相平行,而例如金屬線212亦可互相平行但走向為沿著方向224。在圖案化介電層221之後,阻障層227可藉由完整建立之濺鍍沉積技術、原子層沉積(ALD)、CVD等形成。之後,晶種層228可由例如濺鍍沉積或無電電鍍等形成。在一特定實施例中,銅基材料可沉積作為晶種層228。Apparatus 200 as shown in Figure 2a can be formed by the following process. After any circuit elements are formed in or on the substrate 201, the metallization layer 210 can be formed according to a process strategy, as will be explained by the formation of layer 220. That is, a suitable dielectric material is deposited, for example, by a fully established chemical vapor deposition (CVD) technique and/or spin coating technique, followed by formation of trenches 226 in dielectric layer 221 using advanced photolithography and etching techniques. As explained above, in advanced integrated circuits requiring extremely high operating speeds, the trenches 226 formed in the metallization layer 220 are substantially parallel to each other along the length direction 225, and for example, the metal lines 212 may be parallel to each other but oriented For the direction 224. After patterning the dielectric layer 221, the barrier layer 227 can be formed by a fully established sputtering deposition technique, atomic layer deposition (ALD), CVD, or the like. Thereafter, the seed layer 228 may be formed of, for example, sputter deposition or electroless plating or the like. In a particular embodiment, a copper-based material can be deposited as seed layer 228.

之後,裝置200可接受以230標示之熱處理,其中熱處理230以類似於參考第1a至1f圖敘述之方法施行。換言之,沿著長度方向225掃描時,可以局部侷限方式施行熱處理230來加熱至少該晶種層228,亦即,藉由產生如第1c至1f所述之加熱區域。因此,藉由熱處理230之方法,可改變晶種層228之結晶結構以藉由提供加強之結晶結構於後續主體金屬之電化學沉積而降低晶粒邊界之數目。熱處理230可在大致上鈍性氣氛或減壓氣氛中施行,以便有效抑制晶種層228的腐蝕及變色(discoloration)。Thereafter, device 200 can accept a heat treatment indicated at 230, wherein heat treatment 230 is performed in a manner similar to that described with reference to Figures 1a through 1f. In other words, when scanning along the length direction 225, the heat treatment 230 can be applied in a locally confined manner to heat at least the seed layer 228, i.e., by creating the heated regions as described in Figures 1c through 1f. Thus, by the method of heat treatment 230, the crystalline structure of the seed layer 228 can be altered to reduce the number of grain boundaries by providing an enhanced crystalline structure for electrochemical deposition of subsequent host metals. The heat treatment 230 can be performed in a substantially passive atmosphere or a reduced pressure atmosphere to effectively suppress corrosion and discoloration of the seed layer 228.

第2b圖繪示在進一步製造階段之半導體裝置220。裝置200包括填充於溝槽226中之金屬229,其中過量的金屬在金屬化層220上形成大致上封閉的層。金屬229可由銅及/或銅合金組成,銅合金包括金、鎳、鈀等元素。金屬229可藉由電鍍形成,其中根據錯合物電解質而定,可獲得大致上不含孔隙填充之溝槽226。在沉積製程中,加速劑、抑制劑、錯合劑等形式之污染物可併入金屬229中且在操作裝置200時會損害金屬229之性能。因此,在一敘述性實施例中,如圖2b所示之裝置200在鈍性或次大氣或真空氣氛235中接受熱處理以促進含於金屬層229之污染物的釋氣。再者,在一些實施例中,在氣氛235之熱處理可設計為同時預熱基材200至特定溫度以加強後續用以改變金屬229結晶結構之熱處理的效率,亦即,基材201可加熱至低於用於改變結晶結構熱處理之目標溫度的溫度。在一實施例中,在額外或另外的預熱製程之外及在提供額外或另外的次大氣或真空氣氛235之外,裝置200可接受熱處理以沿著長度方向225產生局部侷限加熱區域,如第1c至1f圖所描述。在一些實施例中,方向性熱處理可在一些金屬填充於線122後進行。在此狀況中,填充製程可中斷以由上述之任何適當方式進行方向性熱處理。之後,可恢復填充製程。因此,部分填充於金屬線122之金屬之結晶度可在填充製程期間改良且亦可增進污染物的釋氣。在一些實施例中,該中介(intermediate)方向性熱處理可實行多於一次以促進整體效率。因此,方向性熱處理可或可不在完成晶種層228之後立刻施行。Figure 2b illustrates the semiconductor device 220 at a further manufacturing stage. Device 200 includes a metal 229 that is filled in trench 226, wherein excess metal forms a substantially closed layer on metallization layer 220. The metal 229 may be composed of copper and/or a copper alloy including elements such as gold, nickel, palladium and the like. Metal 229 can be formed by electroplating, wherein depending on the complex electrolyte, a trench 226 that is substantially free of void fill can be obtained. Contaminants in the form of accelerators, inhibitors, complexing agents, and the like can be incorporated into the metal 229 during the deposition process and can compromise the properties of the metal 229 when the device 200 is operated. Thus, in a descriptive embodiment, the apparatus 200 as shown in Figure 2b is subjected to a heat treatment in a passive or sub-atmospheric or vacuum atmosphere 235 to promote outgassing of contaminants contained in the metal layer 229. Moreover, in some embodiments, the heat treatment at atmosphere 235 can be designed to simultaneously preheat substrate 200 to a particular temperature to enhance subsequent efficiency of the heat treatment to alter the crystalline structure of metal 229, ie, substrate 201 can be heated to It is lower than the temperature for changing the target temperature of the heat treatment of the crystal structure. In an embodiment, in addition to an additional or additional preheating process and in addition to providing additional or additional sub-atmosphere or vacuum atmosphere 235, device 200 may accept a heat treatment to produce a locally confined heating zone along length direction 225, such as Described in Figures 1c to 1f. In some embodiments, the directional heat treatment can be performed after some of the metal is filled in the line 122. In this case, the filling process can be interrupted to perform directional heat treatment in any suitable manner as described above. After that, the fill process can be resumed. Therefore, the crystallinity of the metal partially filled in the metal line 122 can be improved during the filling process and can also enhance the outgassing of the contaminant. In some embodiments, the intermediate directional heat treatment can be performed more than once to promote overall efficiency. Therefore, the directional heat treatment may or may not be performed immediately after completion of the seed layer 228.

結果,金屬層229之結晶結構可有效改進以降低晶粒邊界數目,如之前所述。當前述施行之熱處理230(第2a圖)與沿著長度方向225掃描之額外熱處理結合時,整體效率可顯著地增進,因為根據經過方向性熱處理之晶種層228之電化學沉積之金屬229可能已經提供強化的結晶結構,其可接著甚至更有效地改良。As a result, the crystal structure of the metal layer 229 can be effectively improved to reduce the number of grain boundaries as previously described. When the aforementioned heat treatment 230 (Fig. 2a) is combined with an additional heat treatment scanned along the length direction 225, the overall efficiency can be significantly improved because the metal 229 according to the electrochemical deposition of the seed layer 228 after the directional heat treatment may Enhanced crystalline structures have been provided which can then be improved even more effectively.

根據其他敘述性實施例,可省略熱處理230及/或在氣氛235之處理及/或以金屬層229為基礎沿著長度方向225之熱處理,及如第2b圖所示之基材201可接受用於移除層229之任何過量金屬之製程。為此目的,可施行電化學移除製程及/或化學機械拋光(CMP)製程以移除在層220水平表面之過量金屬及阻障層227。之後,可建立氣氛235,且污染物可由相對應金屬線驅逐出。再者,在此製造階段,在一實施例中,熱處理可以沿著長度方向225之金屬線之序列加熱侷限部分施行,如前述及參考第1c至1f圖。因此,熱處理可在氣氛235施行以同步促進污染物釋氣,其中亦可施行預熱以使基材201在整個方向性熱處理過程中維持特定升高溫度。According to other illustrative embodiments, heat treatment 230 and/or treatment at atmosphere 235 and/or heat treatment along length direction 225 based on metal layer 229 may be omitted, and substrate 201 as shown in FIG. 2b may be acceptable. The process of removing any excess metal from layer 229. For this purpose, an electrochemical removal process and/or a chemical mechanical polishing (CMP) process can be performed to remove excess metal and barrier layer 227 on the horizontal surface of layer 220. Thereafter, an atmosphere 235 can be established and the contaminants can be ejected by the corresponding metal wires. Further, at this stage of fabrication, in one embodiment, the heat treatment can be performed along the sequence of heating of the metal lines in the length direction 225, as described above and with reference to Figures 1c through 1f. Thus, heat treatment can be performed at atmosphere 235 to simultaneously promote congestion of contaminants, wherein preheating can also be performed to maintain substrate 201 at a particular elevated temperature throughout the directional heat treatment.

在又一實施例中,在填充金屬至線222期間或在形成金屬線222之後,可施行熱處理,其中裝置200係暴露於真空氣氛235達特定時間期間,且接著暴露於具有如上所述之適當氣體混合物之減壓氣氛,以進一步增進金屬線222之純度。在一些實施例中,包括在真空氣氛235中之至少一步驟及在減壓氣氛中之進一步步驟的熱處理可結合如前第1a至1f及2a圖所述之方向性區域加熱,而在其他實施例中,當因為增進金屬線純度之導電性改良被認為足夠時,可忽略區域加熱。In yet another embodiment, a heat treatment may be performed during filling of the metal to line 222 or after forming the metal line 222, wherein the apparatus 200 is exposed to the vacuum atmosphere 235 for a specific period of time, and then exposed to have appropriate The reduced pressure atmosphere of the gas mixture further enhances the purity of the metal line 222. In some embodiments, the heat treatment including at least one step in the vacuum atmosphere 235 and a further step in the reduced pressure atmosphere may be combined with the directional region heating as described in the preceding paragraphs 1a to 1f and 2a, while in other implementations In the example, when the conductivity improvement due to the enhancement of the purity of the metal wire is considered to be sufficient, the district heating can be ignored.

在其他實施例中,介電層221可包括低k材料,如SiCOH、MSQ、HSQ、SiLK等,其形成後可本身呈現與習知介電質相比降低的機械穩定性,該些習知介電質包括二氧化矽、氟摻雜之二氧化矽、氮化矽等。藉由熱處理金屬線222,至少在金屬線222的鄰近區域之介電層221亦可被處理。在此方式中,可改良例如硬度之機械特性,因為一些低k材料的硬度可因如雷射光之處理顯著增加。在一些實施例中,介電層221的處理可大致上在大致上介電層221之所有暴露表面部分施行,藉此提供改進包括低k介電材料之金屬化層堆疊的整體穩定度的可能性。In other embodiments, the dielectric layer 221 may comprise a low-k material such as SiCOH, MSQ, HSQ, SiLK, etc., which upon formation may exhibit reduced mechanical stability as compared to conventional dielectrics, such conventional The dielectric material includes cerium oxide, fluorine-doped cerium oxide, cerium nitride, and the like. By heat treating the metal lines 222, at least the dielectric layer 221 in the vicinity of the metal lines 222 can also be processed. In this manner, mechanical properties such as hardness can be improved because the hardness of some low-k materials can be significantly increased by treatment such as laser light. In some embodiments, the processing of the dielectric layer 221 can be performed substantially over substantially all exposed surface portions of the dielectric layer 221, thereby providing the potential to improve the overall stability of the metallization layer stack including the low-k dielectric material. Sex.

如之前所解釋,參考第1c至1e圖,用於產生沿著長度方向225掃描之局部加熱區域之熱源(如源151)可提供輻射光束,其吸收度與因此之熱傳導效率可根據如波長、粒子能量等光束特性。例如,雷射源之波長可造成金屬上之適當高度反射率,因此降低從光束至金屬之能量傳導。因此,在一些實施例中,在方向性熱處理前可形成熱傳導層(heat transfer layer),其中選擇該熱傳導層的特性以允許適當高能量累積於該層內,藉此替下層金屬提供加強的熱傳導。As explained earlier, with reference to Figures 1c to 1e, a heat source (e.g., source 151) for generating a localized heating region scanned along the length direction 225 can provide a radiation beam whose absorbance and hence heat transfer efficiency can be based on, for example, wavelength, Beam characteristics such as particle energy. For example, the wavelength of the laser source can cause an appropriate high reflectivity on the metal, thus reducing the energy transfer from the beam to the metal. Thus, in some embodiments, a heat transfer layer may be formed prior to the directional heat treatment, wherein the characteristics of the heat conductive layer are selected to allow for proper high energy accumulation within the layer, thereby providing enhanced heat transfer for the underlying metal .

第2c圖繪示在上述移除層229之過量金屬步驟之後及形成熱傳導層236形成之後的裝置200。熱傳導層236可包括如聚合物材料等之任何適當介電材料,該介電材料具有特性以便吸收光束237的顯著部分,光束237係設計用來建立加熱區域238,加熱區域238係局部侷限在長度方向225,亦即垂直於第2c圖之繪圖平面之方向,而在水平方向224,加熱區域238可延伸橫越複數條金屬線222。當光束237包括特定波長之雷射光束時,可設計熱傳導層236之厚度及消光係數(extinction coefficient)以便吸收高比例的輻射強度。熱傳導層236可根據完整建立的沉積技術來形成,如PECVD、旋轉塗布等。形成之後,可進行基於光束237之熱處理以改變金屬線222之結晶結構。在其他實施例中,當經由熱傳導媒介傳遞熱時,如參考第1f圖所述者,提供熱傳導層236亦可有利地避免熱傳導媒介與金屬線222的直接接觸。結果,可使用多種熱傳導媒介,如超熱水蒸氣(super-heated water vapor),而不會負面影響金屬線222。Figure 2c illustrates the apparatus 200 after the excess metal step of removing layer 229 described above and after formation of heat conducting layer 236. Thermally conductive layer 236 can comprise any suitable dielectric material, such as a polymeric material, having characteristics to absorb a significant portion of beam 237, which is designed to create a heated region 238 that is locally confined to length. Direction 225, i.e., perpendicular to the plane of the drawing plane of Figure 2c, while in horizontal direction 224, heating region 238 may extend across a plurality of metal lines 222. When the beam 237 includes a laser beam of a particular wavelength, the thickness of the heat conducting layer 236 and the extinction coefficient can be designed to absorb a high proportion of the radiant intensity. The thermally conductive layer 236 can be formed according to a fully established deposition technique, such as PECVD, spin coating, and the like. After formation, heat treatment based on the light beam 237 can be performed to change the crystal structure of the metal line 222. In other embodiments, providing heat transfer layer 236 may also advantageously avoid direct contact of the thermally conductive medium with metal line 222 when heat is transferred via the thermally conductive medium, as described with reference to FIG. 1f. As a result, a variety of heat transfer media, such as super-heated water vapor, can be used without adversely affecting the wire 222.

第2d圖繪示在移除熱傳導層236後之裝置200,其可藉由任何適當且完整建立技術來完成,如等方向性蝕刻、電漿蝕刻等。移除熱傳導層236期間及之後,可建立代表次大氣氣氛或真空氣氛之氣氛235以促進任何污染物釋氣,該污染物可能已在電化學沉積期間及/或形成及移除熱傳導層236期間併入者。之後,在一些實施例中,可改變氣氛235以包括減壓氣體環境以用於進一步強化金屬線222之純度。Figure 2d illustrates device 200 after removal of thermal conduction layer 236, which may be accomplished by any suitable and complete fabrication technique, such as isotropic etching, plasma etching, and the like. During and after removal of the thermally conductive layer 236, an atmosphere 235 representing a sub-atmospheric atmosphere or vacuum atmosphere may be established to promote any outgassing of the contaminants that may have been during electrochemical deposition and/or during the formation and removal of the thermally conductive layer 236. Incorporator. Thereafter, in some embodiments, the atmosphere 235 can be altered to include a reduced pressure gas environment for further enhancing the purity of the metal lines 222.

結果,本發明提供能夠用於形成增加電性效能特性之金屬線之技術,其中提供金屬具有提昇的純度及/或改良金屬之結晶度。結晶度的改良可根據熱處理施行,該熱處理包括局部侷限區域的加熱,其中該局部侷限加熱區域係沿著金屬線的長度方向掃描以降低在此方向之晶粒邊界數目。再者,以局部侷限加熱區域沿著長度方向掃描之熱處理可有效地與次大氣氣氛、真空氣氛及減壓氣氛之熱處理結合,以促進在金屬線中之任何污染物之釋氣。在一特定實施例中,可在熱處理的第一階段期間建立真空氣氛及在熱處理的第二最終階段期間建立減壓氣氛,其中包括至少此兩種氣氛的熱處理可以不採用方向性區域加熱或可結合方向性區域加熱施行。藉此,區域加熱可在至少部分地建立有真空氣氛及/或至少部分地建立有減壓氣氛下施行。結果,可改善在金屬線中對電及應力遷移之耐性及其他應力引發材料傳遞現象,藉此亦可增加包括金屬化層之半導體的可靠度。As a result, the present invention provides techniques that can be used to form metal lines that increase electrical performance characteristics, wherein the metal is provided with enhanced purity and/or improved crystallinity of the metal. The improvement in crystallinity may be performed according to a heat treatment comprising heating of a localized confinement region, wherein the locally confined heating region is scanned along the length of the metal line to reduce the number of grain boundaries in this direction. Furthermore, the heat treatment of scanning the locally limited heating zone along the length direction can be effectively combined with the heat treatment of the sub-atmospheric atmosphere, vacuum atmosphere and reduced pressure atmosphere to promote outgassing of any contaminants in the metal line. In a particular embodiment, a vacuum atmosphere can be established during the first stage of the heat treatment and a reduced pressure atmosphere can be established during the second final stage of the heat treatment, wherein the heat treatment including at least the two atmospheres can be performed without directional area heating or Heating is performed in conjunction with the directional area. Thereby, the zone heating can be carried out at least partially with a vacuum atmosphere and/or at least partially with a reduced pressure atmosphere. As a result, resistance to electrical and stress migration in the metal lines and other stress-inducing material transfer phenomena can be improved, thereby increasing the reliability of the semiconductor including the metallization layer.

上面所揭露特定實施例僅為敘述性,對於熟習該項技術者而言以不同但相等的方改良及實施本發明具有本文教示之優點為明顯的。例如,前面所提出製程步驟可以不同順序進行。再者,並無意圖限制本發明為在此所顯示的詳細構造或設計,除非在下列申請專利範圍所界定者。因此上述揭示特定實施例可改變或改良且所有此等變化為在本發明之範圍與精神內。The particular embodiments disclosed above are merely illustrative, and it is obvious that the invention may be modified and practiced with different but equivalent aspects. For example, the process steps set forth above can be performed in a different order. Further, the present invention is not intended to be limited to the details of the construction or design shown herein unless otherwise defined by the scope of the claims. The particular embodiments disclosed above may be varied or modified and all such variations are within the scope and spirit of the invention.

100...裝置100. . . Device

101...基材101. . . Substrate

110、120...金屬化層110, 120. . . Metallization layer

111、121...介電層111, 121. . . Dielectric layer

112、122...金屬線112, 122. . . metal wires

123...通孔123. . . Through hole

124...寬度方向124. . . Width direction

125...長度方向125. . . Longitudinal direction

130...晶片區域130. . . Wafer area

150...系統150. . . system

151...熱源151. . . Heat source

152...光束152. . . beam

153...(光束)點或區域153. . . (beam) point or region

154...基材支架154. . . Substrate holder

155...熱傳導媒介155. . . Heat transfer medium

156...噴嘴156. . . nozzle

160...製程腔體160. . . Process chamber

200...裝置200. . . Device

201...基材201. . . Substrate

210、220...金屬化層210, 220. . . Metallization layer

211、221...介電層211, 221. . . Dielectric layer

212、222...金屬線212, 222. . . metal wires

217、227...阻障層217, 227. . . Barrier layer

224...寬度方向224. . . Width direction

225...長度方向225. . . Longitudinal direction

226...溝槽226. . . Trench

228...晶種層228. . . Seed layer

229...金屬或金屬層229. . . Metal or metal layer

230...熱處理230. . . Heat treatment

235...氣氛235. . . atmosphere

236...熱傳導層236. . . Thermal conduction layer

237...光束237. . . beam

238...加熱區域238. . . Heating zone

本發明可藉由參考下列描述與所附圖式而瞭解,其中類似元件符號代表類似元件,其中:第1a圖示意地顯示包括含有複數條金屬線之金屬化層之半導體裝置,根據本發明之一敘述性實施例,該等金屬線之關於電及應力遷移及/或導電性的特性要被提昇;第1b圖示意地顯示包括複數個晶片區域之基材的平面圖,該等晶片區域依次包括第1a圖所示之半導體裝置;第1c及1d圖示意地顯示熱處理,其中根據本發明之敘述性實施例,金屬線溫度以時間序列方式沿著長度方向改變;第1e圖示意地顯示沿著長度方向溫度隨時間改變之加熱製程,根據本發明之敘述性實施例,其可在基材之基礎上進行;第1f圖示意地顯示第1e圖之熱處理,其中熱傳導媒介可根據本發明之進一步實施例被使用;第2a圖示意地顯示包括根據在中間製造階段期間之金屬鑲嵌製程而形成之金屬化層之半導體裝置,其中該半導體裝置接受根據本發明之敘述性實施例之熱處理;以及第2b、2c及2d圖示意地顯示根據本發明之多種敘述性實施例,在進一步先進製造階段中之半導體裝置。The invention may be understood by reference to the following description and the drawings, wherein like reference numerals represent like elements, wherein: FIG. 1a schematically shows a semiconductor device including a metallization layer comprising a plurality of metal lines, in accordance with the present invention. In a descriptive embodiment, the electrical and stress transfer and/or conductivity characteristics of the metal lines are enhanced; Figure 1b schematically shows a plan view of a substrate comprising a plurality of wafer regions, the wafer regions including The semiconductor device shown in Fig. 1a; Figs. 1c and 1d schematically show heat treatment, wherein according to a descriptive embodiment of the invention, the wire temperature changes in a time series manner along the length direction; Fig. 1e schematically shows along a heating process in which the temperature in the length direction changes with time, according to a descriptive embodiment of the present invention, which can be carried out on the basis of a substrate; FIG. 1f schematically shows a heat treatment of FIG. 1e, wherein the heat transfer medium can be further according to the present invention Embodiments are used; Figure 2a schematically shows the formation of a metallization layer formed according to a damascene process during the intermediate manufacturing stage A semiconductor device, wherein the semiconductor device is subjected to a heat treatment according to a descriptive embodiment of the present invention; and FIGS. 2b, 2c and 2d schematically show a semiconductor device in a further advanced manufacturing stage in accordance with various illustrative embodiments of the present invention.

雖本發明容許多種改良及不同形式,其特定實施例已經由例示圖式及詳細說明方式顯示。然而,可瞭解的是在此之敘述及特定實施例並非用於限制本發明為所揭露之特定形式,相反地,本發明意欲含有所有落在所附申請專利範圍所定義之本發明精神與範圍之改良、均等物及選擇物。While the invention is susceptible to various modifications and embodiments, However, it is to be understood that the invention is not to be construed as limited to Improvements, equals and choices.

101...基材101. . . Substrate

122...金屬線122. . . metal wires

125...長度方向125. . . Longitudinal direction

151...熱源151. . . Heat source

152...光束152. . . beam

153...(光束)點或區域153. . . (beam) point or region

154...基材支架154. . . Substrate holder

160...製程腔體160. . . Process chamber

Claims (29)

一種形成積體電路之方法,包括下列步驟:在半導體裝置之金屬化層之介電層中形成金屬線,該金屬線沿著長度方向延伸;以時間序列方式施行熱處理以沿著該長度方向改變溫度,其中,施行該熱處理的步驟包括將輻射及粒子之至少一者之局部侷限光束導引至該金屬線的第一部份上,並且沿著該長度方向在該局部侷限光束與該金屬線間產生相對移動,以照射鄰近該第一部份之第二部分;以及在導引該局部侷限光束至該金屬線上之前形成熱傳導層在該金屬線上。 A method of forming an integrated circuit comprising the steps of: forming a metal line in a dielectric layer of a metallization layer of a semiconductor device, the metal line extending along a length direction; performing a heat treatment in a time series manner to vary along the length direction a temperature, wherein the step of performing the heat treatment comprises directing a localized limited beam of at least one of the radiation and the particles onto the first portion of the metal line, and the locally confined beam and the metal line along the length direction A relative movement is generated to illuminate a second portion adjacent the first portion; and a thermally conductive layer is formed on the metal line prior to directing the locally confined beam onto the metal line. 如申請專利範圍第1項之方法,其中,形成該金屬線的步驟包括形成溝槽在該介電層中且以該金屬填充該溝槽。 The method of claim 1, wherein the step of forming the metal line comprises forming a trench in the dielectric layer and filling the trench with the metal. 如申請專利範圍第2項之方法,其中,至少一部份之該金屬係以電化學沉積技術填充,使過量金屬形成在該金屬線上,且其中該熱處理在移除該過量金屬前施行。 The method of claim 2, wherein at least a portion of the metal is filled by electrochemical deposition techniques such that excess metal is formed on the metal line, and wherein the heat treatment is performed prior to removing the excess metal. 如申請專利範圍第2項之方法,其中,填充該金屬的步驟包括藉由電化學沉積製程沉積至少一部份之該金屬以及移除在該電化學沉積製程期間所沉積的過量金屬,且其中該熱處理在移除該過量金屬後施行。 The method of claim 2, wherein the step of filling the metal comprises depositing at least a portion of the metal by an electrochemical deposition process and removing excess metal deposited during the electrochemical deposition process, and wherein This heat treatment is performed after removing the excess metal. 如申請專利範圍第1項之方法,其中,該相對移動是 大致上連續的移動。 The method of claim 1, wherein the relative movement is A substantially continuous movement. 如申請專利範圍第1項之方法,其中,該局部侷限光束包括雷射光束。 The method of claim 1, wherein the locally confined beam comprises a laser beam. 如申請專利範圍第1項之方法,復包括在該熱處理之後移除該熱傳導層。 The method of claim 1, further comprising removing the heat conducting layer after the heat treatment. 如申請專利範圍第7項之方法,其中,在移除該熱傳導層之前或之後,使該金屬線暴露於次大氣氣氛及真空氣氛之其中之一以促進該金屬線中之污染物的釋氣。 The method of claim 7, wherein the metal wire is exposed to one of a sub-atmosphere atmosphere and a vacuum atmosphere before or after the heat-conducting layer is removed to promote outgassing of the contaminant in the metal wire. . 如申請專利範圍第1項之方法,復包括使該金屬線暴露於次大氣氣氛及真空氣氛之其中之一以促進該金屬線中之污染物的釋氣。 The method of claim 1, further comprising exposing the wire to one of a sub-atmospheric atmosphere and a vacuum atmosphere to promote outgassing of contaminants in the wire. 如申請專利範圍第9項之方法,其中,當該金屬線暴露於次大氣氣氛及真空氣氛之該其中之一時施行該熱處理。 The method of claim 9, wherein the heat treatment is performed when the metal wire is exposed to one of a sub-atmospheric atmosphere and a vacuum atmosphere. 如申請專利範圍第9項之方法,復包括在暴露於次大氣氣氛及真空氣氛之該其中之一後,暴露該金屬線於減壓氣氛。 The method of claim 9, wherein the method comprises exposing the metal wire to a reduced pressure atmosphere after exposing to one of the sub-atmospheric atmosphere and the vacuum atmosphere. 如申請專利範圍第1項之方法,其中,藉由將熱傳導媒介以局部侷限方式導引至該金屬線之部分上而施行該熱處理。 The method of claim 1, wherein the heat treatment is performed by guiding the heat transfer medium to a portion of the metal wire in a locally confined manner. 如申請專利範圍第12項之方法,其中,該熱傳導媒介包括經加熱的鈍氣。 The method of claim 12, wherein the heat transfer medium comprises heated blunt gas. 如申請專利範圍第12項之方法,其中,該熱傳導媒介包括具有和該熱處理之目標溫度大約一致之凝結溫度 之蒸氣。 The method of claim 12, wherein the heat transfer medium comprises a condensation temperature that is approximately the same as a target temperature of the heat treatment. Vapor. 如申請專利範圍第1項之方法,其中,該熱處理包括預先加熱該金屬線及局部加熱該金屬線至特定目標溫度之上,以時間序列方式沿著該長度方向加熱該金屬線至該目標溫度之上。 The method of claim 1, wherein the heat treatment comprises preheating the metal wire and locally heating the metal wire to a specific target temperature, and heating the metal wire to the target temperature along the length direction in a time series manner. Above. 如申請專利範圍第2項之方法,其中,形成該金屬線的步驟復包括形成晶種層在該溝槽的表面上以及電化學地沉積一種或多種金屬在該晶種層上。 The method of claim 2, wherein the step of forming the metal line comprises forming a seed layer on a surface of the trench and electrochemically depositing one or more metals on the seed layer. 如申請專利範圍第16項之方法,其中,該熱處理包括至少一第一加熱製程以沿著該金屬線之該長度方向改變溫度,該第一加熱製程在形成該晶種層之後及完成該一種或多種金屬沉積之前施行。 The method of claim 16, wherein the heat treatment comprises at least one first heating process to change a temperature along the length direction of the metal wire, the first heating process after forming the seed layer and completing the one Or a variety of metal deposition before implementation. 如申請專利範圍第17項之方法,其中,該熱處理包括第二加熱製程以沿著該金屬線之該長度方向改變溫度,該第二加熱製程在完成該一種或多種金屬沉積之後施行。 The method of claim 17, wherein the heat treatment comprises a second heating process to vary the temperature along the length of the metal line, the second heating process being performed after the one or more metal deposits are completed. 如申請專利範圍第17項之方法,其中,該熱處理包括在完成該一種或多種金屬沉積之後之第二加熱製程,該第二加熱製程以沿著該長度方向大致上均勻的溫度施行。 The method of claim 17, wherein the heat treatment comprises a second heating process after completion of the depositing of the one or more metals, the second heating process being performed at a substantially uniform temperature along the length direction. 如申請專利範圍第17項之方法,其中,該第一加熱製程包括沿著該長度方向掃描輻射及粒子之至少一者之光束之局部侷限光束點。 The method of claim 17, wherein the first heating process comprises scanning a localized beam spot of the beam of at least one of the radiation and the particles along the length direction. 如申請專利範圍第20項之方法,其中,該光束包括雷 射光束。 The method of claim 20, wherein the light beam comprises a thunder Shoot the beam. 一種形成積體電路之方法,包括下列步驟:在介電層中形成金屬線,該介電層形成在包括半導體裝置之基材上;施行熱處理以改變該金屬線的結晶結構;暴露該金屬線於真空氣氛以促進該金屬線中之污染物的釋氣;以及在暴露於該真空氣氛之後暴露該金屬線於減壓氣氛。 A method of forming an integrated circuit, comprising the steps of: forming a metal line in a dielectric layer formed on a substrate including a semiconductor device; performing a heat treatment to change a crystalline structure of the metal line; exposing the metal line In a vacuum atmosphere to promote outgassing of contaminants in the wire; and exposing the wire to a reduced pressure atmosphere after exposure to the vacuum atmosphere. 如申請專利範圍第22項之方法,其中,該熱處理包括設計以時間序列方式沿著該金屬線之長度方向改變溫度之加熱製程。 The method of claim 22, wherein the heat treatment comprises designing a heating process for changing the temperature along the length of the metal line in a time series manner. 如申請專利範圍第22項之方法,其中,該金屬線藉由在該介電層中形成溝槽及在該溝槽中填充一種或多種金屬而形成。 The method of claim 22, wherein the metal line is formed by forming a trench in the dielectric layer and filling the trench with one or more metals. 如申請專利範圍第22項之方法,其中,當該金屬線暴露於該真空氣氛及該減壓氣氛之至少一者時,至少部分地施行該熱處理。 The method of claim 22, wherein the heat treatment is performed at least partially when the metal wire is exposed to at least one of the vacuum atmosphere and the reduced pressure atmosphere. 如申請專利範圍第25項之方法,其中,該熱處理包括設計以時間序列方式沿著該金屬線之長度方向改變溫度之加熱製程。 The method of claim 25, wherein the heat treatment comprises designing a heating process for changing the temperature along the length of the metal line in a time series manner. 如申請專利範圍第26項之方法,其中,該加熱製程係在該金屬線暴露於該真空氣氛及該減壓氣氛之至少一者時施行。 The method of claim 26, wherein the heating process is performed when the metal wire is exposed to at least one of the vacuum atmosphere and the reduced pressure atmosphere. 如申請專利範圍第26項之方法,復包括在施行該加熱製程之前形成熱傳導層。 The method of claim 26, further comprising forming a heat conducting layer prior to performing the heating process. 如申請專利範圍第28項之方法,復包括在暴露該金屬線於該真空氣氛時在該加熱製程之後,移除該熱傳導層。The method of claim 28, further comprising removing the heat conductive layer after the heating process after exposing the metal wire to the vacuum atmosphere.
TW95111464A 2005-03-31 2006-03-31 Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity TWI416662B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102005014751 2005-03-31
DE102005020061.3A DE102005020061B4 (en) 2005-03-31 2005-04-29 Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance
US11/292,537 US7375031B2 (en) 2005-04-29 2005-12-02 Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity

Publications (2)

Publication Number Publication Date
TW200723445A TW200723445A (en) 2007-06-16
TWI416662B true TWI416662B (en) 2013-11-21

Family

ID=37055538

Family Applications (1)

Application Number Title Priority Date Filing Date
TW95111464A TWI416662B (en) 2005-03-31 2006-03-31 Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity

Country Status (2)

Country Link
DE (1) DE102005020061B4 (en)
TW (1) TWI416662B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306644A (en) * 2002-04-22 2003-11-16 Infineon Technologies Ag Method for fabricating thin metal-containing layers having low electrical resistance

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0793258B2 (en) * 1985-12-04 1995-10-09 富士通株式会社 Recrystallization method for conductor film
US4758533A (en) * 1987-09-22 1988-07-19 Xmr Inc. Laser planarization of nonrefractory metal during integrated circuit fabrication
JPH04280425A (en) * 1991-03-07 1992-10-06 Sony Corp Wiring formation
US6391777B1 (en) * 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6743310B1 (en) * 2002-02-22 2004-06-01 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with improved adhesion and reduced electromigration along the Cu/nitride interface

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306644A (en) * 2002-04-22 2003-11-16 Infineon Technologies Ag Method for fabricating thin metal-containing layers having low electrical resistance

Also Published As

Publication number Publication date
DE102005020061B4 (en) 2016-12-01
TW200723445A (en) 2007-06-16
DE102005020061A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
US20060267201A1 (en) Technique for forming copper-containing lines embedded in a low-k dielectric by providing a stiffening layer
KR100754757B1 (en) Method for multilevel copper interconnects for ultra large scale integration
US7656027B2 (en) In-chip structures and methods for removing heat from integrated circuits
US6103624A (en) Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US7368379B2 (en) Multi-layer interconnect structure for semiconductor devices
US6599781B1 (en) Solid state device
US7375031B2 (en) Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity
TWI463606B (en) Methods of forming copper-based conductive structures on an integrated circuit device
US20160086849A1 (en) Constrained nanosecond laser anneal of metal interconnect structures
US10163796B2 (en) Surface treatment for semiconductor structure
US8674484B2 (en) Dielectric separator layer
US20060223311A1 (en) Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity
US6784515B1 (en) Semiconductor integrated circuit device
US7897508B2 (en) Method to eliminate Cu dislocation for reliability and yield
TWI416662B (en) Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity
CN101074485B (en) Method of manufacturing electronic component
US7224065B2 (en) Contact/via force fill techniques and resulting structures
KR101273929B1 (en) Heat treatment for forming interconnect structures with reduced electro and stress migration and/or resistivy
KR101132700B1 (en) Metal wiring of semiconductor device and method of manufacturing the same
CN101626012B (en) Long-term annealed integrated circuit arrangements and method for producing the same
KR100386628B1 (en) Method for forming interconnect structures of semiconductor device
WO2006130250A1 (en) Technique for forming copper-containing lines embedded in a low-k dielectric by providing a stiffening layer
US20220415696A1 (en) Debonding structures for wafer bonding
US20050224980A1 (en) Interconnect adapted for reduced electron scattering
KR100613374B1 (en) Test method of interconnection line and interconnection line structure in semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees