DE102005020061B4 - Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance - Google Patents

Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance Download PDF

Info

Publication number
DE102005020061B4
DE102005020061B4 DE102005020061.3A DE102005020061A DE102005020061B4 DE 102005020061 B4 DE102005020061 B4 DE 102005020061B4 DE 102005020061 A DE102005020061 A DE 102005020061A DE 102005020061 B4 DE102005020061 B4 DE 102005020061B4
Authority
DE
Germany
Prior art keywords
metals
heat treatment
metal
layer
metal lines
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102005020061.3A
Other languages
German (de)
Other versions
DE102005020061A1 (en
Inventor
Axel Preusse
Markus Keil
Wolfgang Buchholtz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to DE102005020061.3A priority Critical patent/DE102005020061B4/en
Priority to US11/292,537 priority patent/US7375031B2/en
Priority to GB0720857A priority patent/GB2439884B/en
Priority to PCT/US2006/011695 priority patent/WO2006105320A1/en
Priority to KR1020077025025A priority patent/KR101273929B1/en
Priority to TW95111464A priority patent/TWI416662B/en
Publication of DE102005020061A1 publication Critical patent/DE102005020061A1/en
Application granted granted Critical
Publication of DE102005020061B4 publication Critical patent/DE102005020061B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren mit: Bilden einer Metallleitung (222) in einer dielektrischen Schicht (221), die über einem Substrat (201) ausgebildet ist, das ein Halbleiterbauelement (200) aufweist; wobei die Metalleitung (222) durch Bilden eines Grabens (226) in der dielektrischen Schicht (211), Ausbilden einer Saatschicht (228) auf Oberflächen des Grabens, Einfüllen von einem oder mehreren Metallen (229) in den Graben (226) durch einen elektrochemischen Abscheidungsprozeß und Entfernen von überschüssigem Material, das während des elektrochemischen Abscheidungsprozesses abgeschieden wurde, gebildet wird; Ausführen einer Wärmebehandlung (230), um eine kristalline Struktur eines Teils des einen oder der mehreren Metalle (229) zu modifizieren; Einbringen des Teils des einen oder der mehreren Metalle (229) in eine Vakuumumgebung (235), um das Ausgasen von Kontaminationsstoffen in dem Teil des einen oder der mehreren Metalle (229) zu fördern, wobei die Wärmebehandlung (230) zumindest teilweise ausgeführt wird, während der Teil des einen oder der mehreren Metalle (229) der Einwirkung der Vakuumumgebung (235) ausgesetzt ist; Einbringen des Teils des einen oder der mehreren Metalle (229) in eine reduzierende Umgebung nach dem Einbringen in die Vakuumumgebung (235); wobei die Wärmebehandlung (230) und das Einbringen des Teils des einen oder der mehreren Metalle (229) in eine reduzierende Umgebung nach dem Abscheiden des Teils des einen oder der mehreren Metalle (229) in dem Graben (226) und vor dem vollständigen Abscheiden des einen oder der mehreren Metalle (229) ausgeführt werden.A method comprising: forming a metal line (222) in a dielectric layer (221) formed over a substrate (201) comprising a semiconductor device (200); wherein the metal line (222) is formed by forming a trench (226) in the dielectric layer (211), forming a seed layer (228) on surfaces of the trench, filling one or more metals (229) into the trench (226) by an electrochemical Deposition process and removal of excess material deposited during the electrochemical deposition process; Performing a heat treatment (230) to modify a crystalline structure of a portion of the one or more metals (229); Introducing the portion of the one or more metals (229) into a vacuum environment (235) to promote the outgassing of contaminants in the portion of the one or more metals (229), wherein the heat treatment (230) is at least partially performed; while the portion of the one or more metals (229) is exposed to the action of the vacuum environment (235); Introducing the portion of the one or more metals (229) into a reducing environment after introduction to the vacuum environment (235); wherein the heat treatment (230) and introducing the portion of the one or more metals (229) into a reducing environment after depositing the portion of the one or more metals (229) in the trench (226) and before complete deposition of the one or more metals (229) are performed.

Description

GEBIET DER VORLIEGENDEN ERFINDUNGFIELD OF THE PRESENT INVENTION

Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung von Mikrostrukturen, etwa moderner integrierter Schaltungen, und betrifft insbesondere das Herstellen leitender Strukturen, etwa Metallleitungen in Metallisierungsschichten von integrierten Schaltungen.In general, the present invention relates to the fabrication of microstructures, such as advanced integrated circuits, and more particularly relates to fabricating conductive structures, such as metal lines, in integrated circuit metallization layers.

BESCHREIBUNG DES STANDS DER TECHNIKDESCRIPTION OF THE PRIOR ART

Bei der Herstellung moderner Mikrostrukturen, etwa integrierter Schaltungen, gibt es ein ständiges Bestreben, die Strukturgrößen von Mikrostrukturelementen zu reduzieren, um damit die Funktionsweise dieser Strukturen zu verbessern. Beispielsweise haben in modernen integrierten Schaltungen die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, nunmehr den Bereich deutlich unter 1 μm erreicht, wodurch sich das Leistungsverhalten dieser Schaltungen hinsichtlich der Geschwindigkeit und/oder der Leistungsaufnahme verbessert. In dem Maße, wie die Größe der einzelnen Schaltungselemente mit jeder neuen Schaltungsgeneration reduziert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente ansteigt, verringert sich auch der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch miteinander verbinden. Folglich müssen die Abmessungen dieser Verbindungsleitungen verringert werden, um dem geringen Anteil an verfügbaren Platz und einer erhöhten Anzahl an Schaltungselemente, die pro Einheitschipfläche vorgesehen sind, Rechnung zu tragen. Die reduzierte Querschnittsfläche der Verbindungsleitungen kann möglicherweise in Verbindung mit einem Anwachsen der statischen Leistungsaufnahme äußerst skalierter Transistorelemente das Vorsehen mehrerer gestapelter Metallisierungsschichten erfordern, um die Erfordernisse im Hinblick auf eine akzeptable Stromdichte in den Metallleitungen zu erfüllen.In the fabrication of advanced microstructures, such as integrated circuits, there is a constant drive to reduce the feature sizes of microstructure features to improve the performance of these features. For example, in modern integrated circuits, the minimum feature sizes, such as the channel length of field effect transistors, have now reached the range well below 1 μm, thereby improving the performance of these circuits in terms of speed and / or power consumption. As the size of the individual circuit elements is reduced with each new circuit generation, for example, increasing the switching speed of the transistor elements, the available space for interconnecting lines electrically connecting the individual circuit elements also decreases. As a result, the dimensions of these interconnections must be reduced to accommodate the small amount of available space and increased number of circuit elements per unit die area. The reduced cross-sectional area of the interconnect lines, possibly in conjunction with an increase in static power consumption of highly scaled transistor elements, may require the provision of multiple stacked metallization layers to meet the requirements for acceptable current density in the metal lines.

Moderne integrierte Schaltungen mit Transistorelementen, die eine kritische Abmessung von 0,13 μm und sogar weniger aufweisen, können jedoch deutlich erhöhte Stromdichten in den einzelnen Verbindungsleitungen erforderlich machen, trotz des Vorsehens einer relativ großen Anzahl an Metallisierungsschichten, auf Grund der großen Anzahl an Schaltungselementen pro Einheitsfläche. Das Betreiben der Verbindungsleitungen bei erhöhten Stromdichten kann jedoch eine Reihe von Problemen nach sich ziehen, die mit einer stress- bzw. belastungsinduzierten Leitungsbeeinträchtigung in Beziehung stehen, was letztlich zu einem vorzeitigen Ausfall der integrierten Schaltung führen kann. Ein wichtiges Phänomen in dieser Hinsicht ist der strominduzierte Materialtransport in Metallleitungen, der auch als „Elektromigration” bezeichnet wird und der auch zur Ausbildung von Hohlräumen in und von Materialanhäufungen neben den Metallleitungen führen kann, woraus ein reduziertes Leistungsverhalten und eine geringere Zuverlässigkeit oder ein vollständiger Ausfall des Bauelements resultieren kann. Beispielsweise werden Aluminiumleitungen, die in Siliziumdioxid und/oder Siliziumnitrid eingebettet sind, häufig als Metall für Metallisierungsschichten verwendet, wobei, wie zuvor erläutert ist, moderne integrierte Schaltungen mit kritischen Abmessungen von 0,13 μm oder weniger unter Umständen deutlich reduzierte Querschnittsflächen der Metallleitungen und damit erhöhte Stromdichten erfondern, wodurch Aluminium wenig attraktiv ist für die Herstellung von Metallisierungsschichten auf Grund der deutlichen Elektromigrationseffekte.However, modern integrated circuits with transistor elements that have a critical dimension of 0.13 μm and even less may require significantly increased current densities in the individual interconnect lines, despite the provision of a relatively large number of metallization layers, due to the large number of circuit elements per unit area. However, operating the interconnections at increased current densities may entail a number of problems associated with stress induced line impairment, which may ultimately lead to premature failure of the integrated circuit. An important phenomenon in this regard is current-induced material transport in metal lines, also referred to as "electromigration", which can also lead to the formation of voids in and accumulations of material adjacent to the metal lines, resulting in reduced performance and reliability or complete failure of the device may result. For example, aluminum lines embedded in silicon dioxide and / or silicon nitride are often used as metal for metallization layers, and as discussed previously, modern integrated circuits having critical dimensions of 0.13 μm or less may have significantly reduced cross-sectional areas of the metal lines and thus high current densities, whereby aluminum is not very attractive for the production of metallization layers due to the significant electromigration effects.

Daher wird Aluminium zunehmend durch Kupfer ersetzt, das einen deutlich geringeren Widerstand und eine erhöhte Widerstandsfähigkeit gegenüber Elektromigrationseffekten bei höheren Stromdichten im Vergleich zu Aluminium aufweist. Die Einführung von Kupfer bei der Herstellung von Mikrostrukturen und integrierten Schaltungen schafft eine Vielzahl schwieriger Probleme auf Grund der Eigenschaft des Kupfers, leicht in Siliziumdioxid und einer Vielzahl von dielektrischen Materialien mit kleinem ε zu diffundieren. Um die erforderliche Haftung zu gewährleisten und das ungewünschte Diffundieren von Kupferatomen in empfindliche Bauteilgebiete zu vermeiden, ist es daher typischerweise erforderlich, eine Barrierenschicht zwischen dem Kupfer und dem dielektrischen Material, in welchem die Kupferleitungen eingebettet sind, vorzusehen. Obwohl Siliziumnitrid ein dielektrisches Material ist, das in effizienter Weise die Diffusion von Kupferatomen verhindert, ist die Verwendung von Siliziumnitrid als Material eines Zwischenschichtdielektrikums wenig erstrebenswert, da Siliziumnitrid eine äußerst hohe Permittivität aufweist, wodurch die parasitären Kapazitäten zwischen benachbarten Kupferleitungen erhöht werden. Daher wird eine dünne leitende Barrierenschicht, die dem Kupfer auch die erforderliche mechanische Stabilität verleiht, vorgesehen, um den Großteil des Kupfers von dem umgebenden dielektrischen Material zu trennen, und es wird lediglich eine dünne Siliziumnitrid- oder Siliziumkarbid oder Siliziumoxykarbidschicht in Form einer Deckschicht häufig in Metallisierungsschichten auf Kupferbasis verwendet Gegenwärtig sind Tantal, Titan, Wolfram und deren Verbindungen mit Stickstoff und Silizium und dergleichen bevorzugte Kandidaten für eine leitende Barrierenschicht, wobei die Barrierenschicht zwei oder mehrere Teilschichten unterschiedlicher Zusammensetzung aufweisen kann, um die Erfordernisse hinsichtlich der Unterdrückung der Diffusion und der Haftungseigenschaften zu erfüllen.Therefore, aluminum is increasingly being replaced by copper, which has significantly lower resistance and increased resistance to electromigration effects at higher current densities compared to aluminum. The introduction of copper in the fabrication of microstructures and integrated circuits creates a number of difficult problems due to the property of copper to easily diffuse into silicon dioxide and a variety of low-k dielectric materials. It is therefore typically necessary to provide a barrier layer between the copper and the dielectric material in which the copper lines are embedded in order to ensure the required adhesion and to avoid undesired diffusion of copper atoms into sensitive device areas. Although silicon nitride is a dielectric material that effectively prevents diffusion of copper atoms, the use of silicon nitride as the material of an interlayer dielectric is less desirable because silicon nitride has extremely high permittivity, thereby increasing the parasitic capacitances between adjacent copper lines. Thus, a thin conductive barrier layer which also provides the required mechanical stability to the copper is provided to separate most of the copper from the surrounding dielectric material, and only a thin silicon nitride or silicon carbide or silicon oxide carbide layer in the form of a capping layer is often used At present, tantalum, titanium, tungsten and their compounds with nitrogen and silicon and the like are preferred candidates for a conductive barrier layer, which barrier layer may have two or more sub-layers of different composition to meet the diffusion and adhesion suppression requirements to fulfill.

Eine weitere Eigenschaft des Kupfers, die es deutlich von Aluminium unterscheidet, ist die Tatsache, dass Kupfer nicht effizient in größeren Mengen durch chemische und physikalische Dampfabscheidetechniken aufgebracht werden kann. Des weiteren kann Kupfer nicht in effizienter Weise durch anisotrope Trockenätzprozesse strukturiert werden, wodurch eine Prozessstrategie erforderlich ist, die üblicherweise als Damaszener- oder Einlege-Technik bezeichnet wird. Im Damaszener-Prozess wird zunächst eine dielektrische Schicht gebildet, die dann so strukturiert wird, dass diese Gräben und Durchführungen aufweist, die nachfolgend mit Kupfer gefüllt werden, wobei, wie zuvor dargelegt ist, vor dem Auffüllen des Kupfers eine leitende Barrierenschicht an Seitenwänden der Gräben und Kontaktdurchführungen gebildet wird. Das Abscheiden des Hauptanteils des Kupfermaterials in die Gräben und Durchführungen wird typischerweise durch nasschemische Prozesse, etwa Elektroplattieren und stromloses Plattieren, durchgeführt, wobei das zuverlässige Auffüllen von Durchführungen mit einem Aspektverhältnis von fünf oder größer bei einem Durchmesser von ungefähr 0,1 μm oder noch weniger zusammen mit Gräben erforderlich ist, die eine Breite im Bereich von ungefähr 0,1 μm oder weniger bis einige Mikrometer aufweisen. Obwohl elektrochemische Abscheideprozesse für Kupfer auf dem Gebiet der Elektronikplatinenherstellung gut bekannt sind, ist eine im Wesentlichen hohlraumfreie Füllung von Durchführungen mit hohem Aspektverhältnis eine äußerst komplexe und herausfordernde Aufgabe, wobei die Eigenschaften der schließlich erhaltenen Kupferleitungen stark von Prozessparametern, Materialien und Geometrie der interessierenden Struktur abhängt. Da die Geometrie von Verbindungsstrukturen durch Entwurfserfordernisse festgelegt ist und daher nicht nennenswert bei einer vorgegebenen Mikrostruktur geändert werden kann, ist es von großer Wichtigkeit, den Einfluss von Fertigungsprozessen, die bei der Herstellung von Metallisierungsschichten beteiligt sind und von Materialien, etwa leitende und nicht leitende Barrierenschichten, auf die Kupfermikrostruktur sowie deren gegenseitige Wechselwirkung auf die Eigenschaften der Verbindungsstruktur abzuschätzen und zu steuern, um sowohl eine hohe Ausbeute als auch eine erforderliche Produktzuverlässigkeit zu garantieren. Another property of copper that makes it significantly different from aluminum is the fact that copper can not be efficiently applied in larger quantities by chemical and physical vapor deposition techniques. Furthermore, copper can not be efficiently patterned by anisotropic dry etch processes, thus requiring a process strategy commonly referred to as damascene or insert technique. In the damascene process, a dielectric layer is first formed, which is then patterned to include trenches and vias which are subsequently filled with copper, where, as stated previously, prior to filling the copper, a conductive barrier layer is provided on sidewalls of the trenches and contact bushings is formed. The deposition of the majority of the copper material into the trenches and vias is typically performed by wet chemical processes, such as electroplating and electroless plating, with the reliable filling of vias having an aspect ratio of five or greater with a diameter of about 0.1 μm or even less is required along with trenches having a width in the range of about 0.1 μm or less to several micrometers. Although electrochemical deposition processes for copper are well known in the electronics board manufacturing art, a substantially void-free filling of high aspect ratio vias is a highly complex and challenging task, the properties of the final copper lines being highly dependent on process parameters, materials, and geometry of the structure of interest , Since the geometry of interconnect structures is dictated by design requirements and therefore can not be changed appreciably with a given microstructure, it is of great importance to consider the influence of manufacturing processes involved in the fabrication of metallization layers and materials, such as conductive and nonconductive barrier layers to estimate and control the copper microstructure and their mutual interaction on the properties of the interconnect structure to ensure both high yield and required product reliability.

Folglich wurden große Anstrengungen unternommen, um die Beeinträchtigung von Kupferleitungen insbesondere im Hinblick auf Elektro- und Stressmigration, und im Hinblick auf eine nicht gewünschte Verringerung der Leitfähigkeit in äußerst größenreduzierten Bauelementen zu untersuchen, um damit neue Materialien und Prozessstrategien zur Herstellung von Metallleitungen auf Kupferbasis zu finden, da zunehmend strengere Anforderungen im Hinblick auf die Elektro- und Stressmigration und im Hinblick auf die Leitfähigkeitseigenschaften von Kupferleitungen für ständig reduzierte Strukturgrößen in modernen Bauelementen auferlegt werden. Obwohl der genaue Mechanismus der Elektro- und Stressmigration in Kupferleitungen noch nicht vollständig verstanden ist, zeigt sich dennoch, dass Hohlräume, die in und an Seitenwänden und Grenzflächen angeordnet sind, große Freiräume im Leitervolumen und Reste an der Unterseite der Kontaktdurchführung einen deutlichen Einfluss auf das Elektro- und Stressmigrationsverhalten ausüben können. Empirische Forschungsergebnisse zeigen, dass das Maß an Elektro- und Stressmigration häufig von der Materialzusammensetzung des Metalls, der kristallinen Struktur des Metalls, dem Zustand von Grenzflächen zu benachbarten Materialien, etwa leitenden und dielektrischen Barrierenschichten, und dergleichen, abhängen können.Consequently, great efforts have been made to investigate the degradation of copper lines, particularly with regard to electrical and stress migration, and undesired conductivity reduction in extremely reduced size devices to thereby provide new materials and process strategies for producing copper-based metal lines As increasingly stringent requirements are imposed on electrical and stress migration and on the conductivity properties of copper lines for constantly reduced feature sizes in modern devices. Although the exact mechanism of electrical and stress migration in copper pipes is not yet fully understood, it can be seen that cavities located in and on sidewalls and interfaces significantly affect the conductor volume and the underside of the feedthrough Exercise electrical and stress migration behavior. Empirical research has shown that the levels of electrical and stress migration can often depend on the material composition of the metal, the crystalline structure of the metal, the state of interfaces to adjacent materials, such as conductive and dielectric barrier layers, and the like.

Beispielsweise bieten in Aluminiumleitungen Kristallkorngrenzen bevorzugte Diffusionswege für stress- und strominduzierte Materialtransportereignisse. Da eine Verringerung der Leitungsgröße tendenziell kleinere Kristallkörner hervorruft, kann daher eine unverhältnismäßig erhöhte Elektro- und Stressmigration auftreten. Obwohl Korngrenzen nicht notwendigerweise bevorzugte Diffusionswege in Metallleitungen auf Kupferbasis darstellen, kann die erhöhte Anzahl an Korngrenzen dennoch signifikant den Gesamtwiderstand der kupferbasierten Leitung auf Grund der erhöhten Elektronstreuung an den Korngrenzen erhöhen. Folglich muss der äußerst komplexe Fertigungsprozess für Metallisierungsschichten, wozu das Abscheiden des Metalls, das nachfolgende Ausheizen davon, und dergleichen gehören, gesteuert werden, um zu versuchen, das Verhalten der Metallverbindungsstrukturen im Hinblick auf die Elektro- und Stressmigration und/oder auf die Leitfähigkeit zu verbessern.For example, in aluminum tubing, crystal grain boundaries provide preferential diffusion paths for stress- and flow-induced material handling events. Since a reduction in the line size tends to cause smaller crystal grains, therefore, a disproportionately high electro- and stress migration can occur. Although grain boundaries are not necessarily preferred diffusion paths in copper-based metal lines, the increased number of grain boundaries can still significantly increase the overall resistance of the copper-based line due to the increased electron scattering at the grain boundaries. Consequently, the highly complex manufacturing process for metallization layers, which includes depositing the metal, subsequently annealing it, and the like, must be controlled to attempt to improve the behavior of the metal interconnect structures in terms of electrical and stress migration and / or conductivity improve.

Daher besteht ein Bedarf für eine verbesserte Technik, die die Herstellung von Metallverbindungsstrukturen ermöglicht, die eine geringere stress- und strominduzierte Materialdiffusion und/oder eine erhöhte Leitfähigkeit selbst in äußerst größenreduzierten Mikrostrukturen zeigen.Therefore, there is a need for an improved technique that enables the fabrication of metal interconnect structures that exhibit less stress and current induced material diffusion and / or increased conductivity even in extremely sized microstructures.

Die DE 102 17 876 A1 offenbart, eine Halbleiterstruktur mit einer Kupferleitung mit Hilfe eines Laserstrahls, eines heißen Gases, einer Vielzahl von Heizlampen und/oder eines Heizdrahts zu erwärmen. Diese Wärmebehandlung wird in einer Atmosphäre aus Stickstoff, Argon oder Helium, oder im Vakuum durchgeführt. Die Wärmebehandlung kann nach Abschluß des Bildens der Metallleitungen oder nach dem Abscheiden einer Metallschicht, aus der die Metallleitungen gebildet werden und vor einem chemisch mechanischen Polierprozeß durchgeführt werden.The DE 102 17 876 A1 discloses heating a semiconductor structure with a copper line by means of a laser beam, a hot gas, a plurality of heating lamps and / or a heating wire. This heat treatment is carried out in an atmosphere of nitrogen, argon or helium, or in a vacuum. The heat treatment may be performed after completion of forming the metal lines or after depositing a metal layer from which the metal lines are formed and before a chemical mechanical polishing process.

Die US 4 758 533 A offenbart, unmittelbar vor dem Ausbilden einer Deckschicht über einer Metalleitung eine Laserwärmebehandlung in Ammoniak oder Stickstoff erst nach Abschluss der Abscheidung von einem oder mehreren Metallen, welche die Metalleitung bilden, durchzuführen.The US 4,758,533 A discloses, directly prior to forming a capping layer over a metal line, a laser heat treatment in ammonia or Nitrogen only after completion of the deposition of one or more metals, which form the metal line to perform.

ÜBERBLICK ÜBER DIE ERFINDUNGOVERVIEW OF THE INVENTION

Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik zur Herstellung von Metallleitungen in Metallisierungsschichten von Halbleiterbauelementen, wobei die Eigenschaften der Metallleitungen im Hinblick auf die Elektro- und Stressmigration und/oder im Hinblick auf die Leitfähigkeit verbessert werden können, indem eine Wärmebehandlung während und/oder nach der Ausbildung der Metallleitungen ausgeübt wird, um damit das elektrische Verhalten der Metallleitungen zu verbessern. Gemäß der Erfindung umfasst ein Verfahren zur Bildung einer Metallleitung in einer dielektrischen Schicht die Merkmale des Anspruchs 1.In general, the present invention is directed to a technique for making metal lines in metallization layers of semiconductor devices, wherein the properties of the metal lines can be improved with respect to electrical and stress migration and / or conductivity by performing heat treatment during and / or after the formation of the metal lines is exerted to thereby improve the electrical behavior of the metal lines. According to the invention, a method of forming a metal line in a dielectric layer comprises the features of claim 1.

In dieser Hinsicht ist eine Vakuumumgebung als eine Atmosphäre mit einem reduzierten Druck der Größenordnung von einigen 1.33 Hektopascal (= 1 Torr) und deutlich darunter zu verstehen, wohingegen eine subatmosphärische Umgebung Druckbedingungen mit einschließen kann, die in einen Bereich von Werten unter aber nahe des Umgebungsdrucks der Fertigungsstätte bis zu Vakuumdruckbedingungen liegen.In this regard, a vacuum environment is to be understood as an atmosphere with a reduced pressure of the order of a few 1.33 hectopascals (= 1 Torr) and well below, whereas a subatmospheric environment may include pressure conditions that fall within a range of values below but near ambient the production site are up to vacuum pressure conditions.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezugnahme zu den begleitenden Zeichnungen studiert wird; es zeigen:Further advantages, objects and embodiments of the present invention are defined in the appended claims and will be more clearly apparent from the following detailed description when studied with reference to the accompanying drawings; show it:

1a schematisch ein Halbleiterbauelement mit einer Metallisierungsschicht, die mehrere Metallleitungen enthält und deren Eigenschaften im Hinblick auf die Elektro- und Stressmigration und/oder die Leitfähigkeit zu verbessern sind, gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung; 1a 1 schematically illustrates a semiconductor device having a metallization layer that includes a plurality of metal lines and that is to be improved in electrical and stress migration and / or conductivity characteristics, according to illustrative embodiments of the present invention;

1b schematisch eine Draufsicht auf ein Substrat mit mehreren Chipflächen, die wiederum ein Halbleiterbauelement aufweisen, wie es in 1a gezeigt ist; 1b schematically a plan view of a substrate having a plurality of chip areas, which in turn comprise a semiconductor device, as shown in FIG 1a is shown;

1c und 1d schematisch eine Wärmebehandlung, in welcher eine Temperatur von Metallleitungen entlang einer Längsrichtung in zeitlich aufeinanderfolgender Weise variiert gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung wird; 1c and 1d schematically a heat treatment in which a temperature of metal lines along a longitudinal direction varies in a temporally sequential manner according to illustrative embodiments of the present invention;

1e einen Erwärmungsvorgang mit einer zeitlich variierenden Temperatur entlang einer Längsrichtung, wobei der Vorgang unter Einbeziehung eines gesamten Substrats gemäß anschaulicher Ausführungsformen ausgeführt wird; 1e a heating process having a time-varying temperature along a longitudinal direction, wherein the process is performed involving an entire substrate according to illustrative embodiments;

1f schematisch die Wärmebehandlung aus 1e, wobei ein Wärmetransfermedium verwendet wird, gemäß weiterer anschaulicher Ausführungsformen; 1f schematically the heat treatment 1e wherein a heat transfer medium is used, according to further illustrative embodiments;

2a schematisch ein Halbleiterbauelement mit einer Metallisierungsschicht, die gemäß einem Damaszener-Prozess hergestellt ist, während eines Zwischenstadiums in der Fertigung, wobei das Halbleiterbauelement einer Wärmebehandlung gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung unterzogen wird, und 2a 12 schematically illustrates a semiconductor device having a metallization layer fabricated in accordance with a damascene process during an intermediate stage of fabrication wherein the semiconductor device is subjected to a heat treatment in accordance with illustrative embodiments of the present invention;

2b bis 2d schematisch das Halbleiterbauelement in weiter fortgeschrittenen Herstellungsstadien gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung. 2 B to 2d 12 schematically illustrates the semiconductor device in more advanced stages of manufacture in accordance with illustrative embodiments of the present invention.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die vorliegende Erfindung richtet sich an eine Technik, die die Herstellung von Metallleitungen in Metallisierungsschichten von sogar äußerst größenreduzierten Halbleitebauelementen ermöglicht, wobei eine kristalline Struktur der Metalle und/oder der Grad der Reinheit der Metalle mittels einer Wärmebehandlung modifiziert wird, um damit die Eigenschaften der Metallleitungen im Hinblick auf die Widerstandsfähigkeit gegen Elektro- und Stressmigration und/oder im Hinblick auf ihre inhärente Leitfähigkeit zu verbessern. Ohne die vorliegende Erfindung auf die folgende Erläuterung einschränken zu wollen, wird angenommen, dass die Verringerung der Zahl der Korngrenzen innerhalb einer Metallleitung deutlich das elektrische Verhalten der Metallleitung beeinflussen kann, indem Elektro- und Stressmigration reduziert wird und/oder die inhärente Leitfähigkeit vergrößert wird. Bekanntlich kann die Kristallstruktur von Metallen in Metallleitungen von Mikrostrukturen signifikant von der Art des verwendeten Materials, der verwendeten Abscheidetechnik, den während des Abscheideprozesses eingestellten Prozessparametern sowie von vorhergehenden und nachfolgenden Prozessen des eigentlichen Abscheidens des Metalls abhängen.The present invention is directed to a technique which enables metal lines to be fabricated in metallization layers of even extremely small size semiconductor devices by modifying a crystalline structure of the metals and / or the degree of purity of the metals by means of a heat treatment to thereby improve the properties of the metal lines with regard to resistance to electrical and stress migration and / or with regard to their inherent conductivity. Without limiting the present invention to the following discussion, it is believed that reducing the number of grain boundaries within a metal line can significantly affect the electrical performance of the metal line by reducing electrical and stress migration and / or increasing inherent conductivity. As is known, the crystal structure of metals in metal lines of microstructures may depend significantly on the type of material used, the deposition technique used, the process parameters set during the deposition process, and on previous and subsequent processes of actually depositing the metal.

Beispielsweise werden Metallisierungsschichten auf Kupferbasis gegenwärtig unter Einsatz von elektrochemischen Abscheidetechniken, etwa dem Elektroplattieren, hergestellt, wobei die Korngröße und die kristalline Struktur signifikant von den Abscheideparametern und von den Abmessungen von den Gräben und Durchführungen abhängt, die mit dem Metall auf Kupferbasis zu befüllen sind, da die reduzierten Abmessungen der Gräben und Durchführungen zur Ausbildung von Metallkörnern mit geringeren Abmessungen führen können. Daher kann die inhärente Leitfähigkeit des kupferbasierten Metalls auf Grund der ansteigenden Ladungsträgerstreuung an der größeren Anzahl an Korngrenzen verringert werden.For example, copper-based metallization layers are currently manufactured using electrochemical deposition techniques, such as electroplating, the grain size and crystalline structure being significantly dependent on the deposition parameters and dimensions of the trenches and vias to be filled with the copper-based metal, since the reduced dimensions of the trenches and bushings can lead to the formation of metal grains with smaller dimensions. Therefore, the inherent conductivity of the copper-based metal due to the increasing charge carrier scattering at the larger number of grain boundaries can be reduced.

Bekanntlich erfordert das elektrochemische Abscheiden in äußerst größenreduzierten Gräben und Durchführungen in einer im Wesentlichen hohlraumfreien Weise das Einführen anspruchsvoller Elektroplattierungstechniken, die eine äußerst komplexe Elektrolytlösung erfordern. Somit werden eine Reihe von Additiven, etwa Abscheidesuppressoren, Beschleuniger, komplexbildende Mittel, und dergleichen in typischen Elektrolytlösungen verwendet, die zu einem gewissen Maße auch in dem Metall nach der Abscheidung verbleiben, wodurch ebenso die resultierende inhärente Leitfähigkeit der Metallleitung beeinträchtigt. Ferner kann die Anwesenheit von Kontaminationsstoffen in dem Metall und/oder die Existenz einer Vielzahl von Korngrenzen auch einen Einfluss auf das Elektro- und Stressmigrationsverhalten ausüben, da Korngrenzen und/oder die Kontaminationsstoffe die Eigenschaften von Grenzflächen zwischen dem Metall und benachbarten Materialien, etwa einer Diffusionsbarriere für Kupfer, beeinflussen können. Ferner können die Korngrenzen direkt den stressinduzierten Materialtransport beeinflussen, wie dies beispielsweise bei Aluminium der Fall ist. Folglich kann durch Modifizieren der Kristallstruktur des Metalls und/oder durch Reduzieren der Menge an Kontaminationsstoffen das Gesamtverhalten der Metallleitungen verbessert werden.As is well known, electrochemical deposition in extremely reduced size trenches and vias in a substantially void-free manner requires the introduction of sophisticated electroplating techniques which require a highly complex electrolytic solution. Thus, a number of additives, such as precipitation suppressors, accelerators, complexing agents, and the like are used in typical electrolyte solutions, which to some extent also remain in the metal after deposition, thus also affecting the resulting inherent conductivity of the metal line. Furthermore, the presence of contaminants in the metal and / or the existence of a plurality of grain boundaries may also exert an influence on the electrical and stress migration behavior, as grain boundaries and / or the contaminants will affect the properties of interfaces between the metal and adjacent materials, such as a diffusion barrier for copper, can influence. Furthermore, the grain boundaries can directly influence the stress-induced material transport, as is the case with aluminum, for example. Thus, by modifying the crystal structure of the metal and / or reducing the amount of contaminants, the overall performance of the metal lines can be improved.

Es sollte beachtet werden, dass die vorliegende Erfindung besonders vorteilhaft im Zusammenhang mit kupferbasierten Metallisierungsschichten ist, da diese Strukturen typischerweise mittels des Damaszener-Prozesses unter Anwendung elektrochemischer Abscheidetechniken hergestellt werden, wodurch eine große Anzahl von kleinen Körnern erzeugt wird und Kontaminationsstoffe des Elektrolyts eingebaut werden. Die vorliegende Erfindung kann jedoch auch auf Metallleitungen angewendet werden, die aus anderen geeigneten Materialien, etwa Aluminium, gebildet sind, und somit sollte die vorliegende Erfindung nicht auf kupferbasierte Metallisierungsschichten eingeschränkt erachtet werden, sofern derartige Einschränkungen nicht explizit in den angefügten Patentansprüchen dargelegt sind.It should be noted that the present invention is particularly advantageous in the context of copper-based metallization layers, as these structures are typically made by the damascene process using electrochemical deposition techniques, thereby producing a large number of small grains and incorporating contaminants of the electrolyte. However, the present invention may also be applied to metal lines formed of other suitable materials, such as aluminum, and thus the present invention should not be considered as limited to copper-based metallization layers, unless such limitations are explicitly set forth in the appended claims.

Mit Bezug zu den 1a bis 1f und 2a bis 2d werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.Related to the 1a to 1f and 2a to 2d Now, further illustrative embodiments of the present invention will be described in more detail.

1a zeigt schematisch ein Halbleiterbauelement 100 mit einem Substrat 101, das darin Strukturelemente von Mikrostrukturen, etwa Schaltungselemente integrierter Schaltungen, ausgebildet aufweisen kann. Das Substrat 101 kann ein beliebiges geeignetes Substrat zur Herstellung von Mikrostrukturen, etwa Halbleiterbauelementen, repräsentieren. Zum Beispiel kann das Substrat 101 ein Substrat auf Siliziumbasis in Form eines Siliziumvollsubstrats oder eines SOI-(Silizium auf Isolator)Substrat repräsentieren, da der größte Teil komplexer integrierter Schaltungen, etwa Mikroprozessoren, Speicherchips, ASIC's und dergleichen, gegenwärtig auf der Grundlage von Silizium hergestellt werden. Es sollte jedoch bedacht werden, dass andere geeignete Halbleitermaterialien, etwa siliziumbasierte Materialien mit Halbleitergebieten mit unterschiedlicher Zusammensetzung, etwa Silizium/Germanium, Silizium/Kohlenstoff, und dergleichen, mit unterschiedlichen kristallographischen Orientierungen, unterschiedlicher inhärenter Verformung, oder Substrate mit Verbundhalbleitermaterialien, etwa II–VI Halbleitern, III–V Halbleitern, und dergleichen, ebenso verwendet werden können. Das Halbleiterbauelement 100 kann über dem Substrat 101 eine oder mehrere Metallisierungsschichten aufweisen, wobei in den gezeigten beispielhaften Ausführungsformen zwei Metallisierungsschichten 110 und 120 als ein Schichtstapel ausgebildet sind. Die Metallisierungsschicht 110 kann eine dielektrische Schicht 111 und eine Metallleitung 112, die in der dielektrischen Schicht 111 ausgebildet ist, aufweisen. In ähnlicher Weise kann die Metallisierungsschicht 120 mehrere Metallleitungen 122 aufweisen, die in einer dielektrischen Schicht 121 ausgebildet sind, wobei eine oder mehrere der Metallleitungen 122 mit der darunter liegenden Metallisierungsschicht 110 mittels einer Durchführung 123 verbunden sein können. Die Metallleitungen 122 und 112 können aus einem beliebigen geeigneten Metall aufgebaut sein und können in einer speziellen Ausführungsform Kupfer aufweisen, wobei zusätzliche Komponenten zumindest lokal in der Metallleitung 122 und/oder 112 vorgesehen sein können, um damit eine Metalllegierung zu bilden. Beispielsweise hat sich herausgestellt, dass die Anwesenheit einer Kupferlegierung die Eigenschaften einer entsprechenden Metallleitung im Hinblick auf ihre Widerstandsfähigkeit gegenüber Elektro- und Stressmigration verbessern kann. Wenn ferner die Schicht 120 und 110 kupferbasierte Metallisierungsschichten repräsentieren sollen, können geeignete Barrierenschichten vorgesehen werden, um damit eine unerwünschte Diffusion von Kupfer in die benachbarten dielektrischen Materialien der Schichten 111 und 121 zu verhindern. Der Einfachheit halber sind derartige Barrierenschichten in 1a nicht gezeigt und sind detaillierter mit Bezug zu 2a nachfolgend beschrieben. 1a schematically shows a semiconductor device 100 with a substrate 101 which may have formed therein structural elements of microstructures, such as circuit elements of integrated circuits. The substrate 101 may represent any suitable substrate for fabricating microstructures, such as semiconductor devices. For example, the substrate 101 represent a silicon-based substrate in the form of a bulk silicon substrate or a silicon-on-insulator (SOI) substrate, as the majority of complex integrated circuits, such as microprocessors, memory chips, ASICs, and the like, are currently manufactured based on silicon. However, it should be appreciated that other suitable semiconductor materials, such as silicon-based materials having semiconductor regions of different composition, such as silicon / germanium, silicon / carbon, and the like, with different crystallographic orientations, different inherent strain, or substrates with compound semiconductor materials, such as II-VI Semiconductors, III-V semiconductors, and the like can also be used. The semiconductor device 100 can over the substrate 101 have one or more metallization layers, wherein in the exemplary embodiments shown, two metallization layers 110 and 120 are formed as a layer stack. The metallization layer 110 can be a dielectric layer 111 and a metal pipe 112 that are in the dielectric layer 111 is formed. Similarly, the metallization layer 120 several metal pipes 122 which are in a dielectric layer 121 are formed, wherein one or more of the metal lines 122 with the underlying metallization layer 110 by means of a passage 123 can be connected. The metal pipes 122 and 112 may be constructed of any suitable metal and, in a specific embodiment, may include copper, with additional components at least locally in the metal line 122 and or 112 may be provided to form a metal alloy. For example, it has been found that the presence of a copper alloy can improve the properties of a corresponding metal line in terms of its resistance to electrical and stress migration. Further, if the layer 120 and 110 may represent copper-based metallization layers, suitable barrier layers may be provided to prevent unwanted diffusion of copper into the adjacent dielectric materials of the layers 111 and 121 to prevent. For the sake of simplicity, such barrier layers are in 1a not shown and are more detailed with respect to 2a described below.

Die Metallleitungen 122 in der Schicht 120 können eine Breitenrichtung 124 definieren, die die laterale Abmessung der Metallleitungen 122 kennzeichnen kann. In ähnlicher Weise kann eine Längenrichtung 125 durch die Metallleitungen 122 definiert werden, die im Wesentlichen senkrecht zur Breitenrichtung 124 und senkrecht zur Zeichenebene aus 1a steht. Es sollte beachtet werden, dass in modernen integrierten Schaltungen, etwa in äußerst komplexen Mikroprozessoren, mehrere Metallisierungsschichten, etwa die Schichten 110 und 120, aufeinander gestapelt sind, wobei in jeder Metallisierungsschicht die entsprechenden Metallleitungen sich im Wesentlichen in einer parallelen Weise erstrecken, wohingegen entsprechende Metallleitungen in einer benachbarten Metallisierungsschicht sich auch parallel aber im Wesentlichen senkrecht zu der Längsrichtung der zuvor genannten Metallisierungsschicht erstrecken. Auf diese Weise können parasitäre Kapazitäten zwischen Metallleitungen benachbarter Metallisierungsschichten minimiert werden. Gemäß einer derartigen Anordnung kann die Metallisierungsschicht 110 die Metallleitungen 112 so aufweisen, dass diese sich im Wesentlichen in paralleler Weise entlang der „Breiten”-Richtung 124 erstrecken, um damit die kapazitive Kopplung zwischen den Leitungen 122 und 112 zu reduzieren. Es sollte beachtet werden, dass eine derartige Anordnung vorteilhaft im Hinblick auf das Gesamtverhalten sein kann, und, wie nachfolgend detaillierter in Bezug auf die Wärmebehandlung zum Modifizieren der kristallinen Struktur beschrieben ist, ist eine spezielle Längenrichtung individuell für jede Metallisierungsschicht 110, 120 definiert. In anderen Ausführungsformen können einige oder alle Metallleitungen 112 und 122 ihre eigene spezielle Breitenrichtung 124 und Längenrichtung 125 festlegen, so dass eine entsprechende „richtungsabhängige” Wärmebehandlung individuell ausgeführt werden kann.The metal pipes 122 in the layer 120 can be a width direction 124 define the lateral dimension of the metal lines 122 can identify. Similarly, a length direction 125 through the metal lines 122 be defined, which is substantially perpendicular to the width direction 124 and perpendicular to the plane 1a stands. It should be noted that in modern integrated circuits, such as in very complex microprocessors, several metallization layers, such as the layers 110 and 120 are stacked on each other, wherein in each metallization layer the corresponding metal lines extend in substantially a parallel manner, whereas corresponding metal lines in an adjacent metallization layer also extend parallel but substantially perpendicular to the longitudinal direction of the aforementioned metallization layer. In this way, parasitic capacitances between metal lines of adjacent metallization layers can be minimized. According to such an arrangement, the metallization layer 110 the metal lines 112 so as to be substantially parallel in the "width" direction 124 extend to allow the capacitive coupling between the lines 122 and 112 to reduce. It should be noted that such an arrangement may be advantageous in terms of overall performance and, as described in more detail below with respect to the heat treatment for modifying the crystalline structure, a particular length direction is unique for each metallization layer 110 . 120 Are defined. In other embodiments, some or all of the metal lines may be 112 and 122 their own special width direction 124 and length direction 125 so that a corresponding "directional" heat treatment can be performed individually.

1b zeigt schematisch eine Draufsicht auf das Substrat 101 mit mehreren Chipgebieten 120, wovon jedes ein Halbleiterbauelement, etwa das Halbleiterbauelement 100 aus 1a, enthält. Des weiteren sind die Chipgebiete 130 so gezeigt, dass diese die Metallisierungsschicht 120 freilegen, wobei die Längenrichtung 125 der Metallleitung 122 nunmehr horizontal orientiert ist. Die Orientierung der Metallleitung 122 in den Zeichnungen ist lediglich anschaulicher Natur und damit definiert die Längenrichtung 125 die Abtast- bzw. Bewegungsrichtung. Es sollte beachtet werden, dass die Abmessungen der Chipgebiete 130 in Bezug auf die Substratdimensionen und insbesondere die Abmessungen der Metallleitung 122 deutlich vergrößert sind. 1b schematically shows a plan view of the substrate 101 with several chip areas 120 each of which is a semiconductor device, such as the semiconductor device 100 out 1a , contains. Furthermore, the chip areas 130 so shown that these are the metallization layer 120 expose, taking the length direction 125 the metal line 122 now oriented horizontally. The orientation of the metal line 122 in the drawings is merely illustrative nature and thus defines the length direction 125 the scanning or movement direction. It should be noted that the dimensions of the chip areas 130 with respect to the substrate dimensions and in particular the dimensions of the metal conduit 122 are significantly enlarged.

Das Halbleiterbauelement 100 kann gemäß gut etablierter Prozesse hergestellt werden, die in Ausführungsformen unter Anwendung einer Damaszener-Technik mit Bezug zu der 2a später beschrieben sind. In anderen Ausführungsformen, wenn die Metallisierungsschichten 110 und 120 Metallisierungsschichten auf Aluminiumbasis sind, können die Metallleitungen 112 und 122 durch Abscheiden von Aluminium auf der Grundlage gut bewährter Abscheidetechniken, etwa der chemischen Dampfabscheidung, der Sputter-Abscheidung, und dergleichen, hergestellt werden. Danach kann die Metallschicht mittels Photolithographie und gut etablierter Ätzverfahren strukturiert werden, wodurch die Metallleitungen 112 und 122 sowie die Durchführung 123 entstehen. Danach kann eine Wärmebehandlung so ausgeführt werden, um die kristalline Struktur der Metallleitungen 112, 122 zu modifizieren, wie dies nachfolgend beschrieben ist, oder entsprechend anderer Ausführungsformen können die entsprechenden Metallleitungen 112 und 122 in die entsprechenden dielektrischen Schichten 111, 121 durch Abscheiden eines geeigneten dielektrischen Materials und Einebenen der resultierenden Topographie eingebettet werden.The semiconductor device 100 can be made in accordance with well-established processes described in embodiments employing a damascene technique with reference to U.S. Pat 2a described later. In other embodiments, when the metallization layers 110 and 120 Aluminum-based metallization layers, the metal lines can 112 and 122 by depositing aluminum based on well established deposition techniques such as chemical vapor deposition, sputter deposition, and the like. Thereafter, the metal layer may be patterned by photolithography and well-established etching techniques, whereby the metal lines 112 and 122 as well as the implementation 123 arise. Thereafter, a heat treatment may be carried out so as to control the crystalline structure of the metal lines 112 . 122 to modify, as described below, or according to other embodiments, the corresponding metal lines 112 and 122 into the corresponding dielectric layers 111 . 121 by depositing a suitable dielectric material and levels of the resulting topography.

Unabhängig von der Prozesssequenz zur Herstellung der Metallleitungen 112, 122 werden nun anschauliche Ausführungsformen zum Modifizieren der Kristallstruktur der Metallleitungen 122 und/oder zur Modifizierung des Gehalts an Kontaminationsstoffen detaillierter beschrieben.Regardless of the process sequence for producing the metal lines 112 . 122 Now, illustrative embodiments will be described for modifying the crystal structure of the metal lines 122 and / or for modifying the content of contaminants described in more detail.

1c zeigt schematisch ein System 150, das ausgebildet ist, eine Wärmebehandlung an den Metallleitungen 122 so durchzuführen, dass eine Temperatur während der Wärmebehandlung entlang der Längenrichtung 125 in einer zeitlich aufeinanderfolgenden Weise variiert wird. Zu diesem Zwecke kann das System 150 eine Wärmequelle 151 aufweisen, die ausgebildet ist, eine lokal beschränkte Heizzone auf oder in dem Substrat 101 zu erzeugen. In einer anschaulichen Ausführungsform kann die Heizquelle 151 ein Mittel zum Erzeugen einer gebündelten Strahlung oder eines Teilchenstrahls aufweisen, um damit einen lokal beschränkten Strahlfleck 153 auf oder in dem Substrat 101 zu erzeugen, wobei der Strahlfleck 153 ein Beispiel der lokal beschränkten Heizzone repräsentierten kann. In einer speziellen Ausführungsform kann der Strahl 152 einen Laserstrahl mit spezifizierten Eigenschaften, etwa der Wellenlänge, der Intensität, und dergleichen, repräsentieren, um damit die erforderliche Wärme in der lokal beschränkten Heizzone 153 zu erzeugen. Die Heizquelle 151 kann weitere zusätzliche Mittel (nicht gezeigt) aufweisen, die zur Erzeugung des Strahls 152 erforderlich sind, so dass dieser die gewünschten Eigenschaften aufweist. Beispielsweise können entsprechende Strahloptiken, etwa Spiegel, Linsen, und dergleichen vorgesehen sein, um den Strahl 152 zu bündeln und auf eine lokal beschränkte Fläche des Substrats 101 zu richten. Des weiteren ist das System 150 ausgebildet, eine Relativbewegung zwischen dem Substrat 101 und der Heizquelle 151 zu erzeugen, um damit eine Abtastbewegung der lokal beschränkten Zone 153 zumindest entlang der Längsrichtung 125 zu ermöglichen. Beispielsweise kann das System 150 einen verfahrbaren Substrathalter 154 aufweisen, der zumindest entlang der Längsrichtung 125 bewegbar ist. In anderen Fällen kann der Substrathalter 154 auch in anderen Richtungen verfahrbar sein, etwa in einer weiteren lateralen Richtung senkrecht zur Längsrichtung 125 und kann ferner vertikal bewegbar sein, d. h. entlang der Richtung des Strahls 152. 1c schematically shows a system 150 that is formed, a heat treatment on the metal lines 122 perform such that a temperature during the heat treatment along the length direction 125 is varied in a time sequential manner. For this purpose, the system can 150 a heat source 151 formed, which is a locally limited heating zone on or in the substrate 101 to create. In an illustrative embodiment, the heating source 151 a means for generating a collimated radiation or a particle beam, thereby having a locally confined beam spot 153 on or in the substrate 101 to generate, with the beam spot 153 an example of the localized heating zone can be represented. In a specific embodiment, the beam 152 a laser beam having specified properties, such as wavelength, intensity, and the like, to thereby provide the required heat in the localized heating zone 153 to create. The heat source 151 may include further additional means (not shown) for generating the beam 152 are required so that it has the desired properties. For example, corresponding beam optics, such as mirrors, lenses, and the like may be provided to the beam 152 to focus and on a locally limited area of the substrate 101 to judge. Furthermore, the system 150 formed, a relative movement between the substrate 101 and the heating source 151 to generate a scanning movement of the locally restricted zone 153 at least along the longitudinal direction 125 to enable. For example, the system can 150 a movable substrate holder 154 at least along the longitudinal direction 125 is movable. In other cases, the substrate holder 154 be movable in other directions, such as in a further lateral direction perpendicular to the longitudinal direction 125 and may also be vertically movable, ie along the direction of the beam 152 ,

Während des Betriebs des Systems 150 kann das Substrat 101 in geeigneter Weise auf dem Substrathalter 154 positioniert werden, um eine Relativbewegung im Wesentlichen entlang der Längsrichtung 125 zumindest einer der Metallleitungen 122 zu ermöglichen. Wenn die Metallleitungen 122 als im Wesentlichen parallele Leitungen vorgesehen sind, kann die Längsrichtung 125 gemeinsam für alle Metallleitungen 122 definiert werden.During operation of the system 150 can the substrate 101 suitably on the substrate holder 154 be positioned to a relative movement substantially along the longitudinal direction 125 at least one of the metal lines 122 to enable. If the metal lines 122 are provided as substantially parallel lines, the longitudinal direction 125 common for all metal pipes 122 To be defined.

1d zeigt schematisch eine vergrößerte Ansicht eines Teils der Metallisierungsschicht 120, wobei mehrere Metallleitungen 122 der Einwirkung der Heizquelle 151 ausgesetzt sind. In der gezeigten beispielhaften Ausführungsform erzeugt der Strahl 152 den lokal beschränkten Strahlfleck oder Heizzone 153, die wiederum einen Teil einer oder mehrerer Metallleitungen 122 abdeckt. In diesem Falle definiert der Strahlfleck 153 die lokal beschränkte Heizzone, die von der Heizquelle 151 geschaffen wird. Es ist anzumerken, dass das Intensitätsprofil innerhalb der Heizzone 153 nicht notwendigerweise gleichförmig sein muss. Somit kann die Intensität und damit das Temperaturprofil, das in den Leitungen 122 hervorgerufen wird, lokal innerhalb der Heizzone 153 in Abhängigkeit der Abtastgeschwindigkeit, der Strahlfleckgröße und der Gesamtintensität des Strahls, den Absorptionseigenschaften, und dergleichen variieren. Die Heizquelle 151 kann so dimensioniert sein, dass eine Temperatur innerhalb des Strahlflecks 153 und damit innerhalb der lokal beschränkten Heizzone eine spezifizierte Solltemperatur übersteigt, die eine Rekonfigurierung der kristallinen Struktur innerhalb des Bereichs der Metallleitung 122 ermöglicht, der durch den Strahlfleck 153 beeinflusst wird. Es sollte beachtet werden, dass typischerweise die von der Heizquelle 151 in der lokal beschränkten Heizzone 153 deponierte Energie so dimensioniert ist, dass die Solltemperatur innerhalb der Zone 153 innerhalb einer Zeitdauer erreicht wird, die keinen merklichen Wärmetransport innerhalb der Leitung 122 ermöglicht. Somit sind Bereiche angrenzend zu der Heizzone 153 der Metallleitung 122 deutlich kälter und behalten ihre aktuelle kristalline Struktur im Wesentlichen bei. Somit kann durch Erzeugen einer Relativbewegung zwischen dem Substrat 101 und der Heizquelle 151 die Heizzone 153 entlang der Längsrichtung 125 verfahren werden und damit in sequenzieller Weise Bereiche der Leitung 122 erwärmen, wodurch der aktuell erwärmte Bereich eine kristalline Struktur annehmen kann, die ähnlich einer kristallinen Struktur ist, die in jenem Bereich erzeugt wurde, der zuvor erwärmt wurde und der nunmehr unter die Solltemperatur abgekühlt ist, um damit die unmittelbar zuvor erhaltene kristalline Struktur „einzufrieren”. Auf diese Weise kann die Größe der Kristallkörner innerhalb der Metallleitungen 122 in der Längsrichtung 125 vergrößert werden, wodurch sich die Anzahl der Korngrenzen pro Einheitslänge deutlich verringert. Beispielsweise kann in Metallleitungen auf Kupferbasis eine Korngröße in der Längsrichtung 125 von 10 μm oder mehr erreicht werden. 1d schematically shows an enlarged view of a part of the metallization layer 120 , where several metal lines 122 the action of the heat source 151 are exposed. In the exemplary embodiment shown, the beam generates 152 the localized beam spot or heating zone 153 which in turn is part of one or more metal lines 122 covers. In this case, the beam spot defines 153 the locally limited heating zone coming from the heating source 151 is created. It should be noted that the intensity profile within the heating zone 153 does not necessarily have to be uniform. Thus, the intensity and thus the temperature profile in the pipes 122 caused locally within the heating zone 153 depending on the scanning speed, the beam spot size and the total intensity of the beam, the absorption characteristics, and the like. The heat source 151 can be dimensioned such that a temperature within the beam spot 153 and within the localized heating zone exceeds a specified setpoint temperature which results in reconfiguration of the crystalline structure within the region of the metal conduit 122 made possible by the beam spot 153 being affected. It should be noted that typically from the heat source 151 in the localized heating zone 153 Deposited energy is dimensioned so that the setpoint temperature within the zone 153 within a period of time that does not cause significant heat transfer within the conduit 122 allows. Thus, areas are adjacent to the heating zone 153 the metal line 122 significantly colder and essentially retain their current crystalline structure. Thus, by creating a relative movement between the substrate 101 and the heating source 151 the heating zone 153 along the longitudinal direction 125 be moved and thus in a sequential manner areas of the line 122 whereby the currently heated region can assume a crystalline structure similar to a crystalline structure generated in the region which has been previously heated and which has now cooled below the target temperature to thereby freeze the crystalline structure obtained immediately before ". In this way, the size of the crystal grains within the metal lines 122 in the longitudinal direction 125 are increased, which significantly reduces the number of grain boundaries per unit length. For example, in copper-based metal lines, a grain size in the longitudinal direction 125 of 10 μm or more can be achieved.

In einigen Ausführungsformen kann die Ausdehnung der lokal beschränkten Heizzone 153 in der Längsrichtung 125 auf einige wenige Mikrometer oder sogar weniger eingestellt werden, um damit eine effiziente Rekonfigurierung zu ermöglichen, da die Zonengröße kleiner als die gewünschte Korngröße ist. Die Abtastbewegung kann in einer im Wesentlichen kontinuierlichen Weise ausgeführt werden, beispielsweise durch kontinuierliches Bewegen des Substrathalters 154 mit einer spezifizierten Geschwindigkeit, oder kann in anderen Ausführungsformen in einer im Wesentlichen schrittweisen Bewegung ausgeführt werden, wobei die Verweilzeit nach jedem Schritt sowie die Schrittgröße so eingestellt werden kann, dass ein gewünschtes Maß an Überlappung zwischen den sich „bewegenden” Heizzonen 153 erhalten wird. Abhängig von der lateralen Ausdehnung der lokal beschränkten Heizzone 153, d. h. in 1d die vertikale Abmessung, kann eine entsprechende Bewegung in lateraler Richtung ausgeführt werden, nachdem eine oder mehrere Metallleitungen 122 in der oben beschriebenen Weise wärmebehandelt sind. In einigen Ausführungsformen kann es vorteilhaft sein, die Temperatur-„Belastung” für das dielektrische Material, das die Metallleitungen 122 umgibt, auf einem geringen Niveau zu halten. In diesem Falle kann der Abtastvorgang entlang der Längsrichtung 125 ein Mal oder mehrere Male mit einer moderaten Temperatur wiederholt werden, die innerhalb der Heizzone 153 erzeugt wird. Beispielsweise kann eine typische effektive Temperatur der Heizzone auf ungefähr 100°C bis 400°C festgelegt werden.In some embodiments, the extent of the localized heating zone 153 in the longitudinal direction 125 be set to a few microns or even less to allow efficient reconfiguration because the zone size is smaller than the desired grain size. The scanning movement may be carried out in a substantially continuous manner, for example by continuously moving the substrate holder 154 at a specified speed, or in other embodiments, may be performed in a substantially stepwise motion, wherein the dwell time after each step and the step size may be adjusted to provide a desired amount of overlap between the "moving" heating zones 153 is obtained. Depending on the lateral extent of the localized heating zone 153 ie in 1d the vertical dimension, a corresponding movement in the lateral direction can be performed after one or more metal lines 122 are heat treated in the manner described above. In some embodiments, it may be advantageous to measure the temperature "stress" on the dielectric material that is the metal lines 122 surrounds, to keep at a low level. In this case, the scanning operation along the longitudinal direction 125 be repeated once or several times at a moderate temperature within the heating zone 153 is produced. For example, a typical effective temperature of the heating zone may be set at about 100 ° C to 400 ° C.

In einer anschaulichen Ausführungsform kann die Wärmebehandlung mit einer bewegten, lokal beschränkten Heizzone, wie sie beispielsweise durch den Strahlfleck 153 repräsentiert ist, zumindest teilweise in einer subatmosphärischen Umgebung oder einer Vakuumumgebung ausgeführt werden, um gleichzeitig das Ausgasen von Kontaminationsstoffen zu fördem, die in den Metallleitungen 122 enthalten sind. Zu diesem Zwecke wird zumindest der Substrathalter 154 in einer entsprechenden Prozesskammer 160 angeordnet, die das Erzeugen einer geeigneten Atmosphäre ermöglicht und die insbesondere das Bereitstellen einer subatmosphärischen Umgebung, und in einer Ausführungsform, einer Vakuumumgebung, ermöglicht. In diesen Ausführungsformen kann die Heizquelle 151 an der Prozesskammer 160 angebracht sein oder kann mit der Prozesskammer 160 so gekoppelt sein, dass der Strahl 152 ohne unnötige Verluste eingeführt werden kann. In anderen Fällen kann die Heizquelle 151 zumindest teilweise in der entsprechenden Prozesskammer 160 angeordnet werden. In einigen Ausführungsformen kann das Substrat 101 durch Wärme in der subatmosphärischen Umgebung oder Vakuumumgebung vorbehandelt werden, um das Ausgasen während des gesamten richtungsabhängigen Aufheizens aller Metallleitungen 122 zu fördern und/oder um die Metallleitungen 122 auf einer erhöhten Temperatur zu halten, um damit die Anforderungen für die Heizquelle 151 zum Anheben der Temperatur der Metallleitungen 122, die von der sich bewegenden Heizzone 153 beaufschlagt werden, über die Solltemperatur hinaus zu verringern.In one illustrative embodiment, the heat treatment may be performed with a moving, localized heating zone such as, for example, the beam spot 153 is at least partially carried out in a subatmospheric environment or a vacuum environment to simultaneously promote the outgassing of contaminants in the metal lines 122 are included. For this purpose, at least the substrate holder 154 in a corresponding process chamber 160 arranged, which enables the generation of a suitable atmosphere and in particular the provision of a subatmospheric environment, and in one embodiment, a Vacuum environment, allows. In these embodiments, the heating source 151 at the process chamber 160 be attached or can with the process chamber 160 be coupled so that the beam 152 can be introduced without unnecessary losses. In other cases, the heat source 151 at least partially in the appropriate process chamber 160 to be ordered. In some embodiments, the substrate may be 101 be pretreated by heat in the subatmospheric environment or vacuum environment to prevent outgassing during the entire directional heating of all metal lines 122 to promote and / or to the metal lines 122 to keep at an elevated temperature, in order to meet the requirements for the heating source 151 for raising the temperature of the metal pipes 122 coming from the moving heating zone 153 be acted to reduce beyond the target temperature addition.

In noch weiteren Ausführungsformen kann die Wärmebehandlung einen weiteren Schritt beinhalten, in welchem die Metallleitungen 122 einer reduzierenden Gasumgebung ausgesetzt werden, um damit eine im Wesentlichen nicht oxidierte Metalloberfläche der Metallleitungen 122 zu schaffen. Zu diesem Zweck können ein Formiergas oder andere Wasser stoffmischungen mit inerten Gasen, etwa Argon, Xenon, Krypton und dergleichen in die Prozesskammer 160 eingeführt werden, wobei der Druck im Bereich von subatmosphärischen Bedingungen bis atmosphärischen oder erhöhten Druckbedingungen liegen kann. Die Wärmebehandlung auf der Grundlage einer reduzierenden Umgebung kann gleichzeitig mit der richtungsabhängigen Zonenheizung ausgeführt werden, oder kann nach dem Prozessschritt mit der zonenbezogenen Heizung ausgeführt werden. Beispielsweise kann ein erster Wärmebehandlungsschritt in einer Vakuumumgebung ausgeführt werden, während in einer anschaulichen Ausführungsform die Metallleitungen 122 der richtungsbezogenen Zonenheizung unterzogen werden, wohingegen in anderen Ausführungsformen ein nicht richtungsgebundener Heizschritt vor dem zonenbezogenen Heizen ausgeführt werden kann, und die Vakuumumgebung kann wahrend eines nachfolgenden zonenbezogenen Heizens aufrecht erhalten werden. Danach kann eine zweite Wärmebehandlung, die einen nicht richtungsabhängigen und/oder einen richtungsabhängigen Heizschritt enthalten kann, in der reduzierenden Umgebung ausgeführt werden, um die Reinheit des Metalls der Leitungen 122 zu verbessern.In still other embodiments, the heat treatment may include a further step in which the metal lines 122 exposed to a reducing gas environment, thereby forming a substantially unoxidized metal surface of the metal lines 122 to accomplish. For this purpose, a forming gas or other water mixtures with inert gases, such as argon, xenon, krypton and the like in the process chamber 160 The pressure may range from subatmospheric conditions to atmospheric or elevated pressure conditions. The heat treatment based on a reducing environment may be performed simultaneously with the directional zone heating, or may be performed after the process step with the zone related heating. For example, a first heat treatment step may be performed in a vacuum environment, while in one illustrative embodiment, the metal lines 122 the directional zone heating, whereas in other embodiments a non-directional heating step may be performed prior to zone heating, and the vacuum environment may be maintained during subsequent zone heating. Thereafter, a second heat treatment, which may include a non-directional and / or a directional heating step, may be performed in the reducing environment to increase the purity of the metal of the conduits 122 to improve.

1e zeigt schematisch das Substrat 101 in einer Draufsicht, wobei die Heizquelle 151 oder zumindest ein Teil davon so ausgebildet ist, dass eine zeitlich sequenzielle oder richtungsabhängige Wärmebehandlung an einem ausgedehnten „vertikalen” Bereich des Substrats 101 möglich ist, oder dass das Erzeugen der lokal beschränkten Heizzone 153 über das gesamte Substrat 101 hinweg in der nicht abzutastenden Richtung, d. h. in 1e, die durch den Pfeil 161 angezeigte vertikale Richtung, möglich ist. zu diesem Zweck kann die Heizquelle 151 eine geeignete Strahloptik (nicht gezeigt) umfassen, um den Strahl 152 in vertikaler Richtung in eine längliche Form zu bringen. Beispielsweise kann die Heizquelle 151 mehrere Glasfasern (nicht gezeigt) aufweisen, die vertikal so angeordnet sind, dass mehrere eng beieinanderliegende Laserstrahlen auf dem Substrat 101 bereitgestellt werden. Des weiteren kann das Vorsehen mehrerer Glasfasern ebenso den Einsatz zweier oder mehrerer einzelner Laserquellen ermöglichen, wenn die erforderliche Energie für das Abtasten von Substraten mit großem Durchmesser, etwa 200 mm oder 300 mm Substrate, nicht durch einen einzelnen Laser praktikabel ist. Des weiteren kann ein geeignetes Fokussierelement, etwa eine Linse, am Ende jeder Glasfaser vorgesehen sein, um einen gewünschten stark fokussierten Laserstrahl zu erzeugen. Andererseits können entsprechende optische Koppler verwendet werden, um den Laserstrahl in effizienter Weise einzukoppeln und diesen in mehrere Glasfasern aufzuteilen. 1e schematically shows the substrate 101 in a plan view, wherein the heat source 151 or at least a portion thereof is formed such that a temporally sequential or directionally dependent heat treatment at an extended "vertical" area of the substrate 101 is possible, or that generating the localized heating zone 153 over the entire substrate 101 away in the direction not to be scanned, ie in 1e that by the arrow 161 displayed vertical direction, is possible. For this purpose, the heat source 151 include a suitable beam optic (not shown) to the beam 152 in a vertical direction to bring in an elongated shape. For example, the heat source 151 a plurality of glass fibers (not shown) arranged vertically so that a plurality of closely spaced laser beams on the substrate 101 to be provided. Furthermore, the provision of multiple optical fibers may also permit the use of two or more individual laser sources if the energy required to scan large diameter substrates, such as 200 mm or 300 mm substrates, is not practical with a single laser. Furthermore, a suitable focusing element, such as a lens, may be provided at the end of each optical fiber to produce a desired highly focused laser beam. On the other hand, corresponding optical couplers can be used to efficiently couple the laser beam and divide it into a plurality of optical fibers.

1f zeigt schematisch die Heizquelle 151 aus 1e gemäß einer weiteren anschaulichen Ausführungsform in einer Querschnittsansicht. In dieser Ausführungsform kann sich die Heizquelle 151 auch merklich in lateraler Richtung erstrecken, d. h. in 1e die vertikale Richtung oder die Richtung senkrecht zur Zeichenebene der 1f, wobei Wärme auf mehrere Metallleitungen 122 mittels einem Wärmeübertragungsmedium 155 übertragen wird. Das Wärmeübertragungsmedium 155 kann in Form eines heißen Gases, etwa heißem Stickstoff, oder eines anderen geeigneten im Wesentlichen inerten Gases vorgesehen sein. In anderen Ausführungsformen kann das Wärmeübertragungsmedium 155 in Form von Dampf einer geeigneten Flüssigkeit bereitgestellt werden, der eine Kondensationstemperatur aufweist, die bei oder über der Solltemperatur für die lokale Erwärmung der Metallleitungen 122 liegt. In dieser Ausführungsform kann somit das Wärmeübertragungsmedium 155, wenn es auf die Metallleitungen 122 aufgebracht wird, dieses kontaktieren oder auf diesem kondensieren, wobei lokal Wärme in äußerst effizienter Weise auf Grund des direkten Kontakts zu der Metallleitung 122 und auf Grund der zusätzlichen Erzeugung latenter Wärme übertragen wird. Zum Zuführen des Wärmeübertragungsmediums 155 auf die Metallleitungen 122 kann die Heizquelle 151 mehrere einzelne Düsen 156 aufweisen oder diese kann eine einzelne oder mehrere längliche Düsenkanäle aufweisen, die sich lateral in Bezug auf die Längsrichtung 125 so erstrecken, dass ein Düsenstab oder ein Düsen-„Spalt” in der Nichtabtastrichtung gebildet wird (siehe 1e die vertikale Richtung). Beispielsweise kann ein einzelner lateraler Spalt als eine längliche Düse vorgesehen sein, wodurch die gleichzeitige Behandlung mehrerer Metallleitungen 122 abhängig von der lateralen Ausdehnung der länglichen Düse möglich ist. Die eine oder die mehreren Düsen 156 können so gestaltet sein, um das Wärmeübertragungsmedium 155 in einer äußerst lokalisierten Weise entlang der Längsrichtung 125 zuzuführen, indem die Düsenöffnung eine Größe von ungefähr 1 μm aufweist und der Abstand zu der Metallleitung innerhalb eines Bereiches von ungefähr einigen Mikrometern gehalten wird. In anderen Ausführungsformen kann das Wärmeübertragungsmedium 155 in Form einer Flüssigkeit vorgesehen sein, die sich nach dem Abscheiden verfestigt. Beispielsweise kann ein geschmolzenes Polymermaterial in richtungsabhängiger Weise „abgeschieden” werden, um damit die lokal beschränkte Heizzone 153 bereitzustellen. Nach der Wärmebehandlung kann das Polymermaterial durch gut etablierte Ätzverfahren entfernt werden. 1f shows schematically the heat source 151 out 1e according to another illustrative embodiment in a cross-sectional view. In this embodiment, the heat source 151 also noticeably extend in the lateral direction, ie in 1e the vertical direction or the direction perpendicular to the plane of the drawing 1f where heat is on multiple metal lines 122 by means of a heat transfer medium 155 is transmitted. The heat transfer medium 155 may be provided in the form of a hot gas, such as hot nitrogen, or other suitable substantially inert gas. In other embodiments, the heat transfer medium 155 be provided in the form of vapor of a suitable liquid having a condensation temperature which is at or above the desired temperature for the local heating of the metal lines 122 lies. In this embodiment, thus, the heat transfer medium 155 if it's on the metal wires 122 is applied, contact or condense on this, locally heat in an extremely efficient manner due to the direct contact with the metal line 122 and due to the additional generation of latent heat. For supplying the heat transfer medium 155 on the metal lines 122 can the heat source 151 several individual nozzles 156 or may include a single or multiple elongate nozzle channels extending laterally with respect to the longitudinal direction 125 so as to form a nozzle rod or a nozzle "gap" in the non-scanning direction (see FIG 1e the vertical direction). For example, a single lateral gap may be one elongated nozzle be provided, thereby allowing the simultaneous treatment of multiple metal lines 122 depending on the lateral extent of the elongated nozzle is possible. The one or more nozzles 156 can be designed to be the heat transfer medium 155 in a highly localized manner along the longitudinal direction 125 in that the nozzle orifice has a size of about 1 μm and the distance to the metal pipe is kept within a range of about several microns. In other embodiments, the heat transfer medium 155 be provided in the form of a liquid which solidifies after deposition. For example, a molten polymeric material may be "deposited" in a directional manner to provide the localized heating zone 153 provide. After the heat treatment, the polymer material may be removed by well established etching techniques.

In noch weiteren Ausführungsformen kann die Heizquelle Wärme durch Strahlung übertragen. In diesem Falle kann die Heizquelle 151 ein Heizelement aufweisen, das in der Nicht-Abtastrichtung länglich ausgedehnt ist, aber in der Abtast- oder Längsrichtung 125 in der Große beschränkt ist. Beispielsweise kann das Heizelement einen Leiter aufweisen, etwa einen Draht, der mit einer entsprechenden Stromquelle zum Erwärmen des Drahtes durch Einprägen eines Stromflusses darin verbunden ist, aufweisen. Ferner kann der Draht in ein geeignetes Fokussiersystem integriert sein, das die Wärmestrahlung auf die Metallleitungen 122 richten kann, wodurch eine fokussierte Zeile gebildet wird, die sich entlang der Nicht-Abtastrichtung erstreckt.In still other embodiments, the heating source may transmit heat by radiation. In this case, the heat source 151 a heating element elongated in the non-scanning direction, but in the scanning or longitudinal direction 125 is limited in the great. For example, the heating element may comprise a conductor, such as a wire, which is connected to a corresponding current source for heating the wire by impressing a current flow therein. Furthermore, the wire can be integrated into a suitable focusing system that can radiate heat to the metal lines 122 which forms a focused line extending along the non-scanning direction.

Während des Betriebs der Heizquelle 151, wie sie in den 1e und 1f gezeigt ist, kann ein Abtastvorgang mit kontinuierlicher oder schrittweiser Bewegung ein mal oder zwei mal oder öfter in Abhängigkeit der Prozess- und Bauteilerfordernisse ausgeführt werden. Hierbei kann ein Abstand zwischen der Heizquelle 151 und den Metallleitungen 122 variiert werden, um damit die effektive Temperatur der sich bewegenden Heizzone 153 einzustellen. Des weiteren kann die effektive Temperatur alternativ oder zusätzlich durch Steuern der effektiven Abtast-„Geschwindigkeit” eingestellt werden, unabhängig davon, ob ein kontinuierlicher oder schrittweiser Betrieb erfolgt.During operation of the heating source 151 as they are in the 1e and 1f As shown, a continuous or stepwise scanning operation may be performed once or twice or more often depending on the process and component requirements. This can be a distance between the heat source 151 and the metal lines 122 be varied, thereby increasing the effective temperature of the moving heating zone 153 adjust. Furthermore, the effective temperature may alternatively or additionally be adjusted by controlling the effective sampling "speed" whether continuous or stepwise operation occurs.

Mit Bezug zu den 2a bis 2d werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.Related to the 2a to 2d Now, further illustrative embodiments of the present invention will be described in more detail.

2a zeigt schematisch im Querschnitt ein Halbleiterbauelement 200 mit einem Substrat 201, das darauf ausgebildet eine oder mehrere Metallisierungsschichten 210, 220 aufweist. Hinsichtlich der Eigenschaften des Substrats 201 gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Substrat 101 dargelegt sind. Mindestens eine der Metallisierungsschichten 210, 220 kann eine Metallisierungsschicht auf Kupferbasis eines äußerst größenreduzierten Halbleiterbauelements repräsentieren. Somit kann die Metallisierungsschicht 210 eine dielektrische Schicht 211 aufweisen, die aus einem beliebigen geeigneten Material hergestellt sein kann, etwa aus einem dielektrischen Material mit kleinem ε, und dergleichen, und die eine Metallleitung 212 mit Kupfer und/oder einer Legierung davon aufweist, wobei die Metallleitung 212 von der dielektrischen Schicht 211 und dem darunter liegenden Substrat 201 durch eine geeignete Barrierenschicht 217 getrennt sein kann. In ähnlicher Weise kann die Metallisierungsschicht 220 eine dielektrische Schicht 221, die aus einem beliebigen geeigneten Material, etwa einem dielektrischen Material mit kleinem ε, und dergleichen aufgebaut ist, zusammengesetzt sein. Die dielektrische Schicht 221 umfasst mehrere Gräben 226 mit einer lateralen Abmessung in einer Breitenrichtung 224, die in der Größenordnung von einigen Mikrometern bis 100 nm und ggf. weniger in modernsten Bauelementen sein kann. Des weiteren definieren die Gräben 226 eine Längsrichtung 225, die im Wesentlichen senkrecht zu der lateralen Richtung 224 orientiert ist. Exponierte Oberflächen der dielektrischen Schicht 221 und der Gräben 226 sind mit einer Barrierenschicht 227 bedeckt, auf der eine Saatschicht 228 ausgebildet ist. Die Saatschicht 228 kann aus Kupfer oder einem anderen geeigneten Material aufgebaut sein, das das Abscheiden eines Metalls innerhalb der Gräben 226 in einem nachfolgenden elektrochemischen Abscheideprozess begünstigt In einer anschaulichen Ausführungsform weist die Saatschicht 228 im Wesentlichen das gleiche Material auf, das in dem nachfolgenden elektrochemischen Abscheideprozess abgeschieden wird. 2a shows schematically in cross section a semiconductor device 200 with a substrate 201 formed on one or more metallization layers 210 . 220 having. Regarding the properties of the substrate 201 Apply the same criteria as before with respect to the substrate 101 are set out. At least one of the metallization layers 210 . 220 may represent a copper-based metallization layer of a very small size semiconductor device. Thus, the metallization layer 210 a dielectric layer 211 which may be made of any suitable material, such as a low-k dielectric material, and the like, and the one metal line 212 with copper and / or an alloy thereof, wherein the metal line 212 from the dielectric layer 211 and the underlying substrate 201 through a suitable barrier layer 217 can be separated. Similarly, the metallization layer 220 a dielectric layer 221 composed of any suitable material, such as a low-k dielectric material, and the like. The dielectric layer 221 includes several trenches 226 with a lateral dimension in a width direction 224 , which may be on the order of a few micrometers to 100 nm and possibly less in the most modern components. Furthermore, the trenches define 226 a longitudinal direction 225 that is substantially perpendicular to the lateral direction 224 is oriented. Exposed surfaces of the dielectric layer 221 and the trenches 226 are with a barrier layer 227 covered, on a seed layer 228 is trained. The seed layer 228 may be constructed of copper or other suitable material that facilitates the deposition of a metal within the trenches 226 favors in a subsequent electrochemical deposition process. In one illustrative embodiment, the seed layer 228 essentially the same material that is deposited in the subsequent electrochemical deposition process.

Das in 2a gezeigte Bauelement 200 kann durch die folgende Prozesse hergestellt werden. Nach der Ausbildung von Schaltungselementen in und auf dem Substrat 201 kann die Metallisierungsschicht 210 gemäß den Prozessstrategien hergestellt werden, wie sie für die Herstellung der Schicht 220 erläutert werden. D. h., es wird ein geeignetes dielektrisches Material abgeschieden, beispielsweise auf der Grundlage gut etablierter CVD-(chemische Dampfabscheidungs-)Techniken und/oder Aufschleuderverfahren, woran sich moderne Photolithographie- und Ätztechniken anschließen, um die Gräben 226 in der dielektrischen Schicht 221 zu bilden. Wie zuvor erläutert ist, sind in modernen integrierten Schaltungen, die äußerst hohe Arbeitsgeschwindigkeiten erfordern, die Gräben 226, die in der Metallisierungsschicht 220 ausgebildet sind, im Wesentlichen parallel zueinander entlang der Längsrichtung 225 angeordnet, während beispielsweise die Metallleitungen 212 ebenso parallel zueinander sind, aber entlang der Richtung 224 orientiert sind. Nach dem Strukturieren der dielektrischen Schicht 220 kann die Barrierenschicht 227 durch gut etablierte Sputter-Abscheideverfahren, Atomlagenabscheidung (ALD), CVD, und dergleichen gebildet werden. Danach wird die Saatschicht 228 beispielsweise durch Sputter-Abscheidung oder stromloses Plattieren, und dergleichen gebildet. In einer speziellen Ausführungsform kann ein kupferbasiertes Material als die Saatschicht 228 abgeschieden werden. Danach wird, jedoch nicht beansprucht, das Bauelement 200 einer Wärmebehandlung unterzogen, die durch 230 gekennzeichnet ist, wobei die Wärmebehandlung 230 in ähnlicher Weise ausgeführt wird, wie dies auch mit Bezug zu den 1a bis 1f beschrieben ist. Anders ausgedrückt, die Wärmebehandlung 230 kann so ausgeführt werden, um zumindest die Saatschicht 228 in lokal beschränkter Weise zu erwärmen, d. h. Erzeugen einer Heizzone, wie dies mit Bezug zu den 1c bis 1f beschrieben ist, während eine Abtastung entlang der Längsrichtung 225 erfolgt. Somit kann durch die Wärmebehandlung 230 eine kristalline Struktur der Saatschicht 228 so modifiziert werden, dass die Anzahl der Korngrenzen verringert wird, wodurch eine verbesserte kristalline Struktur für die nachfolgende elektrochemische Abscheidung des Hauptteils des Metalls bereitgestellt wird. Die Wärmebehandlung 230 kann in einer im Wesentlichen inerten Atmosphäre oder einer reduzierenden Atmosphäre ausgeführt werden, um in effizienter Weise eine Korrosion und Verfärbung der Saatschicht 228 zu unterdrücken.This in 2a shown component 200 can be made by the following processes. After the formation of circuit elements in and on the substrate 201 can the metallization layer 210 According to the process strategies are made, as for the production of the layer 220 be explained. That is, a suitable dielectric material is deposited, for example, based on well-established CVD (chemical vapor deposition) techniques and / or spin-on techniques, followed by advanced photolithography and etching techniques, around the trenches 226 in the dielectric layer 221 to build. As previously explained, in modern integrated circuits which require extremely high operating speeds, the trenches 226 that is in the metallization layer 220 are formed, substantially parallel to each other along the longitudinal direction 225 while, for example, the metal lines 212 are also parallel to each other, but along the direction 224 are oriented. After structuring the dielectric layer 220 can the barrier layer 227 by well-established sputter deposition methods, atomic layer deposition (ALD), CVD, and the like. After that, the seed layer 228 for example, by sputter deposition or electroless plating, and the like. In a specific embodiment, a copper-based material may be used as the seed layer 228 be deposited. Thereafter, but not claimed, the device 200 subjected to a heat treatment by 230 characterized in that the heat treatment 230 is carried out in a similar manner, as with reference to the 1a to 1f is described. In other words, the heat treatment 230 can be done so at least the seed layer 228 in a locally limited way, ie generating a heating zone, as with reference to the 1c to 1f while scanning along the longitudinal direction 225 he follows. Thus, by the heat treatment 230 a crystalline structure of the seed layer 228 be modified so that the number of grain boundaries is reduced, thereby providing an improved crystalline structure for the subsequent electrochemical deposition of the major part of the metal. The heat treatment 230 may be carried out in a substantially inert atmosphere or a reducing atmosphere to effectively prevent corrosion and discoloration of the seed layer 228 to suppress.

2b zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium. Das Bauelement 200 umfasst ein Metall 229, das in die Gräben 226 eingefüllt ist, wobei überschüssiges Metall eine im Wesentlichen geschlossene Schicht über der Metallisierungsschicht 220 bildet. Das Metall 229 kann Kupfer und/oder Kupferlegierung einschließlich Komponenten, wie Gold, Nickel, Palladium und dergleichen, aufweisen. Das Metall 229 kann durch Elektroplattieren gebildet sein, wobei auf der Grundlage eines komplexen Elektrolyts eine im Wesentlichen hohlraumfreie Füllung der Gräben 226 erreicht werden kann. Während des Abscheideprozesses können Kontaminationsstoffe in Form von Beschleunigern, Suppressoren, komplexbildenden Mitteln, und dergleichen in das Metall 229 eingebaut werden und würden damit das Leistungsverhalten des Metalls 229 während des Betriebs des Bauelements 200 beeinträchtigen. Weiter wird in einer anschaulichen Ausführungsform das Bauelement, wie es in 2b gezeigt ist, einer Wärmebehandlung in einer inerten oder subatmosphärischen oder Vakuumumgebung 235 unterzogen, um damit das Ausgasen von Kontaminationsstoffen zu fördern, die in der Metallschicht 229 enthalten sind. Des weiteren kann in einigen Ausführungsformen die Wärmebehandlung in der Umgebung 235 so gestaltet sein, um auch das Substrat 201 auf eine spezifizierte Temperatur vorzuheizen, um damit die Effizienz einer nachfolgenden Wärmebehandlung zum Modifizieren der Kristallstruktur des Metalls 229 zu verbessern, d. h. das Substrat 201 kann auf eine Temperatur unterhalb der Solltemperatur für eine Wärmebehandlung zur Modifizierung der kristallinen Struktur aufgeheizt werden. In anderen Ausführungsformen kann zusätzlich oder alternativ zum Vorheizprozess und zusätzlich oder alternativ zum Bereitstellen der subatmosphärischen oder Vakuumumgebung 235 das Bauelement 200 einer Wärmebehandlung unterzogen werden, um damit lokal beschränkte Heizzonen entlang der Längsrichtung 225 zu schaffen, wie dies auch mit Bezug zu den 1c bis 1f beschrieben ist. 2 B schematically shows the semiconductor device 200 in a more advanced manufacturing stage. The component 200 includes a metal 229 that in the trenches 226 is filled with excess metal, a substantially closed layer over the metallization 220 forms. The metal 229 may include copper and / or copper alloy including components such as gold, nickel, palladium, and the like. The metal 229 may be formed by electroplating, wherein based on a complex electrolyte, a substantially void-free filling of the trenches 226 can be achieved. During the deposition process, contaminants in the form of accelerators, suppressors, complexing agents, and the like may be introduced into the metal 229 be incorporated and thus would the performance of the metal 229 during operation of the device 200 affect. Further, in one illustrative embodiment, the device as shown in FIG 2 B shown in a heat treatment in an inert or subatmospheric or vacuum environment 235 in order to promote the outgassing of contaminants in the metal layer 229 are included. Furthermore, in some embodiments, the heat treatment may be in the environment 235 be designed to include the substrate 201 preheat to a specified temperature, thereby improving the efficiency of a subsequent heat treatment to modify the crystal structure of the metal 229 to improve, ie the substrate 201 can be heated to a temperature below the target temperature for a heat treatment to modify the crystalline structure. In other embodiments, additionally or alternatively to the preheating process and additionally or alternatively to providing the sub-atmospheric or vacuum environment 235 the component 200 be subjected to a heat treatment, so as to locally limited heating zones along the longitudinal direction 225 to create, as with respect to the 1c to 1f is described.

Erfindungsgemäß wird die z. B. richtungsabhängige Wärmebehandlung ausgeführt, nachdem eine gewisse Menge an Metall in die Leitungen 122 eingefüllt ist. In diesem Falle wird der Einlegeprozess unterbrochen, um die richtungsabhängige Wärmebehandlung in einer geeigneten Weise, wie dies zuvor beschrieben ist, auszuführen. Danach wird der Auffüllprozess fortgesetzt. Somit kann die Kristallstruktur des Metalls in den teilweise gefüllten Metallleitungen 122 während des Auffüllprozesses verbessert werden und es kann auch das Ausgasen von Kontaminationsstoffen intensiviert werden. In einigen Ausführungsformen kann eine derartige zwischengeschaltete richtungsabhängige Wärmebehandlung mehr als einmal ausgeführt werden, um damit die Gesamtwirksamkeit zu verbessern. Dabei kann eine richtungsabhängige Wärmebehandlung unmittelbar nach dem Fertigstellen der Saatschicht 238 ausgeführt werden oder auch nicht.According to the z. B. direction-dependent heat treatment carried out after a certain amount of metal in the pipes 122 is filled. In this case, the loading process is interrupted to carry out the directional heat treatment in a suitable manner as described above. Thereafter, the refilling process is continued. Thus, the crystal structure of the metal in the partially filled metal lines 122 be improved during the refilling process and also the outgassing of contaminants can be intensified. In some embodiments, such an intermediate directional heat treatment may be performed more than once to improve overall efficiency. In this case, a direction-dependent heat treatment immediately after the completion of the seed layer 238 be executed or not.

Somit kann die kristalline Struktur der Metallschicht 229 in effizienter Weise modifiziert werden, um damit die Anzahl der Korngrenzen zu reduzieren, wie dies auch zuvor beschrieben ist. Wenn die zuvor ausgeführte Wärmebehandlung 230 (siehe 2a) mit einer zusätzlichen Wärmebehandlung kombiniert wird, die entlang der Längsrichtung 225 ausgeführt wird, kann die Gesamtwirksamkeit deutlich erhöht werden, da die elektrochemische Abscheidung des Metalls 229 auf der Grundlage der richtungsabhängig wärmebehandelten Saatschicht 228 bereits für eine verbesserte kristalline Struktur sorgen kann, die dann noch in effizienterer Weise verbessert werden kann.Thus, the crystalline structure of the metal layer 229 be efficiently modified so as to reduce the number of grain boundaries, as also previously described. If the previously performed heat treatment 230 (please refer 2a ) is combined with an additional heat treatment along the longitudinal direction 225 carried out, the overall efficiency can be significantly increased, since the electrochemical deposition of the metal 229 based on the directionally heat treated seed layer 228 already provide an improved crystalline structure, which can then be improved even more efficiently.

Gemäß anderer anschaulicher Ausführungsformen kann die Wärmebehandlung 230 und/oder die Behandlung in der Umgebung 235 und/oder die Wärmebehandlung, die entlang der Längsrichtung 225 abtastend stattfindet, auf der Grundlage der Metallschicht 229 weggelassen werden, und das Substrat 200, wie es in 2b gezeigt ist, wird einem Prozess zum Entfernen von überschüssigen Metall der Schicht 229 unterzogen. Für diesen Zweck kann ein elektrochemischer Abtragsprozess und/oder ein chemischmechanischer Polier-(CMP)Prozess ausgeführt werden, um das überschüssige Metall und die Barrierenschicht 227 auf horizontalen Flächen der Schicht 220 zu entfernen. Danach kann die Umgebung 235 geschaffen und Kontaminationsstoffe aus den entsprechenden Metallleitungen ausgetrieben werden. Ferner kann in diesem Herstellungsstadium in einer Ausführungsform die Wärmebehandlung ausgeführt werden, um damit in sequenzieller Weise beschränkte Bereiche der Metallleitungen entlang der Längsrichtung 225 aufzuheizen, wie dies zuvor mit Bezug zu den 1c bis 1f beschrieben ist. Hierbei kann die Wärmebehandlung in der Umgebung 235 ausgeführt werden, um gleichzeitig das Ausgasen von Kontaminationsstoffen zu fördern, wobei auch ein Vorheizen stattfinden kann, um das Substrat 201 auf einer spezifizierten erhöhten Temperatur während der gesamten richtungsabhängigen Wärmebehandlung zu halten.According to other illustrative embodiments, the heat treatment 230 and / or the treatment in the environment 235 and / or the heat treatment along the longitudinal direction 225 scanning takes place on the basis of the metal layer 229 be omitted, and the substrate 200 as it is in 2 B Shown is a process of removing excess metal from the layer 229 subjected. For this purpose, an electrochemical removal process and / or a chemical mechanical polishing (CMP) process to remove the excess metal and the barrier layer 227 on horizontal surfaces of the layer 220 to remove. After that, the environment can 235 created and contaminants are expelled from the corresponding metal lines. Further, in this embodiment, in one embodiment, the heat treatment may be carried out to sequentially restrict restricted portions of the metal lines along the longitudinal direction 225 to heat up as previously related to the 1c to 1f is described. This can be the heat treatment in the environment 235 be carried out simultaneously to promote the outgassing of contaminants, wherein a preheating can take place to the substrate 201 at a specified elevated temperature throughout the directional heat treatment.

In noch weiteren Ausführungsformen kann während des Einfüllens von Metall in die Leitungen 222 oder nach der Herstellung der Metallleitungen 222 eine Wärmebehandlung durchgeführt werden, in welcher das Bauelement 200 in eine Vakuumumgebung 235 für eine spezifizierte Zeitdauer eingebracht wird und kann dann in eine reduzierende Umgebung mit einer geeigneten Gasmischung, wie sie zuvor spezifiziert ist, eingebracht werden, um die Reinheit der Metallleitungen 222 weiter zu verbessern. In einigen Ausführungsformen kann die Wärmebehandlung, die zumindest einen Schritt mit der Vakuumumgebung 235 und einen weiteren Schritt mit einer reduzierenden Umgebung beinhaltet, mit einer gerichteten Zonenerwärmung kombiniert werden, wie sie zuvor mit Bezug zu den 1a bis 1f und 2a beschrieben ist, wohingegen in anderen Ausführungsformen die zonenbezogene Erwärmung weggelassen werden kann, wenn die Verbesserung der Leitfähigkeit auf Grund einer verbesserten Reinheit der Metallleitungen als ausreichend erachtet wird.In still other embodiments, while metal is being filled into the conduits 222 or after the production of the metal lines 222 a heat treatment be carried out, in which the device 200 in a vacuum environment 235 is introduced for a specified period of time and can then be introduced into a reducing environment with a suitable gas mixture, as previously specified, to ensure the purity of the metal lines 222 continue to improve. In some embodiments, the heat treatment may include at least one step with the vacuum environment 235 and a further step involving a reducing environment, combined with directional zone heating, as previously described with reference to FIGS 1a to 1f and 2a whereas, in other embodiments, zone-related heating may be omitted if the improvement in conductivity due to improved metal line cleanliness is considered sufficient.

In anderen Ausführungsformen kann die dielektrische Schicht 221 aus einem Material mit kleinem ε, etwa SiCOH, MSQ, HSQ, SiLK und dergleichen aufgebaut sein, die inhärent eine geringere mechanische Stabilität nach der Herstellung im Vergleich zu gut erprobten Dielektrika, etwa Siliziumdioxid, fluordotiertes Siliziumdioxid, Siliziumnitrid, und dergleichen zeigen. Durch Wärmebehandlung der Metallleitungen 232 kann auch die dielektrische Schicht 221 zumindest in der Nähe der Metallleitungen 232 behandelt werden. Auf diese Weise können die mechanischen Eigenschaften, etwa die Härte, verbessert werden, da die Härte einiger Materialien mit kleinem ε deutlich bei Behandlung mit beispielsweise einem Laserstrahl anwächst. In einigen Ausführungsformen kann die Behandlung der dielektrischen Schicht 221 auf nahezu allen freigelegten Oberflächenbereichen der dielektrischen Schicht 221 ausgeführt werden, wodurch die Möglichkeit zum Verbessern der Gesamtstabilität eines Metallisierungsschichtstapels geboten wird, der dielektrische Materialien mit kleinem ε enthält.In other embodiments, the dielectric layer 221 may be constructed of a low ε material, such as SiCOH, MSQ, HSQ, SiLK, and the like, which inherently exhibit lower post-fabrication mechanical stability compared to well-proven dielectrics, such as silicon dioxide, fluorine-doped silicon dioxide, silicon nitride, and the like. By heat treatment of the metal lines 232 can also be the dielectric layer 221 at least near the metal lines 232 be treated. In this way, the mechanical properties, such as the hardness, can be improved because the hardness of some materials with low ε increases significantly when treated with, for example, a laser beam. In some embodiments, the treatment of the dielectric layer 221 on almost all exposed surface areas of the dielectric layer 221 which offers the possibility of improving the overall stability of a metallization layer stack containing low-k dielectric materials.

Wie zuvor mit Bezug zu den 1c, 1d und 1e erläutert ist, kann die Heizquelle, etwa die Quelle 151, zum Erzeugen lokalisierter Heizzonen, die entlang der Längsrichtung 225 geführt werden, einen Strahl liefern, dessen Absorption und damit dessen Wirksamkeit für den Wärmetransfer von Strahleigenschaften, etwa Wellenlänge, Teilchenenergie, und dergleichen abhängen können. Beispielsweise kann die Wellenlänge einer Laserquelle zu einer moderat hohen Reflektivität auf Metall führen, wodurch die Effizienz des Energietransfers von dem Strahl zu dem Metall eingeschränkt ist. Somit kann in einigen anschaulichen Ausführungsformen eine Wärmetransferschicht vor der richtungsabhängigen Wärmebehandlung gebildet werden, wobei die Eigenschaften der Wärmetransferschicht so eingestellt sind, um eine moderat hohe Energiedeposition innerhalb der Schicht zu ermöglichen, wodurch ein verbesserter Wärmetransfer zu dem darunter liegenden Metall erreicht wird.As before with reference to the 1c . 1d and 1e is explained, the heat source, about the source 151 for producing localized heating zones along the longitudinal direction 225 be guided, a beam whose absorption and thus its effectiveness for the heat transfer of beam properties, such as wavelength, particle energy, and the like may depend. For example, the wavelength of a laser source can result in moderately high reflectivity to metal, thereby limiting the efficiency of energy transfer from the beam to the metal. Thus, in some illustrative embodiments, a heat transfer layer may be formed prior to the directional heat treatment, wherein the properties of the heat transfer layer are adjusted to allow moderately high energy deposition within the layer, thereby achieving improved heat transfer to the underlying metal.

2c zeigt schematisch das Bauelement 200 nach der zuvor beschriebenen Sequenz zum Entfernen überschüssigen Materials der Schicht 239 und nach dem Bilden einer Wärmetransferschicht 236. Die Wärmetransferschicht 236 kann aus einem beliebigen geeigneten dielektrischen Material, etwa einem Polymermaterial, und dergleichen aufgebaut sein, die Eigenschaften aufweist, um einen merklichen Anteil eines Strahls 237 zu absorbieren, der so gestaltet ist, um eine Heizzone 238 zu schaffen, die lokal in der Längsrichtung 225 beschränkt ist, d. h. in der Richtung senkrecht zur Zeichenebene der 2c, während in der lateralen Richtung 224 die Heizzone 238 mehrere Metallleitungen 222 überspannen kann. Wenn der Strahl 237 einen Laserstrahl mit spezifizierter Wellenlänge aufweist, so können die Dicke und der Extinktionskoeffizient der Wärmetransferschicht 236 so gestaltet sein, um einen hohen Anteil der Strahlungsintensität zu absorbieren. Die Wärmetransferschicht 236 kann entsprechend gut etablierter Abscheidetechniken, etwa plasmaunterstützter CVD, Aufschleudertechniken, und dergleichen gebildet werden. Nach der Herstellung kann die Wärmebehandlung auf der Grundlage des Strahls 237 so ausgeführt werden, um die kristalline Struktur der Metallleitungen 222 zu modifizieren. In anderen Ausführungsformen kann, wenn Wärme über ein Wärmetransfermedium übertragen wird, wie dies mit Bezug zu 1f beschrieben ist, das Vorsehen der Wärmetransferschicht 236 auch dahingehend vorteilhaft sein, dass ein direkter Kontakt des Wärmetransfermediums mit den Metallleitungen 222 verhindert wird. Damit können eine Vielzahl von Wärmetransfermedien, etwa überhitzter Wasserdampf, verwendet werden, ohne in nachteiliger Weise die Metallleitungen 222 zu beeinflussen. 2c schematically shows the device 200 after the sequence described above to remove excess material of the layer 239 and after forming a heat transfer layer 236 , The heat transfer layer 236 may be constructed of any suitable dielectric material, such as a polymeric material, and the like having properties to provide a significant portion of a beam 237 to absorb, which is designed to be a heating zone 238 to create locally in the longitudinal direction 225 is limited, ie in the direction perpendicular to the plane of the 2c while in the lateral direction 224 the heating zone 238 several metal pipes 222 can span. If the beam 237 a laser beam having a specified wavelength, the thickness and the extinction coefficient of the heat transfer layer 236 be designed to absorb a high proportion of the radiation intensity. The heat transfer layer 236 can be formed according to well established deposition techniques, such as plasma assisted CVD, spin-on techniques, and the like. After production, the heat treatment can be based on the beam 237 be executed to the crystalline structure of the metal lines 222 to modify. In other embodiments, when heat is transferred via a heat transfer medium, as described with reference to FIGS 1f described, the provision of the heat transfer layer 236 also be advantageous in that a direct contact of the heat transfer medium with the metal lines 222 is prevented. Thus, a variety of heat transfer media, such as superheated steam, can be used without adversely affecting the metal lines 222 to influence.

2d zeigt schematisch das Bauelement 200 nach dem Entfernen der Wärmetransferschicht 236, das durch geeignete und gut etablierte Verfahren, etwa isotopes Ätzen, Plasmaätzen, und dergleichen, bewerkstelligt werden kann. Während und nach dem Entfernen der Wärmetransferschicht 236 wird die Umgebung 235, die subatmosphärische Umgebung oder eine Vakuumumgebung repräsentiert, so geschaffen, dass das Ausgasen von Kontaminationsstoffen gefördert wird, die während der elektrochemischen Abscheidung und/oder während der Herstellung und des Entfernens der Wärmetransferschicht 236 eingebaut wurden. Danach kann in einigen Ausführungsformen die Umgebung 235 so modifiziert werden, dass diese eine reduzierende Atmosphäre für die weitere Verbesserung der Reinheit der Metallleitungen 222 aufweist. 2d schematically shows the device 200 after removing the heat transfer layer 236 which can be accomplished by suitable and well-established methods, such as isotopic etching, plasma etching, and the like. During and after removal of the heat transfer layer 236 becomes the environment 235 , which represents a subatmospheric environment or a vacuum environment, is designed to promote the outgassing of contaminants during electrochemical deposition and / or during manufacture and removal of the heat transfer layer 236 were installed. Thereafter, in some embodiments, the environment 235 be modified so that this a reducing atmosphere for further improving the purity of the metal lines 222 having.

Es gilt also: Die vorliegende Erfindung stellt eine Technik bereit, die die Ausbildung von Metallleitungen mit verbesserten elektrischen Verhaltenseigenschaften ermöglicht, indem das Metall mit einer verbesserten Reinheit bereitgestellt wird und/oder die Kristallstruktur des Metalls modifiziert wird. Die Modifizierung der Kristallstruktur kann auf der Grundlage einer Wärmebehandlung ausgeführt werden, zu der das Ausheizen einer lokal beschränkten Zone gehört, wobei die lokal erwärmte Zone entlang einer Längsrichtung der Metallleitung geführt wird, um damit die Anzahl von Korngrenzen in dieser Richtung zu reduzieren. Des weiteren kann die Wärmebehandlung mit lokalisierten Heizzonen, die entlang der Längsrichtung geführt werden, effizient mit einer Wärmebehandlung in einer subatmosphärischen Umgebung, einer Vakuumumgebung und einer reduzierenden Umgebung kombiniert werden, um damit das Ausgasen von Kontaminationsstoffen in den Metallleitungen zu fördern. In speziellen Ausführungsformen kann eine Vakuumumgebung während einer ersten Phase der Wärmebehandlung hergestellt werden und eine reduzierende Umgebung kann in einer zweiten abschließenden Phase der Wärmebehandlung hergestellt werden, wobei diese Wärmebehandlung, die mindestens zwei dieser Umgebungen mit einschließt, ohne eine gerichtete Zonenerwärmung ausgeführt werden kann, oder mit einer gerichteten Zonenerwärmung kombiniert werden kann. Dabei kann die Zonenerwärmung zumindest teilweise durchgeführt werden, wenn die Vakuumumgebung eingerichtet ist und/oder wenn die reduzierende Umgebung zumindest teilweise hergestellt ist. Als Folge davon kann die Widerstandsfähigkeit gegen Elektro- und Stressmigration und andere durch Belastung hervorgerufne Materialtransportphänomene in Metallleitungen verbessert werden, wodurch auch die Zuverlässigkeit von Halbleiterbauelementen mit Metallisierungsschichten gesteigert wird.Thus, the present invention provides a technique that enables the formation of metal lines with improved electrical performance characteristics by providing the metal with improved purity and / or modifying the crystal structure of the metal. The modification of the crystal structure may be carried out on the basis of a heat treatment including annealing a locally restricted zone, the locally heated zone being guided along a longitudinal direction of the metal line so as to reduce the number of grain boundaries in that direction. Furthermore, the heat treatment with localized heating zones routed along the longitudinal direction can be efficiently combined with a heat treatment in a subatmospheric environment, a vacuum environment, and a reducing environment to promote the outgassing of contaminants in the metal lines. In particular embodiments, a vacuum environment may be prepared during a first phase of the heat treatment, and a reducing environment may be prepared in a second final phase of the heat treatment, wherein this heat treatment including at least two of these environments may be carried out without directional zone heating, or can be combined with a directional zone heating. In this case, the zone heating can be carried out at least partially if the vacuum environment is established and / or if the reducing environment is at least partially established. As a result, the resistance to electrical and stress migration and other load-induced material transport phenomena in metal lines can be improved, thereby also increasing the reliability of semiconductor devices with metallization layers.

Claims (6)

Verfahren mit: Bilden einer Metallleitung (222) in einer dielektrischen Schicht (221), die über einem Substrat (201) ausgebildet ist, das ein Halbleiterbauelement (200) aufweist; wobei die Metalleitung (222) durch Bilden eines Grabens (226) in der dielektrischen Schicht (211), Ausbilden einer Saatschicht (228) auf Oberflächen des Grabens, Einfüllen von einem oder mehreren Metallen (229) in den Graben (226) durch einen elektrochemischen Abscheidungsprozeß und Entfernen von überschüssigem Material, das während des elektrochemischen Abscheidungsprozesses abgeschieden wurde, gebildet wird; Ausführen einer Wärmebehandlung (230), um eine kristalline Struktur eines Teils des einen oder der mehreren Metalle (229) zu modifizieren; Einbringen des Teils des einen oder der mehreren Metalle (229) in eine Vakuumumgebung (235), um das Ausgasen von Kontaminationsstoffen in dem Teil des einen oder der mehreren Metalle (229) zu fördern, wobei die Wärmebehandlung (230) zumindest teilweise ausgeführt wird, während der Teil des einen oder der mehreren Metalle (229) der Einwirkung der Vakuumumgebung (235) ausgesetzt ist; Einbringen des Teils des einen oder der mehreren Metalle (229) in eine reduzierende Umgebung nach dem Einbringen in die Vakuumumgebung (235); wobei die Wärmebehandlung (230) und das Einbringen des Teils des einen oder der mehreren Metalle (229) in eine reduzierende Umgebung nach dem Abscheiden des Teils des einen oder der mehreren Metalle (229) in dem Graben (226) und vor dem vollständigen Abscheiden des einen oder der mehreren Metalle (229) ausgeführt werden.Method with: forming a metal line ( 222 ) in a dielectric layer ( 221 ) over a substrate ( 201 ) is formed, which is a semiconductor device ( 200 ) having; the metal line ( 222 ) by forming a trench ( 226 ) in the dielectric layer ( 211 ), Forming a seed layer ( 228 ) on surfaces of the trench, filling one or more metals ( 229 ) in the ditch ( 226 ) is formed by an electrochemical deposition process and removal of excess material deposited during the electrochemical deposition process; Performing a heat treatment ( 230 ) to form a crystalline structure of a portion of the one or more metals ( 229 ) to modify; Introducing the part of the one or more metals ( 229 ) in a vacuum environment ( 235 ) to prevent the outgassing of contaminants in the part of the one or more metals ( 229 ), whereby the heat treatment ( 230 ) is at least partially carried out while the part of the one or more metals ( 229 ) the influence of the vacuum environment ( 235 ) is exposed; Introducing the part of the one or more metals ( 229 ) in a reducing environment after introduction into the vacuum environment ( 235 ); the heat treatment ( 230 ) and the introduction of the part of the one or more metals ( 229 ) in a reducing environment after the deposition of the part of the one or more metals ( 229 ) in the trench ( 226 ) and before complete separation of the one or more metals ( 229 ). Verfahren nach Anspruch 1, zusätzlich mit Durchführen einer zweiten Wärmebehandlung, die in der reduzierenden Umgebung durchgeführt wird.The method of claim 1, further comprising performing a second heat treatment performed in the reducing environment. Verfahren nach Anspruch 1 oder 2, wobei die Wärmebehandlung (230) einen Heizprozess umfasst, der ausgebildet ist, eine Temperatur entlang einer Längsrichtung (225) der Metallleitung (222) in einer zeitlich aufeinanderfolgenden Weise zu variieren.Process according to claim 1 or 2, wherein the heat treatment ( 230 ) comprises a heating process that is designed to maintain a temperature along a longitudinal direction ( 225 ) of the metal line ( 222 ) in a time sequential manner. Verfahren nach Anspruch 2, wobei die zweite Wärmebehandlung einen Heizprozess umfasst, der ausgebildet ist, eine Temperatur entlang einer Längsrichtung (225) der Metallleitung in einer zeitlich aufeinanderfolgenden Weise zu variieren.The method of claim 2, wherein the second heat treatment includes a heating process configured to have a temperature along a longitudinal direction. 225 ) of the metal line in a time sequential manner. Verfahren nach Anspruch 3, das ferner Bilden einer Wärmetransferschicht (236) vor dem Ausführen des Heizprozesses umfasst. The method of claim 3, further comprising forming a heat transfer layer (16). 236 ) before performing the heating process. Verfahren nach Anspruch 5, das ferner umfasst: Entfernen der Wärmetransferschicht (236) nach dem Heizprozess, während die Metallleitung (222) der Einwirkung der Vakuumumgebung (235) ausgesetzt ist.The method of claim 5, further comprising: removing the heat transfer layer (16) 236 ) after the heating process while the metal line ( 222 ) the influence of the vacuum environment ( 235 ) is exposed.
DE102005020061.3A 2005-03-31 2005-04-29 Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance Expired - Fee Related DE102005020061B4 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE102005020061.3A DE102005020061B4 (en) 2005-03-31 2005-04-29 Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance
US11/292,537 US7375031B2 (en) 2005-04-29 2005-12-02 Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity
GB0720857A GB2439884B (en) 2005-03-31 2006-03-30 Heat treatment for forming interconnect structures with reduced electro and stress migration and/or resistivity
PCT/US2006/011695 WO2006105320A1 (en) 2005-03-31 2006-03-30 Heat treatment for forming interconnect structures with reduced electro and stress migration and/or resistivity
KR1020077025025A KR101273929B1 (en) 2005-03-31 2006-03-30 Heat treatment for forming interconnect structures with reduced electro and stress migration and/or resistivy
TW95111464A TWI416662B (en) 2005-03-31 2006-03-31 Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102005014751.8 2005-03-31
DE102005014751 2005-03-31
DE102005020061.3A DE102005020061B4 (en) 2005-03-31 2005-04-29 Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance

Publications (2)

Publication Number Publication Date
DE102005020061A1 DE102005020061A1 (en) 2006-10-19
DE102005020061B4 true DE102005020061B4 (en) 2016-12-01

Family

ID=37055538

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005020061.3A Expired - Fee Related DE102005020061B4 (en) 2005-03-31 2005-04-29 Technique for making interconnect structures with reduced electrical and stress migration and / or lower resistance

Country Status (2)

Country Link
DE (1) DE102005020061B4 (en)
TW (1) TWI416662B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0225592A2 (en) * 1985-12-04 1987-06-16 Fujitsu Limited Recrystallizing conductive films
US4758533A (en) * 1987-09-22 1988-07-19 Xmr Inc. Laser planarization of nonrefractory metal during integrated circuit fabrication
US5290731A (en) * 1991-03-07 1994-03-01 Sony Corporation Aluminum metallization method
US6391777B1 (en) * 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
DE10217876A1 (en) * 2002-04-22 2003-11-06 Infineon Technologies Ag Process for the production of thin metal-containing layers with low electrical resistance
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6743310B1 (en) * 2002-02-22 2004-06-01 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with improved adhesion and reduced electromigration along the Cu/nitride interface

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0225592A2 (en) * 1985-12-04 1987-06-16 Fujitsu Limited Recrystallizing conductive films
US4758533A (en) * 1987-09-22 1988-07-19 Xmr Inc. Laser planarization of nonrefractory metal during integrated circuit fabrication
US5290731A (en) * 1991-03-07 1994-03-01 Sony Corporation Aluminum metallization method
US6391777B1 (en) * 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6743310B1 (en) * 2002-02-22 2004-06-01 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with improved adhesion and reduced electromigration along the Cu/nitride interface
DE10217876A1 (en) * 2002-04-22 2003-11-06 Infineon Technologies Ag Process for the production of thin metal-containing layers with low electrical resistance

Also Published As

Publication number Publication date
TWI416662B (en) 2013-11-21
TW200723445A (en) 2007-06-16
DE102005020061A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
DE4342047B4 (en) Semiconductor component with a diffusion barrier layer arrangement and method for its production
DE102008007001B4 (en) Increasing the resistance to electromigration in a connection structure of a semiconductor device by forming an alloy
DE102009023377B4 (en) Method for producing a microstructure component having a metallization structure with self-aligned air gap
DE102007004867B4 (en) A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
DE69322180T2 (en) Semiconductor arrangement with a conductor layer
DE69837674T2 (en) Dual damascene METALLISATION
DE102006001253B4 (en) A method of forming a metal layer over a patterned dielectric by wet-chemical deposition with an electroless and a power controlled phase
DE102007004860B4 (en) A method of making a copper-based metallization layer having a conductive overcoat by an improved integration scheme
EP1724827B1 (en) Method of fabricating a conductor structure comprising a barrier layer stack and corresponding conductor structure
DE102005034182B4 (en) Semiconductor device and manufacturing method therefor
DE102005052052B4 (en) Electrodeposition layer for metallization layer with improved adhesion, etch selectivity and density and method for producing a dielectric layer stack
DE102005046975A1 (en) Process to manufacture a semiconductor component with aperture cut through a dielectric material stack
DE102005035740A1 (en) A method of making an insulating barrier layer for a copper metallization layer
DE102005057075A1 (en) Semiconductor component has copper alloy employed as barrier layer within copper metalizing layer
DE112010003659T5 (en) Conductive structure for narrow connection openings
DE3311635A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE10041565B4 (en) Metal interconnect, semiconductor device, method of forming a metal interconnect, and method of fabricating a semiconductor device
DE19844451A1 (en) Barrier layer structure, especially for copper interconnections in a VLSI
DE102009010844B4 (en) Providing enhanced electromigration performance and reducing the degradation of sensitive low-k dielectric materials in metallization systems of semiconductor devices
DE102010063294B4 (en) A method of manufacturing metallization systems of semiconductor devices comprising a copper / silicon compound as a barrier material
DE102007009912B4 (en) A method of making a copper-based metallization layer having a conductive cap layer by an advanced integration scheme
DE102004003863B4 (en) Technique for making embedded metal lines with increased resistance to stress-induced material transport
DE102009046260A1 (en) Semiconductor device having exchange gate electrode structures with an improved diffusion barrier
DE10339990B4 (en) A method of fabricating a metal line having increased resistance to electromigration along an interface of a dielectric barrier layer by implanting material into the metal line
DE10351005B4 (en) A barrier layer having a titanium nitride coating for a copper metallization layer comprising a low ε dielectric

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee