TWI414042B - 使用蝕刻阻劑硼及磷材料之電子結構及其形成方法 - Google Patents

使用蝕刻阻劑硼及磷材料之電子結構及其形成方法 Download PDF

Info

Publication number
TWI414042B
TWI414042B TW096121650A TW96121650A TWI414042B TW I414042 B TWI414042 B TW I414042B TW 096121650 A TW096121650 A TW 096121650A TW 96121650 A TW96121650 A TW 96121650A TW I414042 B TWI414042 B TW I414042B
Authority
TW
Taiwan
Prior art keywords
dielectric
boron
present
dense
layer
Prior art date
Application number
TW096121650A
Other languages
English (en)
Other versions
TW200807625A (en
Inventor
Stephen Mcconnell Gates
Robert Dennis Miller
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200807625A publication Critical patent/TW200807625A/zh
Application granted granted Critical
Publication of TWI414042B publication Critical patent/TWI414042B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

使用蝕刻阻劑硼及磷材料之電子結構及其形成方法
本發明描述包含硼或磷與具有較高熱穩定性及化學穩定性之其他元素之介電材料,及用於製造該等材料之薄膜及含有此等薄膜之電子裝置之方法。更特定言之,本發明係關於緻密硼合金或緻密磷合金介電材料,其用作超大型積體(ULSI)後段(BEOL)互連結構中之蝕刻終止劑、覆蓋材料及/或硬式遮罩/拋光終止劑。提供含有該等材料之電子結構,且亦描述用於製造此等薄膜及結構之方法。
近年來,ULSI電路中所使用之電子裝置之尺寸之持續減小已導致BEOL金屬化的電阻增大以及層內及層間介電質(ILD)的電容增大。該組合效應增大了ULSI電子裝置中之信號延遲。為改良未來ULSI電路之切換效能,需要較低介電常數(k)之絕緣體且尤其需要具有顯著低於氧化矽之介電常數k的介電常數k的絕緣體來減小電容。
近年來,通常在用於ULSI裝置之應用中使用含有Si、C、O及H之聚合物(諸如,甲基矽氧烷、甲基倍半氧烷)以及其他有機及無機聚合物。例如,在Mat.Res.Soc.Symp.Proc出版之N.Hacker等人之論文"Properties of new low dielectric constant spin-on silicon oxide based dielectrics"之第476卷(1997)第25頁中描述的材料似乎滿足了熱穩定性要求,雖然當在藉由旋塗技術製備薄膜時達到整合入互連結構中所需之厚度時該等材料之某些較易於傳播裂縫。
通常在積體電路之互連結構中使用製成緻密或多孔形式之Si、C、O、H合金(SiCOH)之介電質。美國專利第6,147,009號、第6,312,793號及第6,479,110號(例如)描述了使用電漿增強化學氣相沈積(PECVD)製程而製成之SiCOH材料。亦可藉由旋塗及此項技術中已知之其他PECVD方法來沈積SiCOH材料。通常(但未必始終如此),此等SiCOH材料用作嵌埋了一或多個導電特徵之層間或層內介電質(ILD)。
當整合SiCOH材料時,需要新介電材料,其在用以蝕刻該SiCOH材料之反應性離子蝕刻製程中具有較低蝕刻速率。在形成具有愈來愈小之尺寸之該等BEOL互連結構之過程中所遭遇之顯著問題在於:在包含Si、C、O及H之ILD層與下伏層之間的蝕刻選擇性係低劣的。常規上,ILD下方之層係嵌埋式蝕刻終止劑或由Si、N、C及H組成之Cu覆蓋層。在此項技術中,Cu覆蓋層亦被稱為介電障壁層或蝕刻終止劑。應注意,該等蝕刻終止劑及Cu覆蓋層通常含有10%至30%之Si,且其在亦用以蝕刻Si、C、O、H介電質之氟基化學製品中被蝕刻。
在多孔SiCOH介電質中形成之先前技術BEOL互連結構之另一問題在於:用於化學機械拋光(CMP)之終止層被用於多孔SiCOH介電質之頂部,但在先前技術中,CMP終止層具有與多孔SiCOH介電質相似或相同之組合物。在某些整合機制中,需要CMP終止層具有以下特性:(i)在氟基蝕刻製程中具有極低蝕刻速率(大致約1至10/sec,且更佳為1/sec或更低),(ii)熱穩定且化學穩定,(iii)防H2 O,使得水性CMP拋光漿未藉由應力腐蝕破裂而侵蝕材料,及(iv)在用以在SiCOH及多孔SiCOH介電質之金屬鑲嵌整合期間移除金屬之CMP製程中具有較低移除速率。"熱穩定且化學穩定"意謂介電質在約400℃之溫度下被處理時,其不降級或遭受任何組成改變。"較低移除速率"意謂CMP移除之速率小於100奈米/分鐘,且較佳為10至20奈米/分鐘。較低蝕刻速率及較低CMP速率要求產生了對具有極其不同於SiCOH之化學組成之熱穩定且化學穩定材料的需要。
鑒於上文,需要提供具有較低介電常數(k小於4.0,較佳小於3.0)之複合合金材料,其具有較高熱穩定性及化學穩定性且具有不同於SiCOH組成之組成。在氟基蝕刻製程中,非SiCOH組合物應具有大致約10至30 ppm/℃或更小之較低熱膨脹係數(CTE)及極低蝕刻速率(大致約小於10/sec)。亦需要使用習知CVD工具來形成該不包括SiCOH介電組合物之複合合金材料之方法。
在Chen等人之美國專利申請案公告第2004/0130031號中,描述了用以使用碳硼烷前驅物製造新多孔介電薄膜之方法(藉由CVD)。碳硼烷分子或碳硼烷之化學衍生物作為完整籠式結構被用於且而併入適用於在積體電路之互連結構中使用之多孔、低密度介電材料中。可使用碳硼烷藉由化學氣相沈積來形成機械穩固之低介電常數薄膜。碳硼烷籠形成小孔或空隙,且可為含有Si及O之薄膜之組份。
本發明提供緻密複合合金材料,其中組合物極其不同於廣泛用作積體電路上之BEOL結構中之介電質的SiCOH組合物。
本發明進一步提供包含硼或磷與其他元素之合金材料,其中該等合金材料具有較高熱穩定性及化學穩定性。亦即,本申請案之合金材料可耐受約400℃或更高之處理溫度且在處理期間不遭受任何化學改變。
特定言之,且在一實施例中,本發明提供包含硼以及碳、氮及氫中之至少一者之非晶介電材料。視需要,本發明之硼基介電質可含有矽、鍺、磷及/或氟。在某些其他實施例中,氧亦可存在於本發明之硼基介電材料中。術語"非晶"表示本發明之介電材料不具有特定晶體結構。
在本發明之替代實施例中,提供包含磷及氮之非晶介電材料。視需要,本發明之磷基介電質可含有氫、矽、鍺及/或氟。
本發明亦提供電子結構,其含有作為ULSI後段(BEOL)互連結構中之蝕刻終止劑、介電Cu覆蓋材料、CMP終止層或反應性離子蝕刻遮罩的緻密介電非晶材料,緻密介電非晶材料包含硼與碳、氮及氫中之至少一者以及(視需要)矽、鍺、磷及/或氟(下文被稱為硼基)。亦預期將類似電子結構用於本發明之緻密磷基介電材料,該介電材料包括P及N以及(視需要)氫、矽、鍺及/或氟。
在本發明之一實施例中,提供穩固且容許通常在BEOL結構製造中遭遇之被稱為"失準通道"之問題的BEOL互連結構。"穩固"意謂在本發明之BEOL互連結構之製造期間,形成於第二金屬層上之通道可能相對於下方之第一金屬層而輕微失準。在普通先前技術結構中,當完成通道之稀釋HF清潔後,存在對第一金屬層之損害,包括SiCOH或多孔SiCOH介電質中之空隙形成及所導致之可靠性故障。在製造本發明之BEOL互連結構期間,稀HF清潔溶液接觸穩定硼基介電質(其未被蝕刻)。該穩定硼基介電質保護SiCOH或多孔SiCOH介電質不受HF影響且未形成空隙。可以與本發明之硼基介電質類似之方式來使用本發明之磷基介電質。
本發明亦提供用於製造本發明之硼基或磷基介電材料之薄膜的方法。
根據本發明,提供包含硼或磷之非晶介電材料的具有3 nm至500 nm之範圍內的或更小的厚度的薄膜。如上所述,一實施例中之本發明之薄膜包括硼以及碳、氮及氫中之至少一者且(視需要)含有矽、鍺、磷及/或氟。本發明之硼基介電材料之較佳形式具有式C2 B10 Hz ,其中z可為高達10之任何整數。在另一實施例中,本發明之薄膜包括磷及N以及(視需要)氫、矽、鍺及/或氟。
根據本發明,亦描述包括本發明之硼基或磷基介電質之層之電子結構。該等結構使用硼基介電質或磷基介電質之層作為Cu覆蓋材料、嵌埋式蝕刻終止劑、CMP終止層及/或反應性離子蝕刻遮罩。該等結構係ULSI後段(BEOL)互連結構。描述在上文所述之應用中的每一者中使用緻密硼基介電質或磷基介電質(亦即,作為Cu覆蓋材料、嵌埋式蝕刻終止劑、CMP終止層及/或反應性離子蝕刻遮罩)之實施例。
一般而言,本發明之電子結構包含:一經預處理之半導體基板;一位於基板頂上之層間或層內介電質(ILD),該ILD包括嵌埋於其中之至少一導電特徵;及一緻密介電質,其包含(i)硼以及碳、氮及氫中之至少一者,或(ii)磷及氮,其中該緻密介電質與該ILD緊密接觸且該ILD與該至少一導電特徵之頂表面基本上共平面。
本發明進一步提供用於在PECVD及遠端電漿CVD反應器中使用新前驅物來製造該等緻密薄膜之方法。
一般而言,本發明之方法包含:將一基板放置於一化學氣相沈積反應器中;使一第一前驅氣體流入該CVD反應器中,該前驅氣體包括(i)硼與C、N及H中之至少一者,或(ii)磷與N;將能量至少提供至該第一前驅氣體;及將一緻密介電薄膜沈積於該基板上,該介電薄膜包含(i)硼與C、N及H中之至少一者,或(ii)磷及N。
現將藉由參考以下論述及隨附本申請案之圖式來較詳細地描述本發明,本發明描述一種硼基或磷基介電材料、一種製造該材料之方法、包括硼基或磷基介電質之電子結構及製造該等電子結構之方法。應注意,出於說明目的而提供本申請案之圖式,且因而其未按比例繪製。
在以下描述中,陳述了許多特定細節(諸如特定結構、組件、材料、尺寸、處理步驟及技術)以便提供對本發明之透徹理解。然而,一般熟習此項技術者將瞭解,可在不具有該等特定細節之情況下實踐本發明。在其他情形下,未詳細描述熟知結構或處理步驟以便避免混淆本發明。
在本發明之一實施例中,提供包括硼以及碳(C)、氮(N)及氫(H)中之至少一者之硼基介電質。視需要,本發明之硼基介電質可含有矽(Si)、鍺(Ge)、磷(P)及/或氟(F)。
在本發明之替代實施例中,提供包含磷及氮之非晶介電材料。視需要,本發明之磷基介電質可含有氫、矽、鍺及/或氟。
在圖1A至圖1C及圖2至圖3中展示本發明之電子裝置。應注意,圖式中所示之裝置僅為本發明之說明性實例,同時亦可使用本發明之材料及方法形成無限數目之其他裝置。所說明之裝置中之每一者之共同點在於其含有本發明之硼基介電質之層。雖然本發明之硼基介電質被特定地描述為存在於該等裝置中,但每一裝置中之本發明之硼基介電質可由本發明之磷基介電質取代。
根據本發明,本發明之硼基介電質包括約5至約90,較佳為約10至約75,且更佳為約10至約50之原子百分比之硼。當本發明之硼基介電質中存在C時,其存在之量為約5至約50,更佳為約10至約40,且甚至更佳為約25至約30之原子百分比。當本發明之硼基介電質中存在N時,其存在之量為5至約50,更佳為約10至約40,且甚至更佳為約15至約35之原子百分比。當本發明之硼基介電質中存在H時,其存在之量為約20至約60,更佳為約25至約50,且甚至更佳為約30至約45之原子百分比。
本發明之硼基介電質亦可含有矽(Si)、鍺(Ge)、磷(P)及氟(F)中之至少一者。當本發明之硼基介電質中存在Si時,其存在之量為約1至約20,更佳為約2至約15,且甚至更佳為約3至約10之原子百分比。當本發明之硼基介電質中存在Ge時,其存在之量為約1至約20,更佳為約2至約15,且甚至更佳為約5至約15之原子百分比。當本發明之硼基介電質中存在F時,其存在之量為約3至約35,更佳為約5至約25,且甚至更佳為約5至約15之原子百分比。當本發明之硼基介電材料中存在磷時,其存在之量為約1至約50,更佳為約5至約25,且甚至更佳為約5至約10之原子百分比。
在本發明之一較佳實施例中,生產BCH之組合物。在本發明之另一較佳實施例中,提供B10 C2 Hz 之組合物,其中z在1至10之範圍內。該組合物亦可包括Si、N、O及/或氟。其他較佳組合物包括B3 N3 Hy (其中y小於6)、C3 N3 B3 Hk (其中k小於12)、C6 N3 B3 H1 (其中1小於18)。該等組合物可進一步包括Si、Ge、P、O及/或氟。
所沈積之硼基介電材料之概述係具有式Bx Cy Rz 或Bx Ny Rz 之組合物,其中x、y及z指示組成。如此項技術中已知,x、y及z可具有對應於非晶材料之範圍之值範圍。舉例而言,在一較佳組合物中x=y,且在一相關組合物中x、y及z可變化。取代基R可能或可能不保持於所沈積之薄膜中,且可為鹵素、氫、烷基、芳基、烷氧基、胺基、經取代胺基及其他類似部分。
在通式Bx Cy Rz 之情況中,硼含量可自x=0.01至0.99而變化,且較佳在0.05至0.9之間變化。碳含量較低且可自y=0.01至0.5而變化,且最佳自0.05至0.25而變化。含量R(包括N)可在0.01至0.5之間。實際原子百分比將變化。
本發明之硼基介電質係具有約0.9至約2.0克/立方公分,更佳為約1.1至約1.8克/立方公分之密度(如由x射線反射率、拉塞福(Rutherford)背向散射或微量天平方法所確定)的緻密(亦即,非多孔)材料。儘管塗覆了本發明之硼基介電質,該緻密介電質具有約30至5000,較佳為約50至約1000之沈積厚度(as-deposited thickness)。此外,本發明之硼基介電質具有約3.5或更小之介電常數(相對於真空),約3.0或更小之介電常數係更佳的。
在包括磷之實施例中,磷之存在量為約10至約80,較佳為約20至約60,且甚至更佳為約30至約50之原子百分比。在本發明之磷基介電質中,氮之存在量為約10至約80,較佳為約20至約60,且甚至更佳為約30至約50之原子百分比。可選組份之存在量為上文對於本發明之硼基介電質所規定之量。
緻密(亦即,非多孔)磷基材料具有約0.9至約2.0克/立方公分,更佳為約1.1至約1.8克/立方公分之密度(如由x射線反射率、拉塞福背向散射或微量天平方法所確定)。其以與上文對於硼基介電材料所述之相同厚度範圍而沈積至一基板上。
在圖1A中,展示包括多個互連層之電子裝置。特定言之,圖1A展示互連配線結構10,其包括經預處理之半導體基板12,該基板可含有其他配線層及主動式半導體裝置。基板12包括任何半導體材料,包括(例如)Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP及其他III/V或II/VI化合物半導體。除了該等所列類型之半導體材料外,本發明亦預期半導體基板12係分層半導體之情況,諸如Si/SiGe、Si/SiC、絕緣體上矽(SOI)或絕緣體上矽鍺(SGOI)。在本發明之某些實施例中,較佳地,半導體基板12包括含Si之半導體材料(亦即,包括矽之半導體材料)。半導體基板12可為經摻雜的、未經摻雜的或其中含有經摻雜及未經摻雜之區域。
亦應注意,半導體基板12可經應變的、未經應變的或其中含有經應變及未經應變之區域。半導體基板12亦可具有單晶定向,或者,基板12可為具有含有不同結晶定向之表面區域之混合式半導體基板。
經預處理之基板12中包括導電特徵11。導電特徵11包括導電材料13(例如,多晶Si、多晶SiGe,諸如Cu、W或Al之導電金屬,諸如AlCu之導電金屬合金,諸如WSi或CuSi之導電金屬矽化物或其組合),其藉由擴散障壁15與經預處理之基板12部分地分離。擴散障壁15包括以下材料中之一者:Ta、TaN、Ti、TiN、Ru、RuN、W、WN或可充當障壁以防止導電材料經由障壁而擴散之任何其他材料。通常,擴散障壁15包含TaN/Ta雙層。
每一互連層包括Cu覆蓋/蝕刻終止層14,其包含形成於經預處理之基板12上或ILD頂上之本發明之硼基介電質。ILD(例如,SiCOH或多孔SiCOH介電質)16安置於每一互連層中之Cu覆蓋/蝕刻終止劑14頂上。除SiCOH材料外,ILD 16亦可包括具有約4.0或更小之介電常數(更佳為約3.6或更小之介電常數)之任何其他介電材料。本發明中預期之除SiCOH外之其他ILD包括(但不限於):SiO2 、倍半氧矽烷或熱固性聚伸芳基醚。術語"聚伸芳基"在本申請案中用以表示芳基部分或經惰性取代之芳基部分,其藉由鍵、稠環或惰性鍵聯基團(諸如氧、硫、碸、亞碸、羰基或其類似物)而鍵聯在一起。
使用此項技術中熟知之習知互連技術(包括雙金屬鑲嵌處理)來形成圖1A中所示之結構。當蝕刻雙金屬鑲嵌開口時(如圖1B中所示),使用氟基蝕刻來形成在包括本發明之硼基介電層之Cu/覆蓋/蝕刻終止層14處"終止"(亦即,具有極低蝕刻速率)之雙金屬鑲嵌開口。在圖1B中,以參考數字6表示經蝕刻之溝槽開口,且以參考數字8表示經蝕刻之通道開口。應注意,在Cu覆蓋/蝕刻終止層14處已終止形成通道開口8。圖1B內所說明之其他元件如同在圖1A中一樣而編號。
再次參看圖1A,導電材料18之區域嵌埋於互連層中之每一者之ILD 16中。導電材料18可包含與導電特徵11中所存在之導電材料相同或不同的導電材料13。通常,導電材料18包含諸如Cu、W或Al之金屬,其中Cu或諸如AlCu之Cu合金係極佳的。擴散襯墊20(諸如TaN/Ta雙層或上文所述之作為存在於導電特徵11中之擴散障壁15之其他材料中的任一者)使導電材料18與ILD 16分離。已藉由化學機械拋光(CMP)製程將整個結構平坦化,該製程移除過量導電材料,且使得最上方互連層之導電材料18與ILD 16之頂表面基本上共平面。在圖1A中以參考數字19來表示共平面之表面。
如圖1A中所示,在一實施例中,Cu覆蓋/蝕刻終止劑14係單層,其充當用於防止導電材料18擴散至ILD 16中或擴散至互連結構中之其他層中的擴散障壁層。如圖1C中所示,在一替代實施例中,Cu覆蓋/蝕刻終止劑14包含兩個層。下層26位於導電材料18及ILD介電質16頂上,且其包含Si、C、N、H或此項技術中已知之Si、N、H合金以成為用於提供優良Cu可靠性且防止導電材料18擴散至介電質16中的較佳Cu覆蓋材料。層14之上層28充當蝕刻終止劑’且由上文所述之本發明之硼基介電材料製成。
在圖2A至圖2B中展示本發明之下一實施例。特定言之,圖2A至圖2B說明具有作為嵌埋式蝕刻終止劑之本發明之硼基介電材料的多層互連結構。在圖2A中,展示建立於基板32上之電子裝置30。配線結構包括經預處理之半導體基板32,其可含有其他配線層及主動式半導體裝置。在基板32之頂部形成介電蝕刻終止劑34。介電蝕刻終止劑34可包含本發明之硼基介電材料(較佳),或其可包含可充當蝕刻終止劑之任何習知介電材料(諸如,SiN、SiC、SiCN),且該等合金含有氫。
第一ILD(諸如SiCOH或多孔SiCOH介電質)36沈積於介電蝕刻終止劑34頂上。第一ILD 36頂上係包含本發明之硼基介電質之嵌埋式蝕刻終止層38。該層用以藉由在ILD蝕刻中提供較低蝕刻速率(在溝槽蝕刻步驟期間)而形成雙金屬鑲嵌溝槽之底部。第二ILD 40(由上文所述之介電質中之一者組成,諸如SiCOH或多孔SiCOH)形成於嵌埋式蝕刻終止劑38頂上。亦展示包括層34、36、38及40之第二互連層。再次參看圖2A,導電材料42之一區域(通道)嵌埋於第一ILD 36中,且導電材料44之第二區域(線)嵌埋於第二ILD 40中。該導電材料包括上文所述之導電材料。擴散襯墊46(諸如,TaN/Ta雙層)將該等導電區域中之每一者與介電質分離,且防止諸如Cu之導電材料進入介電質。已藉由CMP製程來平坦化整個結構,該製程移除過量導電材料,且使得導電材料44與ILD 40之頂表面基本上共平面。
在圖2B中,展示在形成配線結構之前的介電層之堆疊。在基板32之頂部形成介電蝕刻終止劑34。第一ILD 36沈積於介電蝕刻終止劑34頂上。接著,被用作嵌埋式蝕刻終止層38之本發明之硼基介電質形成於第一ILD 36頂上。在嵌埋式蝕刻終止劑38頂上形成第二ILD 40。
圖3A至圖3B中展示本發明之下一實施例。在本發明之該實施例中,提供一BEOL互連結構,其包括作為CMP終止層的本發明之硼基介電質。該結構有助於解決在BEOL結構製造過程中通常遭遇之被稱為"失準通道"的問題,如下文所述。
在圖3A中,展示建立於基板52上之電子裝置50。配線結構包括經預處理之半導體基板52,其可含有其他配線層及主動式半導體裝置。在基板52之頂部形成Cu覆蓋/蝕刻終止劑54。Cu覆蓋/蝕刻終止劑54可包含本發明之硼基介電材料(較佳),或其可包含可充當Cu覆蓋/蝕刻終止層之任何其他介電材料(例如,包括SiN、SiC、SiCN),且該等合金含有氫。
接著,ILD 56沈積於Cu覆蓋/蝕刻終止劑54頂上。包含本發明之硼基介電質之CMP終止層57形成於ILD 56頂上。應注意,CMP蝕刻終止層57保留在完成之BEOL配線結構中。圖3A亦說明嵌埋於ILD 56中之導電材料58之一區域。擴散襯墊60(諸如,TaN/Ta)使導電材料58與ILD 56分離。已藉由CMP製程來平坦化整個結構,該製程移除過量導電材料,且使得導電材料58與CMP終止層57之頂表面基本上共平面。
在圖3B中,展示"失準通道"狀態。參看圖3B,雙金屬鑲嵌互連之第二層在第一層51上方被圖案化,且展示通道開口62及線開口64。當第二層之微影對準相對於第一層50並非完美時,該通道可能歸因於失準而部分地"脫離"該線,在虛線圓66中展示該狀態。如此項技術中已知,通道開口之蝕刻通常蝕刻下方之介電質,既而形成緊鄰線58之空隙或非所要之開口。諸如HF之濕式清潔溶液將進一步擴大該開口。緊鄰該線之空隙之形成導致許多嚴重的可靠性問題。使用本發明之硼基介電質作為CMP終止層57產生較穩固且較可靠之結構,因為(i)通道開口之蝕刻歸因於較低蝕刻速率而在本發明之CMP終止層57處"終止",及(ii)稀HF及其他化學濕式清潔溶液並不蝕刻本發明之CMP終止層57。未形成緊鄰下方之線之開口,且圖3A中所示之結構比習知先前技術雙金屬鑲嵌結構穩固且可靠。
圖4中展示本發明之下一實施例。特定言之,該下一實施例包括用以使用本發明之硼基介電質作為RIE遮罩層來圖案化SiCOH或多孔SiCOH介電質(或其他ILD材料中之一者)的方法(用於用以形成BEOL互連結構之實例)。應注意,自完成之結構移除該RIE遮罩層。圖4中之許多項目如同在圖1B中一樣而標記。層70(其係本發明之硼基介電質)位於ILD層16頂上。層70由遮罩區域70及開口72組成。
參看圖4,已藉由開口72來圖案化硬式遮罩層70。此係藉由以下步驟來完成:在層66之頂部塗佈光阻,使用微影製程(如此項技術中已知)來圖案化該光阻,且使用適當蝕刻化學製品將該光阻中之圖案轉移至遮罩層70。接著,移除該光阻而留下圖4之結構。已使用層70來蝕刻ILD 16中之開口6。
接著,描述用以沈積本發明之硼基介電質之層的方法。首先提供化學氣相沈積(CVD)反應器且將基板放置於該反應器中。視需要,該基板位於加熱晶圓夾盤上,該夾盤具有自約100℃至約450℃(且較佳為約300℃至約400℃)選定之溫度。接著,使第一前驅氣體或液體(其包括硼與C、N及H中之至少一者及(視需要)Si、F、P及Ge中之至少一者)流入CVD反應器中,同時視需要使至少一第二前驅物流動。亦可視需要使用稀釋劑氣體。在使反應器中之壓力穩定於適當壓力下(大致約1至10 Torr或更小)之後,將能量提供至前驅氣體之混合物歷時所要時間,直至基板上已沈積所要厚度之薄膜為止。
應注意,除了使用包括磷及氮之前驅物替代本文中所述之用於硼基介電質實施例之第一前驅物之外,以與製造硼基介電質類似之方式來製造本發明之磷基介電質。
此處以毫克/分鐘(被稱為mgm)來給出液體前驅物之流量。流入反應器之第一前驅物的流量通常為約10至約2000 mgm,其中第一前驅物之流量更佳為約100至約1000 mgm。
如此項技術中已知,該能量可為電容耦合至反應器內之至少一電極之RF能量,或經由遠端電漿源而施加之RF或微波能量,或可為經感應耦合之RF能量。視需要,可將偏壓或第二RF信號施加至基板以便在沈積期間加速來自電漿之離子以與生長薄膜層碰撞,以便致使該薄膜較緻密,且調節該薄膜中之內應力。
在反應器中形成電漿且將包含硼與C、N及H中之至少一者及(視需要)Si、F、P及Ge中之至少一者之薄膜沈積於基板上。本發明中所使用之第一前驅物可包括以下分子中之至少一者:碳硼烷、癸硼烷、硼氮炔、經取代之硼氮炔(諸如三甲基硼氮炔、六甲基硼氮炔或以其他烷基、苯基、乙烯基取代(二乙烯基-或三乙烯基-硼氮炔等))、經烷胺基取代之硼氮炔(諸如(二甲胺基)硼氮炔、三(二甲胺基)硼氮炔),及以烷氧基(乙氧基等)、氟或其他鹵素取代之硼氮炔。
或者,可能使用碳硼烷之衍生物,諸如含烷氧基、烷基、乙烯基、苯基或乙炔取代基之碳硼烷。通常,該等取代基鍵結至碳硼烷中之C,但取代基可鍵結至另一位點。
或者,可使用含有取代入富勒烯籠(fullerene cage)之BN基團之分子。
前驅物可作為氣體直接傳遞至反應器,作為在反應器內直接蒸發之液體而傳遞,或由諸如氦氣或氬氣之惰性載氣輸送。在一較佳實施例中,第一前驅物係碳硼烷。
第一前驅物可與第二前驅物混合以形成前驅物混合物。第二前驅物包含B2 H6 (二硼烷)及其他氫化硼組合物,包括(但不限於)B10 H10 (癸硼烷)。
在一實施例中,該前驅物混合物可進一步含有另一氫化物分子,諸如,二硼烷、矽烷(單矽烷、二矽烷、三矽烷或其類似物)、氨、烷基矽烷(諸如甲基矽烷或三甲基矽烷)、鍺烷或其他氫化物。
在另一實施例中,該前驅物混合物可進一步含有氟化物分子,諸如四氟化矽(SiF4 )、NF3 及其類似物。
提供以下實例來說明形成本發明之硼基介電質之方法。在該實例中,在薄膜沈積期間以一連續模式操作電漿。氣體混合物由500 sccm之流動速率下之碳硼烷與500 sccm之流動速率下之He的混合物組成。將反應器中之壓力保持在5 Torr。經由一氣體分配板來傳遞氣體,該氣體分配板亦為在13.56 MHZ之頻率下被施加500 W之RF功率的經供電之電極。基板為含有預成型之半導體裝置之200 mm的Si晶圓。該基板放置於350℃之溫度下之加熱器夾盤上,且亦在13.56 MHZ之頻率下將50 W之功率施加至該基板。
如此項技術中已知,上述特定值僅充當實例值,且在本發明內可使用任何電漿狀態。
在本發明內,可將諸如Ar、H2 及N2 之其他氣體用作載氣。若前驅物具有足夠蒸氣壓,則可能不需要載氣。將液體前驅物輸送至電漿反應器之替代方法係使用液體傳遞系統。若需要,可將含氮、硼、碳、矽、氫、鍺或氟之氣體添加至反應器中之氣體混合物以對薄膜之組合物及特性進行改質。
接著,提供一不同實例以說明形成本發明之磷基介電質之方法。在該實例中,在薄膜沈積期間以一連續模式操作電漿。氣體混合物由環磷氮烯(N3 P3 Hm ,其中m為6或更小)之混合物組成。在相關實施例中,使用被展示為N3 P3 Rn 之環磷氮烯之衍生物,其中R可為氟、烷基、芳基烷氧基、矽烷基及相關基團,且n為6或更小。
環磷氮烯流動速率為500 sccm且He流動速率為500 sccm。將反應器中之壓力保持在5 Torr。經由一氣體分配板來傳遞氣體,該氣體分配板亦為在13.56 MHZ之頻率下被施加500 W之RF功率的經供電之電極。基板為含有預成型之半導體裝置之200 mm的Si晶圓。該基板放置於350℃之溫度下之加熱器夾盤上,且亦在13.56 MHZ之頻率下將50 W之功率施加至該基板。
如此項技術中已知,上述特定值僅充當實例值,且在本發明內可使用任何電漿狀態。
在本發明內,可將諸如Ar、H2 及N2 之其他氣體用作載氣。若前驅物具有足夠蒸氣壓,則可能不需要載氣。將液體前驅物輸送至電漿反應器之一替代方法係使用液體傳遞系統。若需要,可將含氮、硼、碳、矽、氫、鍺或氟之氣體添加至反應器中之氣體混合物以對薄膜之組合物及特性進行改質。
所沈積之材料具有組合物Nx' Py' Rz' ,其中x'、y'及z'指示組成。如此項技術中已知,x'、y'及z'可具有對應於非晶材料之範圍之值範圍。舉例而言,在一較佳組合物中x'=y',且在一相關組合物中x'=3、y'=1-3,且z'可在1至6之間變化。取代基R可為鹵素、氫、烷基、芳基、烷氧基、胺基、經取代之胺基及其他類似部分。通常,z'=2y'。在本發明內之相關材料中,磷原子中之一或多者可由碳、硫或硫氧化物質取代。
在通式Nx' Py' Rz' 之情況中,氮含量可自x'=0.01至0.9而變化,且較佳在0.03至0.5之間變化。磷含量可自y'=0.01至0.9而變化,且最佳在0.03至0.5之間變化。在保留極少R取代基之材料中,z'較低,且x'與y'皆可為0.3至0.5。磷基介電材料係熱穩定的(具有小於4之介電常數),其展示超過SiCOH組合物之有機矽酸鹽之良好蝕刻選擇性。
因此已在上述描述及圖1至圖4之附圖中詳細地描述了本發明之新穎方法及藉由該方法形成之電子結構。應強調,圖1至圖4中所示之本發明之電子結構之實例僅用作本發明之新穎裝置的說明,且本發明之硼基介電質可應用於製造無限數目之電子裝置。
雖然已以說明性方式描述本發明,但應瞭解,所使用之術語意欲具有詞之描述而非限制性質。
此外,雖然已就較佳實施例及若干替代實施例來描述本發明,但應瞭解,熟習此項技術者將容易將該等教示應用於本發明之其他可能之變化。主張獨佔式特性或特權的本發明之實施例界定如下。
6...溝槽開口
8...通道開口
10...互連配線結構
11...導電特徵
12...半導體基板
13...導電材料
14...Cu覆蓋/蝕刻終止層
15...擴散障壁
16...ILD
18...導電材料
19...表面
20...擴散襯墊
26...下層
28...上層
30...電子裝置
32...半導體基板
34...介電蝕刻終止劑
36...第一ILD
38...嵌埋式蝕刻終止劑
40...第二ILD
42...導電材料
44...導電材料
46...擴散襯墊
50...電子裝置
51...第一層
52...半導體基板
54...Cu覆蓋/蝕刻終止劑
56...ILD
57...CMP終止層
58...導電材料
60...擴散襯墊
62...通道開口
64...線開口
66...虛線圓/層
70...硬式遮罩層/遮罩區域
72...開口
圖1A為具有作為Cu覆蓋/蝕刻終止層之硼基介電層之BEOL互連結構的圖形表示(經由橫截面圖)。
圖1B展示(以橫截面圖)在製造圖1A之結構期間終止於本發明之硼基介電質之蝕刻開口的形成。
圖1C展示(以橫截面圖)替代實施例,其中以兩個層來製造Cu覆蓋層,其包括作為上層之硼基介電層。
圖2A至圖2B為具有作為嵌埋式蝕刻終止劑之硼基介電層之BEOL互連結構的圖形表示(經由橫截面圖)。
圖3A至圖3B為具有作為CMP終止層之硼基介電層之BEOL互連結構的圖形表示(經由橫截面圖)。
圖4為具有作為反應性離子蝕刻(RIE)遮罩圖案層之硼基介電層之BEOL互連結構的圖形表示(經由橫截面圖)。
6...溝槽開口
8...通道開口
11...導電特徵
12...半導體基板
13...導電材料
14...Cu覆蓋/蝕刻終止層
15...擴散障壁
16...ILD
70...硬式遮罩層/遮罩區域
72...開口

Claims (17)

  1. 一種電子結構,其具有在一配線結構中作為層內或層間介電質之絕緣材料之層,該電子結構包含:一經預處理之半導體基板;一位於基板頂上之層間或層內介電質(ILD),該ILD包括嵌埋於其中之至少一導電特徵;及一緻密介電質,其包含(i)硼以及碳、氮及氫中之至少一者,或(ii)磷及氮,其中該緻密介電質與該ILD緊密接觸且該ILD與該至少一導電特徵之頂表面基本上共平面,且其中該緻密介電質係非晶的及非多孔的。
  2. 如請求項1之電子結構,其中該緻密介電質包含硼且進一步包含Si、F、P及Ge中之至少一者。
  3. 如請求項2之電子結構,其中該緻密介電質包含Bx Cy Rz 或Bx Ny Rz ,其中x、y及z係表示每一組份之一範圍之整數,且R係一選自由以下各者組成之群之取代基:鹵素、氫、烷基、芳基、烷氧基、胺基及經取代之胺基。
  4. 如請求項1之電子結構,其中該緻密介電質具有一約0.9至約2.0克/立方公分之密度。
  5. 如請求項1之電子結構,其中該緻密介電質係一介電質擴散障壁層,其用以覆蓋一位於該緻密介電質下方之一導電特徵中的導電材料。
  6. 如請求項5之電子結構,其中該緻密介電質係一單層。
  7. 如請求項5之電子結構,其中該緻密介電質係一雙層結構之一頂層。
  8. 如請求項1之電子結構,其中該緻密介電質係一嵌埋式蝕刻終止層,其處於一位於該ILD內之導電線之底部。
  9. 如請求項1之電子結構,其中該緻密介電質係一化學機械拋光終止層,其中該緻密介電質之一上表面與該導電材料之一上表面基本上共平面。
  10. 如請求項1之電子結構,其中該緻密介電質包含磷且視需要包含氫、氮、矽、鍺及氟中之至少一者。
  11. 一種在一基板上沈積一緻密硼基介電質之層之方法,其包含:在選自由一平行板類型之電漿反應器、一遠端電漿反應器及一感應耦合電漿反應器所組成之群組之一化學氣相沈積反應器(CVD)中放置一互連結構,該互連結構包括嵌入一層間介電質材料內之至少一導電材料;使一第一前驅氣體流入該CVD反應器中,該第一前驅氣體選自由以下各者組成之群組:碳硼烷、癸硼烷、碳硼烷之一衍生物及一含BN基團之富勒烯籠分子;將能量至少提供至該第一前驅氣體;及將一緻密介電薄膜沈積於該至少一導電材料上,該緻密介電薄膜具有約0.9至約2.0 gm/cm3 之一密度且包含硼與C、N及H中之至少一者及進一步與Si、F、P及Ge中之至少一者,且該緻密介電薄膜包括一約5至約90原子百分比之量之硼、一約5至約50原子百分比之量之C、一約5至約50原子百分比之量之N、及一約20至約60原子百分比之量之H。
  12. 一種硼基介電材料,其包含硼以及C、N及H中之至少一者,其中該硼之一存在量為約5至約90原子百分比,且該介電材料係非晶的、非多孔的、且具有一約0.9至約2.0 gm/cm3 之密度。
  13. 如請求項12之硼基介電材料,其中C之一存在量為約5至約50原子百分比。
  14. 如請求項12之硼基介電材料,其中N之一存在量為約5至約50原子百分比。
  15. 如請求項12之硼基介電材料,其中H之一存在量為約20至約60原子百分比。
  16. 如請求項12之硼基介電材料,其進一步包含Si、F、P及Ge中之至少一者。
  17. 一種磷-氮基介電材料,其包含P及N且視需要包含氫、矽、鍺及氟中之至少一者,該介電材料係非晶的、非多孔的、具有一約0.9至約2.0 gm/cm3 之密度、一約3至約50原子百分比之磷含量及一約3至約50原子百分比之氮含量。
TW096121650A 2006-06-22 2007-06-15 使用蝕刻阻劑硼及磷材料之電子結構及其形成方法 TWI414042B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/472,726 US20070296064A1 (en) 2006-06-22 2006-06-22 Electronic structures utilizing etch resistant boron and phosphorus materials and methods to form same

Publications (2)

Publication Number Publication Date
TW200807625A TW200807625A (en) 2008-02-01
TWI414042B true TWI414042B (zh) 2013-11-01

Family

ID=38872796

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096121650A TWI414042B (zh) 2006-06-22 2007-06-15 使用蝕刻阻劑硼及磷材料之電子結構及其形成方法

Country Status (3)

Country Link
US (2) US20070296064A1 (zh)
CN (1) CN100546023C (zh)
TW (1) TWI414042B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1951929B1 (en) * 2005-11-17 2012-06-06 Nippon Shokubai Co., Ltd. Composition for chemical vapor deposition film-formation and method for production of low dielectric constant film
US20080108215A1 (en) * 2006-11-07 2008-05-08 Applied Materials, Inc. Integrated circuit interconnect lines having reduced line resistance
US7939942B2 (en) * 2007-12-19 2011-05-10 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
CN103383922A (zh) * 2012-05-03 2013-11-06 中芯国际集成电路制造(上海)有限公司 一种低k介质阻挡层及其形成方法
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US9620454B2 (en) * 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
KR101598294B1 (ko) 2014-09-15 2016-02-26 삼성전기주식회사 음향 공진기 및 그 제조 방법
CN113943933B (zh) * 2020-07-16 2023-09-29 江苏菲沃泰纳米科技股份有限公司 多层结构的复合膜及其制备方法和产品

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US20040130031A1 (en) * 2003-01-07 2004-07-08 Tian-An Chen Forming chemical vapor depositable low dielectric constant layers
US6924240B2 (en) * 2001-10-09 2005-08-02 Mitsubishi Denki Kabushiki Kaisha Low dielectric constant material, insulating film comprising the low dielectric constant material, and semiconductor device
US7001854B1 (en) * 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3468717B2 (ja) 1999-05-13 2003-11-17 積水化学工業株式会社 低誘電率材料及び層間絶縁膜の製造方法
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6309982B1 (en) * 2001-03-12 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method for minimizing copper diffusion by doping an inorganic dielectric layer with a reducing agent
JP2003152106A (ja) * 2001-11-15 2003-05-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
JP2003347401A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 多層配線構造を有する半導体装置およびその製造方法
TWI253684B (en) * 2003-06-02 2006-04-21 Tokyo Electron Ltd Method and system for using ion implantation for treating a low-k dielectric film
US20050238889A1 (en) * 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US20060071300A1 (en) * 2004-09-30 2006-04-06 Haverty Michael G Dielectric material having carborane derivatives

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US7001854B1 (en) * 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6924240B2 (en) * 2001-10-09 2005-08-02 Mitsubishi Denki Kabushiki Kaisha Low dielectric constant material, insulating film comprising the low dielectric constant material, and semiconductor device
US20040130031A1 (en) * 2003-01-07 2004-07-08 Tian-An Chen Forming chemical vapor depositable low dielectric constant layers

Also Published As

Publication number Publication date
US20070296064A1 (en) 2007-12-27
CN101093825A (zh) 2007-12-26
US7863749B2 (en) 2011-01-04
US20080277796A1 (en) 2008-11-13
CN100546023C (zh) 2009-09-30
TW200807625A (en) 2008-02-01

Similar Documents

Publication Publication Date Title
TWI414042B (zh) 使用蝕刻阻劑硼及磷材料之電子結構及其形成方法
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
US7239017B1 (en) Low-k B-doped SiC copper diffusion barrier films
KR101027216B1 (ko) 다중레벨 상호접속 구조물에서 공기 갭을 형성하는 방법
US7811926B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7420275B1 (en) Boron-doped SIC copper diffusion barrier films
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US9105642B2 (en) Interlevel dielectric stack for interconnect structures
US20030036280A1 (en) Low dielectric constant etch stop films
US9040411B2 (en) Advanced low k cap film formation process for nano electronic devices
US11164776B2 (en) Metallic interconnect structure
US10978342B2 (en) Interconnect with self-forming wrap-all-around barrier layer
US8957519B2 (en) Structure and metallization process for advanced technology nodes
KR20100122871A (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
JP3173426B2 (ja) シリカ絶縁膜の製造方法及び半導体装置の製造方法
US20090026587A1 (en) Gradient deposition of low-k cvd materials
US20030209805A1 (en) Flourine doped SiO2 film and method of fabrication
WO2013123679A1 (zh) 扩散阻挡层、金属互连结构及其制造方法
JP2010287653A (ja) 半導体装置およびその製造方法
KR20060124682A (ko) 낮은-k CVD 물질의 그라디언트 증착