TWI375122B - Positive resist compositions and patterning process - Google Patents

Positive resist compositions and patterning process Download PDF

Info

Publication number
TWI375122B
TWI375122B TW096144172A TW96144172A TWI375122B TW I375122 B TWI375122 B TW I375122B TW 096144172 A TW096144172 A TW 096144172A TW 96144172 A TW96144172 A TW 96144172A TW I375122 B TWI375122 B TW I375122B
Authority
TW
Taiwan
Prior art keywords
group
sulfonate
acid
bis
hydrazine
Prior art date
Application number
TW096144172A
Other languages
Chinese (zh)
Other versions
TW200900861A (en
Inventor
Tsunehiro Nishi
Katsuya Takemura
Shigeo Tanaka
Original Assignee
Shinetsu Chemical Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shinetsu Chemical Co filed Critical Shinetsu Chemical Co
Publication of TW200900861A publication Critical patent/TW200900861A/en
Application granted granted Critical
Publication of TWI375122B publication Critical patent/TWI375122B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • C07C69/54Acrylic acid esters; Methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F224/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a heterocyclic ring containing oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F232/00Copolymers of cyclic compounds containing no unsaturated aliphatic radicals in a side chain, and having one or more carbon-to-carbon double bonds in a carbocyclic ring system
    • C08F232/08Copolymers of cyclic compounds containing no unsaturated aliphatic radicals in a side chain, and having one or more carbon-to-carbon double bonds in a carbocyclic ring system having condensed rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Description

1375122 九、發明說明 【發明所屬之技術領域】 本發明爲有關(1)適合微細加工技術,可提供優良 解析性、疏密依賴性、光罩忠實性、線路側壁不均較小之 圖型的正型光阻材料,及(2)使用該光阻材料之圖型之 形成方法。1375122 IX. INSTRUCTIONS OF THE INVENTION [Technical Field to Be Invented by the Invention] The present invention relates to (1) suitable for microfabrication technology, and can provide a pattern of excellent resolution, density dependence, mask faithfulness, and uneven line sidewall unevenness. A positive photoresist material, and (2) a method of forming a pattern using the photoresist material.

近年來,隨著LSI之高集積化與高速度化,於尋求圖 型線路之微細化之中,已深入地進行使用遠紫外線微影蝕 刻及真空紫外線微影蝕刻之微細加工技術的開發。目前使 用波長248ηηι之KrF準分子雷射光作爲光源之光微影蝕 刻,於半導體裝置之實際生產中,係作爲中心任務,目前 爲實現更微細化時,則開始硏究利用波長193 nm之ArF 準分子雷射光,並開始使用於部份試驗生產製程之中。但 φ 是,ArF準分子雷射微影蝕刻屬仍未成熟之技術,其欲達 可供基本上之實際生產時,仍存在著有各式之問題。 對應於ArF準分子雷射微影蝕刻之光阻材料所需求之 特性,爲對於波長193 nm具有透明性,及乾蝕刻耐性, 兼具該雙方之樹脂,例如被提案之2 -乙基- 2 -金剛烷 • 基、2—甲基-2-金剛烷基爲代表之具有高體積密度之聚 (甲基)丙烯酸衍生物作爲基礎樹脂之光阻材料(專利文 獻1 :特開平9-73 1 73號公報、專利文獻2 :特開平 9-90637號公報)。其後亦有各種材料之提案,但就使用 -6- 1375122 具有高透明性之主鏈與高體積密度之三級烷基所保護之羧 酸部份的樹脂之觀點而言,於幾乎全部之情形中皆爲共通 者。 以往材料中所具有之問題中,特別具有深刻問題者爲 微細線路尺寸之不均勻(線路側壁不均),因其對所製造 之半導體裝置之性能會產生較大之影響,故極需尋求解決In recent years, with the increase in the integration and speed of LSIs, the development of microfabrication techniques using far ultraviolet lithography and vacuum ultraviolet lithography has been intensively pursued in the miniaturization of pattern lines. At present, the use of KrF excimer laser light with a wavelength of 248 ηηι as the light source lithography etching is a central task in the actual production of semiconductor devices. At present, in order to achieve further miniaturization, the use of ArF quasi-wavelength at 193 nm is begun. Molecular laser light was used in some of the experimental production processes. However, φ is that ArF excimer laser lithography is still an immature technology, and there are still various problems when it is intended to be basically used for actual production. Corresponding to the characteristics required for the ArF excimer laser lithography photoresist, which has transparency to a wavelength of 193 nm and dry etching resistance, both of which are resins, such as the proposed 2-ethyl-2 -Adamantane group, 2-methyl-2-adamantyl group is a photoresist material having a high bulk density poly(meth)acrylic acid derivative as a base resin (Patent Document 1: JP-A-9-73 1) Japanese Laid-Open Patent Publication No. Hei 9-90637. There are also various proposals for materials, but almost all of them are used in the viewpoint of using a highly transparent main chain of -6-1375122 and a carboxylic acid moiety protected by a high-density tertiary alkyl group. In the case, they are all common people. Among the problems in the past materials, particularly those with deep problems are uneven size of the fine wiring (uneven side wall), which is highly affected by the performance of the manufactured semiconductor device.

之方法。若僅以使圖型平滑收尾等目的時,僅需將所使用 之樹脂分子量設定爲較低’使光酸產生劑所產生之酸容易 移動及可達到某種程度之目的,但此時除曝光量依賴性、 疏密依賴性、光罩忠實性等特性極端惡化以外,因光罩之 微細移動被擴大時’將反而會造成線路尺寸本身之不均勻 ,而無法與降低線路側壁不均之現象連結。於尋求圖型線 路更爲微細化之過程中,除於感度、基板密著性、蝕刻耐 性中需發揮優良之性能以外,仍需要不會伴隨解析性之劣 化,且可根本的部善線路側壁不均之對策。 [專利文獻1 ]特開平9 - 7 3 1 7 3號公報 [專利文獻2]特開平9-9063 7號公報 【發明內容】 本發明爲鑒於上述情事所提出者,而以提出ArF準分 子雷射光等高能量線作爲光源之光微影蝕刻中,兼具有提 高解析性與降低線路側壁不均之正型光阻材料,及使用該 光阻材料之圖型之形成方法爲目的。 本發明者們爲達上述目的,經重複深入硏究結果,得 1375122 知使用由某一特定重複單位所構成之高分子化合物作爲基 礎樹脂’且具有某一特定構造之錡鹽化合物作爲酸產生劑 所得之正型光阻材料,具有極高之解析性能且可降低線路 側壁不均之現象’而爲一種對精密微細加工極有用之光阻 材料。 即’本發明爲提供下述之光阻材料及圖型之形成方法The method. If only for the purpose of smoothing the pattern, etc., it is only necessary to set the molecular weight of the resin used to be lower, so that the acid generated by the photoacid generator can be easily moved and can reach a certain degree, but at this time, in addition to exposure. In addition to extreme deterioration of characteristics such as quantity dependence, density dependence, and mask faithfulness, when the fine movement of the mask is enlarged, 'there will be unevenness in the line size itself, and it is impossible to reduce the unevenness of the line side wall. link. In the process of pursuing the miniaturization of the pattern line, in addition to the excellent performance in sensitivity, substrate adhesion, and etching resistance, there is still a need for deterioration without analyticity, and Uneven countermeasures. [Patent Document 1] Japanese Laid-Open Patent Publication No. Hei 9-9063 No. Hei. No. 9-9063. In the photolithography etching using a high-energy line such as a light source as a light source, it is also intended to have a positive-type photoresist material which improves the resolution and reduces the unevenness of the line sidewall, and a pattern forming method using the photoresist material. In order to achieve the above object, the present inventors have carried out intensive investigations and obtained 1375122 to know that a polymer compound composed of a specific repeating unit is used as a base resin and an antimony salt compound having a specific structure is used as an acid generator. The obtained positive-type photoresist material has extremely high analytical performance and can reduce the unevenness of the sidewall of the line, and is a photoresist material which is extremely useful for precision micro-machining. That is, the present invention provides a photoresist material and a pattern forming method thereof.

請求項1 : 一種正型光阻材料,其爲含有(A)基於酸之作用而 形成對鹼顯影液爲可溶之樹脂成份,與(B)感應活性光 線或放射線而發生酸之化合物,其中,樹脂成份(A )爲 具有下述通式(1)所表示之重複單位的高分子化合物, 且’發生酸之化合物(B)爲下述通式(2)所表示之锍鹽 化合物之正型光阻材料,Claim 1 : A positive-type photoresist material which is a compound containing (A) a resin component which is soluble in an alkali developer based on an acid action, and an acid which generates an active light or radiation by (B), wherein The resin component (A) is a polymer compound having a repeating unit represented by the following formula (1), and the compound (B) in which the acid is generated is a positive electrode compound represented by the following formula (2). Type photoresist material,

【化1】【化1】

(R1分別獨立爲氫原子、甲基,或三氟甲基;R2爲酸不 穩定基;R3爲氫原子或C02R4 ; R4爲可含有雜原子之碳 數1〜20之直鏈狀、分支狀或環狀之1價烴基;X爲〇、 S、CH2,或 CH2CH2 ;m 爲 1 或 2;n 爲 1 或 2;a、b、c (S )· -8 - 1375122 、d分別爲各重複單位之存在比例,a、b、c、d分別爲 0·01以上,未達1之數,a+b+c+d=l)。 【化2】(R1 is independently a hydrogen atom, a methyl group, or a trifluoromethyl group; R2 is an acid labile group; R3 is a hydrogen atom or C02R4; and R4 is a linear or branched carbon having a carbon number of 1 to 20 which may contain a hetero atom. Or a cyclic monovalent hydrocarbon group; X is 〇, S, CH2, or CH2CH2; m is 1 or 2; n is 1 or 2; a, b, c (S)· -8 - 1375122, and d are repeats The ratio of the existence of the unit, a, b, c, and d are respectively above 0·01, and the number is less than 1, a+b+c+d=l). [Chemical 2]

(R5、R6、R7分別獨立爲氫原子,或可含有雜原子之碳 數1〜20之直鏈狀、分支狀或環狀之1價烴基;R8爲可 含有雜原子之碳數7〜30之直鏈狀、分支狀或環狀之1價 烴基)。 請求項2 : 如申請專利範圍第1項之正型光阻材料,其中,樹脂 成份(A)之酸不穩定基爲由下述式(a-〇 > (a_5)所 選出之1種或2種以上。(R5, R6, and R7 are each independently a hydrogen atom, or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms of a hetero atom; and R8 is a carbon number 7 to 30 which may contain a hetero atom. a linear, branched or cyclic monovalent hydrocarbon group). Item 2: The positive-type photoresist material of claim 1, wherein the acid-labile group of the resin component (A) is one selected from the following formula (a-〇> (a_5) or 2 or more types.

【化3】[化3]

(a·】) (a-2) (a.3) (a-4) (a-5) (式中,虛線爲鍵結鍵;R9、R1 0、R1 1、R I 2、R , 3分別獨 立爲碳數1〜4之直鏈狀或分支狀之烷基;】成7. Γ 爲1或2 )。 請求項3 = -9- < S>(a·)) (a-2) (a.3) (a-4) (a-5) (wherein the dotted line is the bonding key; R9, R1 0, R1 1 , RI 2, R, 3 respectively A linear or branched alkyl group independently having a carbon number of 1 to 4;] is 7. Γ is 1 or 2). Request item 3 = -9- <S>

1375122 一種圖型之形成方法,其特徵爲包含使請求項 之光阻材料塗佈於基板上之步驟,與,於加熱處理 光罩使高能量線或電子線曝光之步驟,與,於加熱 ’使用顯影液進行顯影之步驟。 請求項4 : 一種圖型之形成方法,其爲包含使請求項1或 阻材料塗佈於基板上之步驟,與,於加熱處理後介 使高能量線或電子線曝光之步驟,與,加熱處理後 顯影液進行顯影之步驟之圖型形成製程,其特徵爲 折射率1以上之液體介於光阻塗佈膜與投影透鏡之 浸潤式曝光。 請求項5 一種圖型之形成方法,其爲包含使請求項1或 阻材料塗佈於基板上之步驟,與,於加熱處理後介 使高能量線或電子線曝光之步驟,與,加熱處理後 φ 顯影液進行顯影之步驟之圖型形成製程,其特徵爲 阻塗佈膜之上再塗佈保護膜’使用折射率1以上之 於該保護膜與投影透鏡之間進行浸潤式曝光。 本發明之光阻材料,於微細加工技術’特別是 影蝕刻技術中,具有極高之解析性’而可提供一種 - 小線路側壁不均之圖型,而極適合精密之微細加工 以下,將對本發明之光阻材料進行詳細說明。 下說明中,化學式所表示之構造中因存在不對稱碳 有得到鏡像異構物(enantiomer )或非鏡像異: 1或2 後介由 處理後 2之光 由光罩 ,使用 ,使用 間進行 2之光 由光罩 ,使用 ,於光 液體介 ArF微 具有較 〇 又,以 ,故會 冓物( -10- 1375122 diastereomer)之情形,於該情形中,將以一個結構式代 表其異構物。該些異構物可單獨使用,或以混合物使用皆 可。 本發明之光阻材料爲含有基於酸之作用而形成對鹼顯 影液爲可溶之樹脂成份(A),與可感應活性光線或放射 線而發生酸之化合物(B),其中,樹脂成份(A)爲具 有下述通式(1)所表示之重複單位的高分子化合物,且 φ 所發生酸之化合物(B)爲下述通式(2)所表示之毓鹽化 合物之正型光阻材料。 【化1】1375122 A method for forming a pattern, comprising the steps of: coating a photoresist material of a request item on a substrate, and exposing the high energy line or the electron line to a heat treatment mask, and heating The step of developing using a developing solution. Claim 4: A method for forming a pattern, comprising the steps of applying the request item 1 or the resist material to the substrate, and the step of exposing the high energy line or the electron line after the heat treatment, and heating A pattern forming process for the step of developing the developer after the treatment, characterized in that the liquid having a refractive index of 1 or more is interposed by the immersion exposure of the photoresist coating film and the projection lens. The item 5 is a method for forming a pattern, comprising the steps of applying the request item 1 or the resist material to the substrate, and the step of exposing the high energy line or the electron line after the heat treatment, and heat treatment The pattern forming process of the step of developing the φ developer is characterized in that the protective film is coated on the resist film, and the immersion exposure is performed between the protective film and the projection lens using a refractive index of 1 or more. The photoresist material of the invention has a very high resolution in the microfabrication technology 'especially the shadow etching technology', and can provide a pattern of uneven sidewalls of small lines, and is extremely suitable for precision microfabrication, The photoresist material of the present invention will be described in detail. In the following description, the structure represented by the chemical formula has an enantiomer or a non-mirrored image due to the presence of asymmetric carbon: 1 or 2, after the treatment 2, the light is used by the mask, and the use is performed 2 The light is used by the reticle, and the light liquid is inferior to the ArF micro, so it will be the case of the cockroach (-10-1375122 diastereomer), in which case the isomer will be represented by a structural formula. . These isomers may be used singly or as a mixture. The photoresist material of the present invention is a compound (B) containing a resin component (A) which is soluble in an alkali developer based on an acid action, and an acid which can generate an acid by inducing active light or radiation, wherein the resin component (A) ) is a polymer compound having a repeating unit represented by the following formula (1), and the compound (B) in which the acid of φ is generated is a positive resist material of the onium salt compound represented by the following formula (2) . 【化1】

其中,R1分別獨立爲氫原子、甲基,或三氟甲基。 R2爲酸不穩定基’其具體例將於後敘述。R3爲氫原子或 C02R4。!^4爲可含有雜原子之碳數i'so之直鏈狀、分支 狀或環狀之烷基等的1價烴基,具體而言,例如甲基、乙 基、丙基、異丙基、η — 丁基、sec — 丁基、tert — 丁基、 tert —戊基、η —戊基、η —己基、環戊基、環己基、乙基 環戊基、丁基環戊基、乙基環己基、丁基環己基、金剛烷 基、乙基金剛烷基、丁基金剛烷基、及此些基之任意的 碳-碳鍵結間插入有- 0-、-S-、-SO-、-S02-、-ΝΗ-、 < s> -11 -Wherein R1 is independently a hydrogen atom, a methyl group, or a trifluoromethyl group. R2 is an acid labile group, and specific examples thereof will be described later. R3 is a hydrogen atom or C02R4. ! ^4 is a monovalent hydrocarbon group which may contain a linear, branched or cyclic alkyl group having a carbon number i'so of a hetero atom, specifically, for example, a methyl group, an ethyl group, a propyl group, an isopropyl group, or the like. η — butyl, sec — butyl, tert — butyl, tert —pentyl, η —pentyl, η—hexyl, cyclopentyl, cyclohexyl, ethylcyclopentyl, butylcyclopentyl, ethyl Cyclohexyl, butylcyclohexyl, adamantyl, ethyladamantyl, butanyl, and any carbon-carbon bonds of such groups are interspersed with -0-, -S-, -SO- , -S02-, -ΝΗ-, <s> -11 -

1375122 -C( = 0)-、-C( = 0)0-、-C( = 0)NH-等之雜原子團 例如任意之氫原子被-OH、-NH2、-CHO、-CO 所取代之基。X爲〇、S、CH2,或CH2CH2。 β n爲1或2。 a、b、c、d表示各個重複單位之存在比, 分別爲0.01以上未達1,且a+b+c+d=l。 較佳範圍,例如以下所示。 0.05^ 0.6 > 更佳爲 0.IS a$0.5 0.01SbS0.5,更佳爲 O.OlgbSO.4 O.OlScSO.6,更佳爲 0.05Sc$0.5 0.01S dS 0.6,更佳爲 0.05S 0.5 又,a+b+c+d=l係指於含有重複單位 之高分子化合物中,重複單位a、b、c、d之 之基,或, 2Η等官能基 m爲1或2 a、b、c、d 各存在比之 a、b、c、d 合計量相對A hetero atomic group such as 1375122 -C( = 0)-, -C( = 0)0-, -C( = 0)NH-, etc., such as any hydrogen atom, is replaced by -OH, -NH2, -CHO, -CO base. X is 〇, S, CH2, or CH2CH2. β n is 1 or 2. a, b, c, and d indicate the existence ratio of each repeating unit, which is 0.01 or more and less than 1, and a+b+c+d=l. A preferred range is as shown below. 0.05^0.6 > more preferably 0.IS a$0.5 0.01SbS0.5, more preferably O.OlgbSO.4 O.OlScSO.6, more preferably 0.05Sc$0.5 0.01S dS 0.6, more preferably 0.05S 0.5 , a+b+c+d=l means a group of repeating units a, b, c, d in a polymer compound containing a repeating unit, or a functional group m such as 2 2 is 1 or 2 a, b, c, d each has a relative ratio of a, b, c, d

於全重複單位之合計量爲100莫耳%之意。 【化2】The total amount of the total repeating unit is 100% by mole. [Chemical 2]

(2) 其中’式中’ R5、R6、R7分別獨立爲氫 含有雜原子之碳數1〜20之直鏈狀、分支狀或 烴基;可含有雜原子之烴基的具體例如甲基、 、異丙基、η - 丁基、sec — 丁基' tert_ 丁基 、η —戊基、π —己基、環戊基、環己基、乙基 原子,或可 環狀之1價 乙基、丙基 tert _戊基 環戊基、丁 -12- 1375122 基環戊基、乙基環己基、丁基環己基、金剛烷基、乙基金 剛烷基、丁基金剛烷基、及此些基之任意碳·碳鍵結間插 入有-0- ' -S-、.SO-、-S〇2-、-NH-、-C( = 0)-、-C( = 0)0-、-C( = 0)NH-等雜原子團之基,或任意之氫原子被-〇H、 -NH2 ' -CH0、-C02H等官能基所取代之基等例示。R8爲 可含有雜原子之碳數7〜30之直鏈狀 '分支狀或環狀之1 價烴基,具體之內容例如以下之例示,但並非限定於此。 【化3】(2) wherein 'in the formula', R5, R6 and R7 are each independently a linear, branched or hydrocarbyl group having 1 to 20 carbon atoms and a hetero atom; and a hydrocarbon group containing a hetero atom, such as a methyl group or a Propyl, η-butyl, sec-butyl 'tert_butyl, η-pentyl, π-hexyl, cyclopentyl, cyclohexyl, ethyl atom, or cyclic monovalent ethyl, propyl tert _Pentylcyclopentyl, butyl-12-1375122-cyclopentyl, ethylcyclohexyl, butylcyclohexyl, adamantyl, ethyladamantyl, butyl-hydroxyalkyl, and any carbon of such groups ·-0-'-S-, .SO-, -S〇2-, -NH-, -C( = 0)-, -C( = 0)0-, -C( =) are inserted between the carbon bonds. 0) A group of a hetero atomic group such as NH- or a hydrogen atom is exemplified by a group substituted with a functional group such as -H, -NH2'-CH0 or -C02H. R8 is a linear "branched or cyclic monovalent hydrocarbon group having 7 to 30 carbon atoms which may contain a hetero atom. Specific examples thereof are exemplified below, but are not limited thereto. [化3]

h yh y

(式中,虛線爲鍵結鍵)。 樹脂成份(A)中之R2之酸不穩定基,較佳爲由下述 式(a-Ι )〜(a-5 )所選出之1種或2種以上。 -13- 1375122 【化4】(In the formula, the dotted line is the bonding key). The acid-labile group of R2 in the resin component (A) is preferably one or more selected from the following formulas (a-Ι) to (a-5). -13- 1375122 【化4】

(4°) (a-2) (a-3) (a-4) (a-5) 其中,虛線爲鍵結鍵。R9、R1()、R11、R12、R13分別 獨立爲碳數1〜4之直鏈狀或分支狀之烷基,具體而言, φ 例如甲基、乙基 '丙基、異丙基、η — 丁基、sec - 丁基、 tert— 丁基等例不。〇爲1或2。p爲1或2。 R2之酸不穩定基,具體而言例如以下之例示,但並 非限定於此。 【化5】 隹I Φ 吻 # ^ ^ ^ b ^ ^ <>b 上述通式(1)中,以存在比C及d導入之單位具有 高度鹼顯影液親和性,可穩定地溶解於顯影液中,故可抑 制膨潤層之發生,降低線路側壁不均等現象。但是,此些 單位因具有較低之酸擴散抑制能力,於曝光後加熱處理( Post*Exposure*Back ; PEB )之際會造成酸之擴散,故具 有會造成曝光量依賴性、疏密依賴性、光罩忠實性等性能 惡化之缺點。本發明者爲解決該問題而經過深入努力結果 -14- 1375122 ’得知導入有高體積密度部份構造或官能基之l5l,3,3,3 -五氟丙烷磺酸衍生物可得到極低擴散性之酸,而極適合用 於使用其發生酸之上述通式(2)所表示之鏡鹽化合物作 爲酸產生劑,及將該锍鹽化合物與具有上述通式(1)所 表示之重複單位的高分子化合物組合結果,即可製得兼具 有優良線路側壁均勻性與解析性能之光阻材料,因而完成 本發明。(4°) (a-2) (a-3) (a-4) (a-5) where the dotted line is the bond key. R9, R1(), R11, R12 and R13 are each independently a linear or branched alkyl group having 1 to 4 carbon atoms, specifically, φ such as methyl group, ethyl 'propyl group, isopropyl group, and η. — butyl, sec-butyl, tert-butyl, etc. 〇 is 1 or 2. p is 1 or 2. The acid labile group of R2 is specifically exemplified below, but is not limited thereto.化I Φ kiss # ^ ^ ^ b ^ ^ <>b In the above formula (1), it has a high alkali developer affinity in units of C and d, and can be stably dissolved in In the developer, the occurrence of the swelling layer can be suppressed, and the unevenness of the side wall of the line can be reduced. However, these units have low acid diffusion inhibition ability, which causes acid diffusion during post-exposure heat treatment (Post*Exposure*Back; PEB), which causes exposure dependency and density dependence. The shortcomings of performance deterioration such as photomask fidelity. The inventors of the present invention have made intensive efforts to solve this problem -14 - 1375122', and it has been found that a derivative of a 5,3,3,3-pentafluoropropanesulfonic acid derivative having a high bulk density partial structure or a functional group can be obtained. a diffusing acid which is highly suitable for use as an acid generator as represented by the above formula (2) in which an acid is generated, and a repeating compound represented by the above formula (1) As a result of the combination of the polymer compounds of the unit, a photoresist material having excellent line sidewall uniformity and analytical performance can be obtained, and thus the present invention has been completed.

樹脂成份(A)之較佳構成中之具體例如以下之例示Specific examples of the preferred composition of the resin component (A) are exemplified below

,但並非限定於此。 【化6】But it is not limited to this. 【化6】

(S> -15- 1375122 【化7】(S> -15- 1375122 [Chem. 7]

< s> -16- 1375122 【化8】<s> -16- 1375122

本發明之樹脂成份(A)的重量平均分子量, 滲透色層分析(GPC )所得之聚苯乙烯換算値時j 〜50, 〇〇〇,特別是以2,0〇〇〜30,000爲佳。 又,上述樹脂成份(A),可將各重複單位月 (甲基)丙烯酸酯衍生物單體依自由基聚合法等4 法進行共聚合而製得,後述實施例之高分子化合宋 任一種皆可以所使用之(甲基)丙烯酸酯衍生物| 由基聚合等一般方法進行聚合、合成。 感應活性光線或放射線而發生酸之化合物( -17- 依凝膠 1,000 對應之 知之方 ,無論 體經自 )中, 1375122 較佳構成內容之具體例,例如以下所例示之內容,但並非 限定於此。 ί化9】The weight average molecular weight of the resin component (A) of the present invention is preferably from 0.001 to 30,000, particularly preferably from 2,0 Å to 30,000, in terms of polystyrene conversion by osmotic chromatography analysis (GPC). Further, the resin component (A) can be obtained by copolymerizing each repeating unit (month) (meth) acrylate derivative monomer by a radical polymerization method or the like, and any of the polymer compounds of the examples described later can be used. The (meth) acrylate derivative which can be used is polymerized and synthesized by a general method such as radical polymerization. In the case of a compound which induces an active light or a radiation to generate an acid ( -17 - according to the knowledge of the gel 1,000, regardless of the body), 1375122 is preferably a specific example of the composition, for example, but is not limited thereto. this.化化9]

< S > -18 - 1375122 【化1 ο】< S > -18 - 1375122 [Chemical 1 ο]

本發明之光阻材料中,除上述通式(1)所表示之高 分子化合物所形成之樹脂成份(A)以外,可再添加其他 樹脂成份。 其中’所述之與樹脂成份(A )不同之其他樹脂成份 ,例如下述式(R1)及/或下述式(R2)所表示之重量 平均分子量1,〇〇〇〜1〇0,000,較佳爲3,000〜3 0,000之高 分子化合物等,但並非限定於此。又,上述重量平均分子 <S> -19- 1375122In the photoresist of the present invention, in addition to the resin component (A) formed by the high molecular compound represented by the above formula (1), another resin component may be further added. The other resin component different from the resin component (A), for example, the weight average molecular weight represented by the following formula (R1) and/or the following formula (R2) is 〇〇〇~1〇0,000, It is preferably a polymer compound of 3,000 to 30,000, etc., but is not limited thereto. Further, the above weight average molecule <S> -19-1375122

量係指凝膠滲透色層分析(GPC )所得之聚苯乙烯換算値The amount refers to the polystyrene conversion obtained by gel permeation chromatography (GPC)値

(R2) 式中,rG()1爲氫原子、甲基或ch2co2rQ()3。 RQQ2爲氫原子、甲基或C02RM3。 RQQ3爲碳數1至15之直鏈狀、分支狀或環狀烷基。 具體而言例如甲基、乙基、丙基、異丙基、η - 丁基、sec _ 丁基、tert - 丁基、tert—戊基、η —戊基、n_己基、環 戊基、環己基、乙基環戊基、丁基環戊基、乙基環己基、 -20- 1375122 丁基環己基、金剛烷基、乙基金剛烷基、丁基金剛烷基等(R2) wherein rG()1 is a hydrogen atom, a methyl group or a ch2co2rQ()3. RQQ2 is a hydrogen atom, a methyl group or a C02RM3. RQQ3 is a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms. Specifically, for example, methyl, ethyl, propyl, isopropyl, η-butyl, sec-butyl, tert-butyl, tert-pentyl, η-pentyl, n-hexyl, cyclopentyl, Cyclohexyl, ethylcyclopentyl, butylcyclopentyl, ethylcyclohexyl, -20-1375122 butylcyclohexyl, adamantyl, ethyladamantyl, butylhydroxyalkyl, etc.

RM4爲氫原子或碳數1至15之含有由含氟取代基、 羧基及羥基所選出之1種以上的1價烴基,具體而言例如 氫原子、羧乙基、羧丁基、羧環戊基、羧環己基、羧降冰 片烷基、羧金剛烷基、羥乙基、羥丁基、羥環戊基、羥環 己基、羥降冰片烷基、羥金剛烷基、[2,2,2 _三氟_ 1 _羥 基一 1 一 (三氟甲基)乙基]環己基、雙[2,2,2 —三氟一 1 一 羥基一 1 一(三氟甲基)乙基]環己基等。 R〇〇5至Ro〇8中至少1個爲羧基,或碳數1至15之含 有由含氟取代基 '羧基及羥基所選出之至少1種的1價烴 基,其他爲各自獨立之氫原子或碳數1至15之直鏈狀、 分支狀或環狀之烷基,碳數1至15之含有由含氟取代基 、羧基及羥基所選出之1種的1價烴基之具體例如,羧甲 基、羧乙基、羧丁基、羥甲基、羥乙基、羥丁基、2_羧 乙氧羰基、4 -羧丁氧羰基、2_羥乙氧羰基、4_羥丁氧 羰基、羧環戊氧基羰基、羧環己氧基羰基、羧降冰片烷氧 基羰基、羧金剛烷氧基羰基、羥環戊氧基羰基、羥環己氧 基羰基、羥降冰片烷氧基羰基、羥金剛烷氧基羰基、 [2,2,2—三氟_1—羥基_1_ (三氟甲基)乙基]環己基氧 羰基 '雙[2,2,2-三氟一1—羥基一1_ (三氟甲基)乙基] 環己基氧羰基等。 碳數1至15之直鏈狀、分支狀或環狀烷基之具體例 如與RM3所示之內容相同。 -21 - 1375122 R005至R008 (其中之2種,例如R0。5與R006、R006 與R0()7、Ro〇7與R〇〇8等)可相互鍵結並與其鍵結之碳原 子共同形成環,此時至RflQ8中至少1個爲碳數1至 15之含有由含氟取代基、羧基、羥基所選出的至少丨種 之基的2價烴基’其他部份爲各自獨立之單鍵、氫原子、RM4 is a hydrogen atom or a monovalent hydrocarbon group having a carbon number of 1 to 15, which is selected from a fluorine-containing substituent, a carboxyl group and a hydroxyl group, and specifically, for example, a hydrogen atom, a carboxyethyl group, a carboxybutyl group, or a carboxycyclopentane group. Carboxylcyclohexyl, carboxynorbornyl, carboxyadamantyl, hydroxyethyl, hydroxybutyl, hydroxycyclopentyl, hydroxycyclohexyl, hydroxynorbornyl, hydroxyadamantyl, [2,2, 2 _Trifluoro_ 1 _hydroxy-l-(trifluoromethyl)ethyl]cyclohexyl, bis[2,2,2-trifluoro-l-hydroxy-l-(trifluoromethyl)ethyl]cyclo Heji and so on. At least one of R〇〇5 to Ro〇8 is a carboxyl group, or a monovalent hydrocarbon group having a carbon number of 1 to 15 and having at least one selected from a fluorine-containing substituent 'carboxyl group and a hydroxyl group, and the other are independent hydrogen atoms. Or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, and a specific number of monovalent hydrocarbon groups having 1 to 15 carbon atoms selected from a fluorine-containing substituent, a carboxyl group and a hydroxyl group, for example, a carboxyl group. Methyl, carboxyethyl, carboxybutyl, hydroxymethyl, hydroxyethyl, hydroxybutyl, 2-carboxycarbonylcarbonyl, 4-carboxybutoxycarbonyl, 2-hydroxyethoxycarbonyl, 4-hydroxybutoxycarbonyl Carboxycyclopentyloxycarbonyl, carboxycyclohexyloxycarbonyl, carboxynorbornyloxycarbonyl, carboxyadamantyloxycarbonyl, hydroxycyclopentyloxycarbonyl, hydroxycyclohexyloxycarbonyl, hydroxynorbornyloxy Carbonyl, hydroxyadamantyloxycarbonyl, [2,2,2-trifluoro_1-hydroxy-1-(trifluoromethyl)ethyl]cyclohexyloxycarbonyl 'bis[2,2,2-trifluoro-1 —Hydroxy-l-(trifluoromethyl)ethyl]cyclohexyloxycarbonyl and the like. Specific examples of the linear, branched or cyclic alkyl group having 1 to 15 carbon atoms are the same as those shown in RM3. -21 - 1375122 R005 to R008 (two of which, for example, R0.5 and R006, R006 and R0()7, Ro〇7 and R〇〇8, etc.) may be bonded to each other and form a carbon atom bonded thereto. Ring, at this time, at least one of RflQ8 is a divalent hydrocarbon group having a carbon number of 1 to 15 containing at least a fluorene group selected from a fluorine-containing substituent, a carboxyl group, and a hydroxyl group, and the other portions are independent single bonds, A hydrogen atom,

或碳數1至15之直鏈狀、分支狀或環狀之伸烷基。碳數 1至15之含有由含氟取代基、羧基、羥基所選出至少1 種之基的2價烴基,具體之例如上記含有由含氟取代基、 羧基、羥基所選出至少1種之基的1價烴基所例示之內容 中去除1個氫原子者;碳數1至15之直鏈狀、分支狀或 環狀伸烷基之具體例如R^3所例示之內容。 RQQ9爲碳數3至15之含有- C02-部份構造之1價烴基 ,具體而言例如2—酮基氧雜五環一 3-基、4,4—二甲基 -2-酮基氧雜五環一 3_基、4_甲基_2 -酮基噁烷-4 -基、2 -酮基-1,3 —二氧雜五環4 —基、5 -甲基-2 —二氧五圜環一5—基等。 R〇"至R013中至少1個爲碳數2至15之含有-C02-部 份構造之1價烴基,其他各自獨立爲氫原子或碳數1至 15之直鏈狀、分支狀或環狀烷基;碳數2至15之含 有-C02-部份構造之1價烴基,其具體例如2—酮基氧雜 五環一 3—基氧羰基、4,4 一二甲基—2 —酮基氧雜五環-3 一基氧羰基、4 一甲基—2—酮基噁烷一 4 一基氧羰基、2 — 羰基一 1,3—二氧雜五環—4 一基甲基氧羰基、5_甲基一 2 一酮基氧雜五環-5—基氧羰基等。碳數1至15之直鏈狀 -22- 1375122 、分支狀或環狀烷基,其具體例示例如與所示之內 容相同。Or a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms. a divalent hydrocarbon group having at least one selected from the group consisting of a fluorine-containing substituent, a carboxyl group and a hydroxyl group, and having, for example, a group having at least one selected from a fluorine-containing substituent, a carboxyl group and a hydroxyl group; The one exemplified in the case of the monovalent hydrocarbon group is one hydrogen atom removed; the specific one of the linear, branched or cyclic alkyl group having 1 to 15 carbon atoms is exemplified by R^3. RQQ9 is a monovalent hydrocarbon group having a C02-partial structure having a carbon number of 3 to 15, specifically, for example, 2-ketooxaoxapenta-3-yl, 4,4-dimethyl-2-ketooxyl Heteroquinone- 3-yl, 4-methyl-2-keto-oxo-4-yl, 2-keto-1,3-dioxapentacyclyl 4-yl, 5-methyl-2-di Oxygen quinone ring 5-base and the like. At least one of R〇" to R013 is a monovalent hydrocarbon group having a carbon number of 2 to 15 containing a -C02-partial structure, and each of the other independently is a hydrogen atom or a linear, branched or cyclic carbon number of 1 to 15. An alkyl group; a carbon number of 2 to 15 containing a -C02-partially structured monovalent hydrocarbon group, which is specifically, for example, 2-ketooxaoxapenta-3-yloxycarbonyl, 4,4-dimethyl-2-( Ketoyloxapenta-3-yloxycarbonyl, 4-methyl-2-keto-oxo-cyclo-4-yloxycarbonyl, 2-carbonyl-1,3-dioxapenta-4-ylmethyl Oxycarbonyl, 5-methyl-2-oxooxaoxapenta-5-yloxycarbonyl, and the like. The linear form of -22 to 1375122 having a carbon number of 1 to 15, a branched or cyclic alkyl group, and specific examples thereof are the same as those shown.

R〇丨〇至R013 (其中之2種,例如R0丨0與R0丨丨、R01 1 與Rfll2、R()l2與RG13等)可相互鍵結並與其鍵結之碳原 子共同形成環,此時RQ1Q至R°13中至少1個爲碳數1至 15之含有-C02-部份構造之2價烴基,其他爲各自獨立之 單鍵、氫原子或碳數1至15之直鏈狀、分支狀或環狀之 烷基;碳數1至15之含有-C02-部份構造之2價烴基,其 具體例如1 一酮基—2-氧雜丙烷-1,3 —二基、1,3 -二酮 基-2—氧雜丙烷一l,3-二基、1-酮基-2—氧雜丁烷-1,4一二基、1,3 —二酮基一 2—氧雜丁烷—1,4一二基等以 外,例如由上述含有-C02-部份構造之1價烴基中所例示 之取代基中去除1個氫原子後所得之取代基等:碳數1至 15之直鏈狀、分支狀或環狀之伸烷基之具體例示例如 R^3所示內容中去除1個氫原子之內容等。 R014爲碳數7至15之多環式烴基或含多環式烴基之 烷基,具體之例如降冰片烷基、二環[3.3.1]壬基、三環 [5.2.1.02’6]癸基、金剛烷基、乙基金剛烷基、丁基金剛烷 基、降冰片烷基甲基、金剛烷基甲基等。 RG15爲酸不穩定基,其具體例將於後述。 RQ 16爲氫原子或甲基。 RG 17爲碳數1至8之直鏈狀、分支狀或環狀之烷基, 具體而言’例如甲基、乙基、丙基、異丙基、η - 丁基、 sec — 丁基、tert — 丁基、tert —戊基、η~戊基、η—己基R〇丨〇 to R013 (two of them, for example, R0丨0 and R0丨丨, R01 1 and Rfll2, R()l2 and RG13, etc.) may be bonded to each other and form a ring together with the carbon atoms bonded thereto. At least one of RQ1Q to R°13 is a divalent hydrocarbon group having a carbon number of 1 to 15 containing a -C02-partial structure, and the others are each a single bond, a hydrogen atom or a linear chain having a carbon number of 1 to 15, a branched or cyclic alkyl group; a carbon number of 1 to 15 containing a -C02-partially structured divalent hydrocarbon group, which is specifically, for example, a monoketo-2-oxopropane-1,3-diyl group, 3-diketo-2-oxapropane-l,3-diyl, 1-keto-2-oxobutane-1,4-diyl, 1,3-dione- 2-oxo In addition to the butane-1, 4-diyl group and the like, for example, a substituent obtained by removing one hydrogen atom from the substituent exemplified in the above-mentioned monovalent hydrocarbon group having a -C02-part structure: carbon number 1 to 15 A specific example of the linear, branched or cyclic alkyl group as shown in R^3 is a content in which one hydrogen atom is removed. R014 is a polycyclic hydrocarbon group having 7 to 15 carbon atoms or an alkyl group having a polycyclic hydrocarbon group, and specifically, for example, norbornyl group, bicyclo[3.3.1]fluorenyl group, tricyclo[5.2.1.02'6]癸Alkyl, adamantyl, ethyladamantyl, butyl myristyl, norbornylmethylmethyl, adamantylmethyl, and the like. RG15 is an acid labile group, and specific examples thereof will be described later. RQ 16 is a hydrogen atom or a methyl group. RG 17 is a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, specifically, 'for example, methyl group, ethyl group, propyl group, isopropyl group, η-butyl group, sec-butyl group, Tert — butyl, tert-pentyl, η-pentyl, η-hexyl

< S -23- 1375122 、環戊基、環己基等。 X爲-ch2或氧原子。 k爲0或1。 R 5之酸不穩定基,可作各種選擇,例如可被後述之 光:酸產生劑所發生之酸而被去保護之基,其亦可使用以往 之光阻材料’特別是增強化學型光阻材料中所使用之公知 的任一酸不穩定基,具體而言例如下記式(L1)至(L4 φ )所示之基,碳數4至20、較佳爲4至15之三級烷基, 各烷基爲碳數1至6之三烷基矽烷基,碳數4至20之氧 代烷基等。 【化1 2】<S -23- 1375122, cyclopentyl, cyclohexyl and the like. X is -ch2 or an oxygen atom. k is 0 or 1. The acid labile group of R 5 can be variously selected, for example, a group which can be deprotected by an acid generated by an acid generator described later, and a conventional photoresist material can be used, in particular, an enhanced chemical type light. Any of the well-known acid-labile groups used in the resist material, specifically, for example, a group represented by the following formulae (L1) to (L4 φ), a tetraalkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms. The alkyl group is a trialkylsulfonyl group having 1 to 6 carbon atoms, an oxoalkyl group having 4 to 20 carbon atoms, and the like. [1 2]

上述式中,虛線爲連結鍵。式(L1)中,Rlgi、RL()2 爲氫原子或碳數1至18,較佳爲1至10之直鏈狀、分支 狀或環狀烷基,具體例如氫原子、甲基、乙基、丙基、異 丙基' η — 丁基、sec - 丁基、tert- 丁基、環戊基、環己 基、2-乙基己基、η—辛基、金剛烷基等;R1^3爲碳數1 至18,較佳爲1至10之可含有氧原子等雜原子之1價烴 基、直鏈狀、分支狀或環狀之烷基,或其氫原子之一部份 < S> -24- 1375122 可被羥基、烷氧基、氧代基、胺基、烷胺基所取代% t胃 體而言,直鏈狀、分支狀或環狀之烷基例如與上述rL01、 Rm爲相同之內容,取代烷基例如下述之基等。 【化1 3】In the above formula, the broken line is a link key. In the formula (L1), Rlgi and RL()2 are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10, specifically, for example, a hydrogen atom, a methyl group or a methyl group. Base, propyl, isopropyl 'n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, η-octyl, adamantyl, etc.; R1^3 a monovalent hydrocarbon group, a linear, branched or cyclic alkyl group having a carbon number of 1 to 18, preferably 1 to 10, which may contain a hetero atom such as an oxygen atom, or a part of a hydrogen atom thereof <S> -24- 1375122 may be substituted by a hydroxyl group, an alkoxy group, an oxo group, an amine group, an alkylamine group, a linear, branched or cyclic alkyl group, for example, with the above rL01, Rm For the same content, a substituted alkyl group such as the following group or the like is used. 【化1 3】

OHOH

-OH ----OH ---

RL01 與 RL02、RLG1 與 RLG3、RLG2 與 RL03 可相互鍵結 並與其所鍵結之碳原子或氧原子共同形成環亦可,形成環 之情形時’ RLQ1、RLQ2、RLG3分別爲碳數1〜18,較佳爲 碳數1〜10之直鏈狀或分支狀之伸烷基。 式(L2)中,RLQ4爲碳數4至20、較佳爲4至15之 三級烷基、各烷基各自爲碳數1至6之三烷基矽烷基、碳RL01 and RL02, RLG1 and RLG3, and RLG2 and RL03 may be bonded to each other and form a ring together with the carbon or oxygen atom to which they are bonded. In the case of forming a ring, 'RLQ1, RLQ2, and RLG3 are carbon numbers 1 to 18, respectively. Preferably, it is a linear or branched alkyl group having a carbon number of 1 to 10. In the formula (L2), RLQ4 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15, and each alkyl group is a trialkylsulfanyl group having 1 to 6 carbon atoms, carbon.

數4至20之氧代烷基或上述式(L1)所示之基;三級烷 基之具體例如tert_ 丁基、tert —戊基、1,1_二乙基丙基 、2_環戊基丙院一 2_基、2—環己基丙院—2-基、2 — (二環[2.2.1]庚院一 2-基)丙院一 2_基、2—(金剛院 —1_基)丙烷—2—基、2—(三環[5_2.1.02,6]癸基一8_ 基)丙烷_2_基、2_(四環[4.4.0.12,5.17’|()]十二環—3 _基)丙烷一 2_基、1_乙基環戊基、1_ 丁基環戊基、1 —乙基環己基、1— 丁基環己基、1 一乙基—2 —環戊嫌基 、1-乙基一2 —環己烯基、2 —甲基一2 —金剛烷基、2 —a oxoalkyl group of 4 to 20 or a group represented by the above formula (L1); specific examples of the tertiary alkyl group such as tert_butyl, tert-pentyl, 1,1-diethylpropyl, 2-cyclopentyl基丙院一2_基, 2-cyclohexylpropyl propyl 2-base, 2 - (bicyclo[2.2.1] Gengyuanyi 2-base) 丙院一二_基, 2—(金刚院-1 _ base) propane-2-yl, 2-(tricyclo[5_2.1.02,6]decyl-8-yl)propane_2_yl, 2_(tetracyclo[4.4.0.12,5.17'|()]12 Cyclo-3-yl)propane-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclohexyl Sodium, 1-ethyl-2-cyclohexenyl, 2-methyl-2-alantayl, 2 —

< S 乙基一2 —金剛烷基、8 -甲基一 8 —三環[5.2.1_〇2>6]癸基 -25- 1375122 、8 —乙基一8 —三環[5·2_1·02,6]癸基、3—甲基一3 —四環 [4.4.0·12’5·17’|()]十二烷基、3 — 乙基一 3 —四環 [HO.l2’5.〗7’1”十二烷基等例示,三烷基矽烷基,具體之 例示如三甲基矽烷基、三乙基矽烷基、二甲基_ tert — 丁 基矽烷基等,氧代烷基之具體例示如3 -氧代環己基、4 一甲基_2—氧代噁烷_4_基、5-甲基一2 —氧代環戊烷 一 5_基等。y爲〇〜6之整數。< S Ethyl-2-Adamantyl, 8-methyl-8-tricyclo[5.2.1_〇2>6]decyl-25- 1375122, 8-ethyl-8-tricyclic [5· 2_1·02,6] fluorenyl, 3-methyl- 3 -tetracyclo[4.4.0·12'5·17'|()] dodecyl, 3-ethyl- 3 -tetracyclic [HO. L2'5. 〗 7'1" dodecyl group and the like, a trialkylsulfanyl group, specifically exemplified by a trimethyl decyl group, a triethyl decyl group, a dimethyl _ tert - butyl fluorenyl group, etc. Specific examples of the oxoalkyl group are, for example, 3-oxocyclohexyl, 4-methyl-2-oxooxane-4-yl, 5-methyl-2-oxocyclopentane-5-yl, etc. It is an integer of 〇~6.

式(L3)中,RLG5爲碳數1至1〇之可被取代之直鏈 狀、分支狀或環狀之烷基或碳數6至20之可被取代之芳 基,可被取代之烷基,例如甲基、乙基、丙基、異丙基、 η — 丁基、sec — 丁基、tert— 丁基、tert —戊基、η —戊基 、η_己基、環戊基、環己基、二環[2.2.1]庚基等直鏈狀 、分支狀或環狀烷基,其氫原子之一部份可被羥基、烷氧 基、羧基、烷氧羰基、氧代基、胺基、烷胺基、氰基、氫 硫基、烷硫基、磺基等所取代者,或其伸甲基之一部份可 被氧原子或硫原子所取代者;可被取代之芳基之具體例示 如苯基、甲基苯基、萘基、蒽基、菲基、芘基等。m爲0 或1,η爲〇、1、2、3中之任一數,且爲滿足2m + n = 2或 3之數目。 式(L4)中,RU6爲碳數1至1〇之可被取代之直鏈 狀、分支狀或環狀之烷基或爲碳數6至20之可被取代之 芳基,其具體例如與妒05相同內容者。RLQ7至R1·16爲各 自獨立之氫原子或碳數1至15之1價烴基,具體而言例 如氫原子、甲基 '乙基、丙基、異丙基、η — 丁基、sec —In the formula (L3), RLG5 is a linear, branched or cyclic alkyl group which may be substituted with a carbon number of 1 to 1 Å or an aryl group which may be substituted with a carbon number of 6 to 20, which may be substituted. Base, for example, methyl, ethyl, propyl, isopropyl, η-butyl, sec-butyl, tert-butyl, tert-pentyl, η-pentyl, η-hexyl, cyclopentyl, ring a linear, branched or cyclic alkyl group such as a hexyl or bicyclo[2.2.1]heptyl group, a part of which may be a hydroxyl group, an alkoxy group, a carboxyl group, an alkoxycarbonyl group, an oxo group or an amine group. a group substituted with an alkyl group, an alkylamino group, a cyano group, a thiol group, an alkylthio group, a sulfo group, or the like, or a part of a methyl group thereof may be substituted by an oxygen atom or a sulfur atom; an aryl group which may be substituted Specific examples thereof include a phenyl group, a methylphenyl group, a naphthyl group, an anthracenyl group, a phenanthryl group, an anthryl group and the like m is 0 or 1, and η is any one of 〇, 1, 2, 3, and is a number satisfying 2m + n = 2 or 3. In the formula (L4), RU6 is a linear, branched or cyclic alkyl group which may be substituted with a carbon number of 1 to 1 Å or an aryl group which may be substituted with a carbon number of 6 to 20, which is specifically, for example,妒05 the same content. RLQ7 to R1·16 are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, specifically, for example, a hydrogen atom, a methyl group, an ethyl group, a propyl group, an isopropyl group, an η-butyl group, and a sec group.

< S -26-< S -26-

1375122 丁 基 tert -丁基 ' tert — 戊基、 •η -戊 基 、 η - -己3 辛 基 η —壬基、η 癸基 、環戊 基 、環 己 基 、 環戊 環 戊 乙 基、 環戊丁 基 、環 己甲基 、 環己 乙 基 環己 直 鏈 狀 、分 支狀或 環 狀之 烷基, 其 氫原 子 之 —· 部份 基 烷 氧基 、羧基 、 烷氧 羰基、 氧 代基 ' 胺 基 '院 氰 基 、氫 硫基、 烷 硫基 、磺基 等 所取 代 者 1 rL07 其 中 2 種可 相互鍵 結 ,並 與其鍵 結 之碳 原 子 共 同形 例如 9 RL07 與 RL08、 rL07 與 RL09 、rlo 8與 R1 -1 〇 % Rl 10 > rL11 與RL1 2、 rL13 與rl ,14 等) > 此 時 >與 成有關之基爲碳數1至15之2價烴基,具體之例 記1價烴基所例示之內容中去除1個氫原子所得者 rUW至Ru6於相鄰接之碳進行鍵結時可無須夾有 子而鍵結,形成雙鍵(例如RLQ7與rl()9、rL()9與 RL13 與 RL15 等)。 上式(L1)所示酸不穩定基中,具有直鏈狀或 之取代基之具體例如下述之基。 甲基、 丁基等 可被羥 基胺基 至Rl" 成環( RL〇9 與 環之形 示如上 :又, 其他原 RL15、 分支狀 [化1 4】1375122 butyl tert-butyl 'tert — pentyl, η-pentyl, η--hexyl 3 octyl η-fluorenyl, η fluorenyl, cyclopentyl, cyclohexyl, cyclopentacyclopentyl, ring a linear, branched or cyclic alkyl group of pentylbutyl, cyclohexylmethyl, cyclohexylcyclohexyl, a hydrogen atom thereof, a partial alkoxy group, a carboxyl group, an alkoxycarbonyl group, an oxo group 'Amine', substituted by cyano, thiol, alkylthio, sulfo, etc. 1 rL07 Two of them can be bonded to each other and form a carbon atom with their bond, for example, 9 RL07 and RL08, rL07 and RL09 , rlo 8 and R1 -1 〇% Rl 10 > rL11 and RL1 2, rL13 and rl, 14 etc.) > At this time, the base associated with the formation is a divalent hydrocarbon group having a carbon number of 1 to 15, specific example In the case where the monovalent hydrocarbon group is exemplified, the one obtained by removing one hydrogen atom, rUW to Ru6, can be bonded to the adjacent carbon to form a double bond without forming a bond (for example, RLQ7 and rl(), rL()9 and RL13 and RL15, etc.). In the acid labile group represented by the above formula (L1), specific examples of the linear or substituent are, for example, the following groups. Methyl, butyl, etc. may be hydroxyamino group to Rl" ring (RL 〇 9 and ring form as above: again, other original RL15, branched [Chemical 1 4]

< S > -27- 1375122 上述式(L1)所示酸不穩定基中之環狀取代基之具體 例如四氫呋喃一 2—基、2—甲基四氫呋喃一 2 —基、四氫 耻喃_2_基、2 —甲基四氫吡喃一 2 —基等。<S > -27- 1375122 Specific examples of the cyclic substituent in the acid labile group represented by the above formula (L1), for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran 2_yl, 2-methyltetrahydropyran-2-yl and the like.

上述式(L2)所示酸不穩定基中之具體例如tert_y 氧羰基、tert — 丁氧羰甲基、tert —戊氧羰基、tert_戊氧 羰甲基、1,1-二乙基丙氧羰基、1;1 一二乙基丙氧羰甲基 、1—乙基環戊基氧羰基、1 一乙基環戊基氧羰甲基、1 — 乙基一2 —環戊烯氧羰基 ' 丨―乙基—2_環戊烯氧羰甲基 、1 一乙氧乙氧羰甲基' 2_四氫吡喃氧羰甲基、2 —四氫 呋喃氧羰甲基等。 述式(L3)所示酸不穩定基之具體例示如1—甲基 環戊基、丨―乙基環戊基、1一 n_丙基環戊基、1—異丙基 環戊基、1— n — 丁基環戊基、丨—sec — 丁基環戊基、1 — 環己基環戊基、1 一(4_甲氧基丁基)環戊基、1_ (二 環[2.2.1]庚烷—2_基)環戊基、1一 (7_氧雜二環 [2.2·1]庚烷一2 —基)環戊基、1 一甲基環己基、1 一乙基 環己基、1—甲基_2 一環戊烯基、丨一乙基一 2 —環戊烯基 、1—甲基一2 —環己烯基、1—乙基—2 —環己烯基等。 上述式(L4)所示酸不穩定基之具體例示如下述式( L4·1 )至(L4-4 )所示之基爲佳。 【化1 5】Specific examples of the acid labile group represented by the above formula (L2) include, for example, tert_y oxycarbonyl, tert-butoxycarbonylmethyl, tert-pentyloxycarbonyl, tert-pentyloxycarbonylmethyl, 1,1-diethylpropoxy Carbonyl, 1;1-diethylpropoxycarbonylmethyl, 1-ethylcyclopentyloxycarbonyl, 1-ethylcyclopentyloxycarbonylmethyl, 1-ethyl-2-cyclopentenyloxycarbonyl丨-ethyl-2_cyclopenteneoxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl ' 2 -tetrahydropyranyloxycarbonylmethyl, 2-tetrahydrofuranoxycarbonylmethyl and the like. Specific examples of the acid labile group represented by the formula (L3) are, for example, 1-methylcyclopentyl, oxime-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 丨-sec-butylcyclopentyl, 1-cyclohexylcyclopentyl, 1-(4-methoxybutyl)cyclopentyl, 1-(bicyclo[2.2. 1] heptane-2-yl)cyclopentyl, 1-(7-oxabicyclo[2.2.1]heptane-2-yl)cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclo Hexyl, 1-methyl-2-cyclopentenyl, indenyl-2-cyclopentenyl, 1-methyl-2-cyclohexenyl, 1-ethyl-2-cyclohexenyl, and the like. Specific examples of the acid labile group represented by the above formula (L4) are preferably those represented by the following formulas (L4·1) to (L4-4). [化1 5]

(U->) (L4-2) (L4-3) (L44) -28 1375122 上述通式(L4-1)〜(L4-4)中,虛線爲鍵結 . 鍵結方向。RL41係分別獨立表示碳數1〜1〇之直鏈 支狀或環狀烷基等一價烴基,具體例有甲基 '乙基 、異丙基、η - 丁基、sec_ 丁基、tert - 丁基、tert 、η —戊基、η —己基、環戊基、環己基等。 上述通式(L4-1 )〜(L4-4 )可以鏡像異1 enantiomer)或非鏡像異構物(diastereomer)存在 φ 上述通式(L4-l )〜(L4-4 )代表該立體異構物之 該立體異構物可單獨使用或以混合物形式使用。 例如’上述通式(L4-3 )係代表選自下述式( 位置及 狀、分 、丙基 -戊基 冓物( ,但是 全部。 L4-3-1(U->) (L4-2) (L4-3) (L44) -28 1375122 In the above formula (L4-1) to (L4-4), the broken line is a bond. The bonding direction. RL41 each independently represents a monovalent hydrocarbon group such as a linear branched or cyclic alkyl group having a carbon number of 1 to 1 Å, and specific examples thereof include methyl 'ethyl, isopropyl, η-butyl, sec-butyl, tert- Butyl, tert, η-pentyl, η-hexyl, cyclopentyl, cyclohexyl and the like. The above formula (L4-1)~(L4-4) may be mirrored as an isoenomer or a diastereomer exists. φ The above formula (L4-l)~(L4-4) represents the stereoisomer The stereoisomers of the materials may be used singly or in the form of a mixture. For example, the above formula (L4-3) represents a formula selected from the group consisting of the following formulas (position and form, fraction, propyl-pentyl carbene (but all. L4-3-1)

)、(L4-3-2)所示之基之1種或2種的混合物。 【化1 6】And a mixture of one or two of the groups represented by (L4-3-2). 【化1 6】

(W-3-» (L4-3-2) 上述通式(L4_4)係代表選自下述式(L4-4 L4-4_4)所示之基之1種或2種以上的混合物。 【化1 7】(W-3-» (L4-3-2) The above formula (L4_4) represents one or a mixture of two or more selected from the group represented by the following formula (L4-4 L4-4_4). 1 7]

(L4-4-1)(L4-4-1)

(L4-4-4) 上述通式(L4-1 ) (L4-4 ) L4-3-1) (L4-3- 2)及式(L4-4-1) L4-4-4 1375122 enantiomer)或鏡像異構物混合物。 上述通式(L4-1)〜(L4-4) 、(L4-3-1) 、(L4-3·(L4-4-4) The above formula (L4-1) (L4-4) L4-3-1) (L4-3- 2) and formula (L4-4-1) L4-4-4 1375122 enantiomer) Or mirror image isomer mixture. The above general formulae (L4-1) to (L4-4), (L4-3-1), (L4-3·

2)及式(L4-4-1)〜(L4-4-4)之鍵結方向爲各自對於 二環[2.2.1]庚烷環爲exo側,可實現酸觸媒脫離反應之高 反應性(參考特開2000-336121號公報)。製造含有具有 前述二環[2 _2·1]庚烷骨架之三級exo-烷基作爲取代基的單 體時,有時含有下述通式(L4-l-endo)〜(L4-4-endo ) 所示之end 〇-烷基所取代的單體,但是爲了實現良好的反 應性時,exo比例較佳爲50%以上,exo比例更佳爲80% 以± » 【化1 8】2) The bonding direction of the formula (L4-4-1)~(L4-4-4) is the exo side for the bicyclo[2.2.1] heptane ring, which can achieve high reaction of the acid catalyst desorption reaction. (Ref. JP-A-2000-336121). When a monomer containing a tertiary exo-alkyl group having the above-mentioned bicyclo[2 _2·1]heptane skeleton as a substituent is produced, it sometimes contains the following formula (L4-l-endo)~(L4-4- Endo ) The monomer substituted by the end 〇-alkyl group shown, but in order to achieve good reactivity, the exo ratio is preferably 50% or more, and the exo ratio is preferably 80% to ± (1)

(L4-l-cndo) (L4-2-endo) (L4-3-endo) (L44-endo)(L4-l-cndo) (L4-2-endo) (L4-3-endo) (L44-endo)

上述式(L4)之酸不穩定基例如有下述之基 【化1 9】The acid labile group of the above formula (L4) has, for example, the following group.

、卢、户走, Lu, households

又,碳數4〜20的三級烷基、各烷基分別表示碳數1 〜6的三烷基矽烷基、碳數4〜20的氧代烷基例如有與 RU4所例舉之相同者。 -30- 1375122 R〇16爲氫原子或甲基。17爲碳數1〜8之直鏈狀、 分支狀或環狀之烷基。 al,、 a2,' a3,、 bl,、 b2’、 b3’、 cl’、 c2’、 c3’、 dl’ 、d2’、d3,、e’爲0以上未達1之數,且爲滿足al’+a2’ + a3,+ bl,+ b2,+ b3,+ cl,+ c2,+ c3’+ dl’+ d2’+ d3’ +Further, the tertiary alkyl group having 4 to 20 carbon atoms and each alkyl group each represent a trialkylsulfanyl group having 1 to 6 carbon atoms and an oxyalkyl group having 4 to 20 carbon atoms, for example, the same as those exemplified for RU4. . -30- 1375122 R〇16 is a hydrogen atom or a methyl group. 17 is a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Al, a2, ' a3, bl, b2', b3', cl', c2', c3', dl', d2', d3, and e' are 0 or more and less than 1, and are satisfied Al'+a2' + a3,+ bl,+ b2,+ b3,+ cl,+ c2,+ c3'+ dl'+ d2'+ d3' +

e,=l。f,、g,、h,、i,、j,、〇,、P,爲 〇 以上未達 1 之數 ,且爲滿足 f’+g’+h’+i’ + j,+ 〇’ + P’=l。X’、y,、Z,爲 Ο 〜3 之整數,且滿足 l$x’+y’+z’S5,lSy’+z’$3。 (Rl) 、(R2)之各重複單位可同時導入2種類以 上亦可。各重複單位於使用複數單位時,於作爲光阻材料 之際可調整其性能。 又,上述各單位之和爲1時,係指於含有各重複單位 之高分子化合物中,該重複單位之合計量相對於全重複單 位之合計量爲1 00莫耳%。 於上述式(R1)中導入組成比al,,及於式(r2)中 # 導入組成比f’之重複單位的具體例示例如下所示者,但並 非限定於此。 【化2 0】e, = l. f, g, h, i, j, 〇,, P, is the number less than 1 above 〇, and satisfies f'+g'+h'+i' + j, + 〇' + P'=l. X', y, and Z are integers of 〜 〜3 and satisfy l$x'+y'+z'S5, lSy'+z'$3. Each of the repeating units (R1) and (R2) can be simultaneously introduced into two or more types. Each repeating unit can adjust its performance when used as a photoresist material when using a complex unit. Further, when the sum of the above units is 1, it means that the total amount of the repeating units in the polymer compound containing each repeating unit is 100% by mole based on the total of the repeating units. An example of a specific example in which the composition ratio al is introduced in the above formula (R1) and the repeating unit in which the composition ratio f' is introduced in the formula (r2) is as follows, but is not limited thereto. [化2 0]

< S > -31 - 1375122 【化2 1】< S > -31 - 1375122 [Chem. 2 1]

(V4> (V|) (V-^ (V^ H )=0 H >=0 H )=0 H )=0 HO 0 0 0 H (十 H(+ p ) >-cf3 ) f3C f3c hf2c cf2 f2c-cf2 h 尸υ <:s >-CF3(V4> (V|) (V-^ (V^ H )=0 H >=0 H )=0 H )=0 HO 0 0 0 H (10 H(+ p ) >-cf3 ) f3C f3c Hf2c cf2 f2c-cf2 h corpse <:s >-CF3

F2CF2C

c rVCF2H F3C 〇Hc rVCF2H F3C 〇H

Ho p3C 〇HF3Ho p3C 〇HF3

HH

HH

0 F0 F

上述式(Rl)中,導入組成比bl’導入之重複單位的 具體例示例如以下所示內容,但並非限定於此。In the above formula (R1), a specific example of the introduction of the repeating unit of the composition ratio bl' is as follows, but is not limited thereto.

(S -32- 1375122 【化2 2】(S -32- 1375122 [Chem. 2 2]

c. S> -33- 1375122c. S> -33- 1375122

【化2 4】[Chem. 2 4]

於上述式(R1)中導入組成比dl’,及於式(R2)中 導入組成比g’之重複單位的具體例示例如以下所示內容 ,但並非限定於此。 (S > -34- 1375122An example of a specific example in which the composition ratio d1' is introduced in the above formula (R1) and the repeating unit in which the composition ratio g' is introduced in the formula (R2) is as follows, but is not limited thereto. (S > -34- 1375122

【化2 6】 Η / Η / 竹 ο (^〇 。;〇 °ρ[化2 6] Η / Η / bamboo ο (^〇 。; 〇 °ρ

< S > -35- 1375122 【化2 7】< S > -35- 1375122 [Chem. 2 7]

【化2 8】[化2 8]

1375122 【化2 9】1375122 [Chemical 2 9]

上述式(R1)中,由組成比al’、bl’、cl’、dl’之重 複單位所構成之高分子化合物,具體而言例如以下之例示 ,但並非限定於此。 (5 > -37- 1375122 【化3 Ο】In the above formula (R1), the polymer compound composed of the repeating units of the composition ratios of al', bl', cl', and dl' is specifically exemplified below, but is not limited thereto. (5 > -37- 1375122 [Chemical 3 Ο]

-38- 1375122 【化3 1】-38- 1375122 [Chem. 3 1]

(S > -39- 1375122 【化3 2】(S > -39- 1375122 [Chem. 3 2]

< s> -40 - 1375122 【化3 3】<s> -40 - 1375122 [Chemical 3 3]

上述式(R1)中,由組成比 a2’、b2’、c2’、d2’、e’ 之重複單位所構成之高分子化合物,具體而言例如以下之In the above formula (R1), the polymer compound composed of the repeating units of the composition ratios a2', b2', c2', d2', and e' is specifically, for example, the following

例示,但並非限定於此。 【化3 4 IFor example, it is not limited thereto. [Chemical 3 4 I

-41 - 1375122 【化3 5】-41 - 1375122 [Chem. 3 5]

< S > -42- 1375122 上述式(R1)中,由組成比a3’、b3’、c3’、d3’之重 複單位所構成之高分子化合物,具體而言例如以下之例示 ,但並非限定於此。 【化3 7】<S > -42- 1375122 In the above formula (R1), the polymer compound composed of the repeating units of the composition ratios a3', b3', c3', and d3' is specifically exemplified below, but not Limited to this. [化3 7]

< S > -43- 1375122< S > -43- 1375122

【化3 8】[化3 8]

上述式(R2)之高分子化合物,具體而言例如以下之 例示,但並非限定於此。 -44- 1375122 【化3 9】The polymer compound of the above formula (R2) is specifically exemplified below, but is not limited thereto. -44- 1375122 [Chem. 3 9]

與上述(A )相異之其他高分子化合物之添加量’於 與本發明之上述樹脂成份(A)之合計量爲100質量份之 際,較佳爲〇〜80質量份,更佳爲0〜60質量份,最佳爲 0〜5 0質量份。添加之情形中,以2 0質量份以上,特別 是以3 0質量份以上爲佳。上述其他高分子化合物之添加 量過多時,則本發明之樹脂成份(A)的特徵將無法發揮 -45- 1375122 ,而會導致解析性降低或圖型形狀劣化等問題。又,上述 . 其他高分子化合物不限於1種而可添加2種以上。使用多 數種高分子化合物時,可調整光阻材料之性能。 本發明之光阻材料中,可感應活性光線或放射線而發 生酸之化合物(B),例如可含有上述通式(2)所表示之 鏑化合物以外的成份(B’)。(B’)成份,只要能經由高 能量線照射而發生酸之化合物即可,其可使用以往之光阻 φ 材料,特別是增強化學型光阻材料所使用之公知之任一種 光酸產生劑皆可。較佳之光酸產生劑,例如鏑鹽、碘鑰鹽 、磺醯基重氮甲烷、N—磺醯氧基醯亞胺、肟一 〇—磺酸 酯型酸產生劑等。其將於以下詳述,其可單獨或將2種以 上混合使用。 毓鹽爲鏑陽離子與磺酸酯或雙(取代烷基磺醯基)醯 亞胺、三(取代烷基磺醯基)甲基金屬的鹽,锍陽離子例 如有三苯鏑、(4一 tert — 丁氧苯基)二苯鏑 '雙(4_tert φ -丁氧苯基)苯锍、三(4— tert— 丁氧苯基)锍、(3_ tert — 丁氧苯基)二苯錡、雙(3— tert — 丁氧苯基)苯锍 、二(3 — tert— 丁氧苯基)鏑、(3,4 —二 tert_ 丁氧苯 基)二苯鏑、雙(3,4_二tert— 丁氧苯基)苯鏑、三( 3,4-二tert- 丁氧苯基)毓' 二苯基(4_硫苯氧苯基) • 鏑、(4_tert — 丁氧碳基甲氧苯基)二苯毓、三(4_tert . 一丁氧羰基甲氧苯基)鏑' (4— tert— 丁氧苯基)雙(4 一二甲胺苯基)鏑、三(4 一二甲基胺苯基)銃、2_萘基 —本鏡' —甲基(2—蔡基)蔬、4一趙苯基二甲基鏑、4 -46-The amount of addition of the other polymer compound different from the above (A) is preferably from 〇 to 80 parts by mass, more preferably from 0 to 80 parts by mass, based on 100 parts by mass of the total of the resin component (A) of the present invention. ~60 parts by mass, preferably 0 to 50 parts by mass. In the case of addition, it is preferably 20 parts by mass or more, particularly preferably 30 parts by mass or more. When the amount of the other polymer compound added is too large, the resin component (A) of the present invention may not exhibit the characteristics of -45 to 1375122, which may cause problems such as lowering the resolution or deteriorating the shape of the pattern. In addition, the other polymer compound is not limited to one type, and two or more types may be added. When a large number of polymer compounds are used, the properties of the photoresist material can be adjusted. In the photoresist of the present invention, the compound (B) which can induce active light or radiation to generate an acid, for example, may contain a component (B') other than the oxime compound represented by the above formula (2). The (B') component may be any compound which can generate an acid by irradiation with a high-energy line, and may use a conventional photoresist φ material, in particular, any known photoacid generator used for the reinforced chemical resist material. Can be. Preferred photoacid generators are, for example, phosphonium salts, iodine salts, sulfonyldiazomethane, N-sulfonyloxyimide, anthracene-sulfonate type acid generator, and the like. It will be described in detail below, and it may be used alone or in combination of two or more. The phosphonium salt is a salt of a phosphonium cation and a sulfonate or a bis(substituted alkylsulfonyl) quinone imine, a tri(substituted alkylsulfonyl)methyl metal, and the phosphonium cation is, for example, triphenylsulfonium (4 tert). Butoxyphenyl)diphenylhydrazine 'bis(4_tert φ-butoxyphenyl)phenylhydrazine, tris(4-tert-butoxyphenyl)fluorene, (3_tert-butoxyphenyl)diphenylhydrazine, bis( 3-tert-butoxyphenyl)phenylhydrazine, bis(3-tert-butoxyphenyl)anthracene, (3,4-di-tert-butoxyphenyl)diphenylhydrazine, bis(3,4_ditert- Butyloxyphenyl)benzoquinone, tris(3,4-ditert-butoxyphenyl)anthracene diphenyl (4-thiophenoxyphenyl) • fluorene, (4_tert — butoxycarbonyl methoxyphenyl Diphenyl hydrazine, tris(4_tert. monobutoxycarbonylmethoxyphenyl) fluorene' (4-tert-butoxyphenyl) bis(4-dimethylaminophenyl) fluorene, tris(4-dimethylamine) Phenyl) fluorene, 2_naphthyl-this mirror'-methyl (2-Caiji) vegetable, 4-Zhao phenyl dimethyl hydrazine, 4 -46-

1375122 一甲氧基苯基二甲基锍、三甲基锍、2—氧代環己基 基甲基鏑、三萘基鏑、三苯甲基鏑、二苯基甲基鏡、 基苯基锍、2 -氧代—2 -苯基乙基硫雜環戊鎗、4-氧基萘基—1-硫雜環戊鎗、2_正丁氧基萘基—1 — 環戊鑰等,磺酸酯例如有三氟甲烷磺酸酯、五氟乙烷 酯、九氟丁烷磺酸酯、十二氟己烷磺酸酯、五氟基全 己烷磺酸酯、十七氟辛烷磺酸酯、2,2,2-三氟乙烷 酯、五氟苯磺酸酯、4—(三氟甲基)苯磺酸酯、4-磺酸酯、均三甲苯基磺酸酯、2,4,6 -三異丙基苯磺 、甲苯磺酸酯、苯磺酸酯、4_ (4’一甲苯磺醯氧基 磺酸酯、萘磺酸酯、樟腦磺酸酯、辛烷磺酸酯、十二 苯磺酸酯、丁烷磺酸酯、甲烷磺酸酯、2 -苯甲醯氧 1,1,3,3,3 —五氟丙烷磺酸酯、1,1,3,3,3 —五氟_2— ι 苯基苯甲醯氧基)丙烷磺酸酯、1,1,3,3,3_五氟-2 甲基乙醯氧基丙烷磺酸酯、2 -環己烷羰氧基-1,1, —五氟丙烷磺酸酯、1,1,3,3,3 -五氟-2-呋喃甲醯 丙烷磺酸酯、2 -萘醯氧基—1,1,3,3,3 —五氟丙烷磺 、2 — ( 4 — tert — 丁基苯甲醯氧基)-1,1,3,3,3_ 五 烷磺酸酯、2 -金剛烷羰氧基—1,1,3,3, 3 -五氟丙烷 酯、2_乙醯氧-1,1,3,3,3_五氟丙烷磺酸酯、1,1, —五氟_2_羥基丙烷磺酸酯、1,1,3,3,3_五氟—2 — 磺醯氧基丙烷磺酸酯、1,1—二氟一 2_萘基一乙烷磺 、1,1,2,2_四氣_2—(降冰片院一 2 —基)乙院擴酸 1,1,2,2—四氟一2_(四環[4.4.0.12’5.17’1()]十二一3 — 環己 二甲 正丁 硫雜 磺酸 氟環 磺酸 氟苯 酸酯 )苯 烷基 基一 :4- 3.3.3 氧基 酸酯 氟丙 磺酸 3.3.3 甲苯 酸酯 酯、 烯_ -47- 1375122 8—基)乙烷磺酸酯等,雙(取代烷基磺醯基)醯亞胺例 如有雙三氟甲基擴醯基醯亞胺、雙(五氟乙基磺醯基)醯 亞胺、雙(七氟丙基磺醯基)醯亞胺、全氟(U-丙烯 雙磺醯基)醯亞胺等,三(取代烷基磺醯基)甲基金屬例 如有三氟甲基礎醯基甲基金屬,該組合的锍鹽。1375122 monomethoxyphenyl dimethyl hydrazine, trimethyl hydrazine, 2-oxocyclohexylmethyl hydrazine, trinaphthyl fluorene, trityl hydrazine, diphenylmethyl mirror, phenyl hydrazine , 2-oxo-2-phenylethylthiolane, 4-oxynaphthyl-1-thione, 2-n-butoxynaphthyl-1, cyclopentyl, etc., sulfonate The acid esters are, for example, trifluoromethanesulfonate, pentafluoroethane ester, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoro perhexanesulfonate, heptadecafluorooctanesulfonic acid. Ester, 2,2,2-trifluoroethane ester, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-sulfonate, mesityl mesylate, 2, 4,6-triisopropylbenzenesulfonate, tosylate, benzenesulfonate, 4_(4'-toluenesulfonate sulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate , dodecyl sulfonate, butane sulfonate, methane sulfonate, 2-benzylidene oxide 1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3, 3 - pentafluoro-2-phenylphenyl fluorenyloxypropane sulfonate, 1,1,3,3,3-pentafluoro-2methylethoxypropane sulfonate, 2-cyclohexyl Alkyl carbonyl 1,1,1,pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-furanyl propane sulfonate, 2-naphthyloxyl-1,1,3, 3,3 -pentafluoropropane sulfonate, 2 - ( 4 - tert - butyl benzhydryloxy)-1,1,3,3,3_ pentadecane sulfonate, 2-adamantanecarbonyloxy-1 1,3,3,3-pentafluoropropane ester, 2_acetamoxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,-pentafluoro-2-hydroxypropane sulfonic acid Ester, 1,1,3,3,3-pentafluoro-2,sulfonyloxypropanesulfonate, 1,1-difluoro-2-phenylnaphthalene-ethanesulfone, 1,1,2,2_ Four gas _2—(Ice film institute 2-2 base) B hospital to open acid 1,1,2,2-tetrafluoro- 2_(four-ring [4.4.0.12'5.17'1()] twelve one 3 - ring Hexyl dimethyl n-butyl sulfonate fluorocyclo sulfonate fluorobenzoate phenylalkyl one: 4- 3.3.3 oxyester fluoropropane sulfonic acid 3.3.3 toluate ester, ene _ -47- 1375122 8-alkyl)ethanesulfonate, etc., bis(substituted alkylsulfonyl) quinone imide, for example, bistrifluoromethyl fluorinated imine, bis(pentafluoroethylsulfonyl) fluorene Amine, bis(heptafluoropropylsulfonyl) quinone imine, perfluoro(U-propylene Sulfo acyl) (PEI) and the like, tris (substituted alkylsulfonyl) methyl embodiment, if the metal base acyl methyl trifluoromethane metal, sulfonium salts of the combination.

碘鑰鹽爲碘鑰陽離子與磺酸酯或雙(取代烷基磺醯基 )醯亞胺、三(取代烷基磺醯基)甲基金屬的鹽,芳基碘 鎗陽離子例如有二苯基碘鎗、雙(4— tert - 丁基苯基)碘 鎗、4 一 tert - 丁氧苯基苯基碘鑰、4一甲氧苯基苯基碘鑰 等芳基碘鎗陽雖子與磺酸酯之例如三氟甲烷磺酸酯、五氟 乙烷磺酸酯、九氟丁烷磺酸酯、十二氟己烷磺酸酯、五氟 乙基全氟環己烷磺酸酯、十七氟辛烷磺酸酯、2,2,2-三 氟乙院擴酸酯、五氟苯擴酸醋、4-(三氟甲基)苯擴酸 酯、4一氟苯磺酸酯、均三甲苯基磺酸酯、2,4,6一三異丙 基苯磺酸酯、甲苯磺酸酯、苯磺酸酯、4_ (4-甲苯磺醯 氧基)苯磺酸酯、萘磺酸酯、樟腦磺酸酯、辛院擴酸醋、 十二烷基苯磺酸酯、丁烷磺酸酯、甲烷磺酸醋、2_苯甲 醯氧基_1,1,3,3,3 —五氟丙烷磺酸酯、im] —五氧— 2 — (4_苯基苯甲醯氧基)丙烷磺酸酯、1,丨一一一一五氟 -2 —三甲基乙醯氧基丙烷磺酸酯、2一環己烷羯氧基_ 1,1,3,3,3 —五氟丙院擴酸醋、丨,1,3,3,3—五氣_2—呋喃 甲醯氧基丙烷磺酸酯、2—萘醯氧基—1 ,3_五氟丙 烷磺酸酯' 2- ( 4 — tert _ 丁基苯甲醯氧基)— 一五氟丙烷磺酸酯、2 —金剛烷羰氧基_1,m3 —五氧 -48-The iodine salt is a salt of an iodine cation with a sulfonate or a bis(substituted alkylsulfonyl) quinone imine or a tri(substituted alkylsulfonyl)methyl metal, and the aryl iodine gun cation has, for example, a diphenyl group. Iodine gun, bis(4-tert-butylphenyl) iodine gun, 4-tert-butoxyphenyl phenyl iodide, 4-methoxyphenyl phenyl iodide, etc. Acid esters such as trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl perfluorocyclohexanesulfonate, ten Heptafluorooctane sulfonate, 2,2,2-trifluorobenzate, acid ester, pentafluorobenzene, vinegar, 4-(trifluoromethyl)benzene, acid ester, 4-fluorobenzenesulfonate, Mesitylene sulfonate, 2,4,6-triisopropylbenzenesulfonate, tosylate, benzenesulfonate, 4_(4-toluenesulfonyloxy)benzenesulfonate, naphthalene Acid ester, camphor sulfonate, Xinyuan vinegar, dodecyl benzene sulfonate, butane sulfonate, methane sulfonate, 2_benzhydryloxy 1, 1, 3, 3, 3 —Pentafluoropropane sulfonate, im] — pentoxide — 2 — (4-phenylbenzyl methoxy) propane sulfonate 1,1,1,15,5,5,5,3-trifluoroethoxypropane sulfonate, 2 -cyclohexane methoxy _ 1,1,3,3,3 - pentafluoropropane vinegar , 丨, 1,3,3,3—five gas _2—furanyl methoxypropane sulfonate, 2-naphthyloxyl-1,3_pentafluoropropane sulfonate' 2- ( 4 — tert _ Butylbenzhydryloxy)-pentafluoropropane sulfonate, 2-adamantanecarbonyloxy_1, m3-penta-48-

1375122 丙烷磺酸酯、2 -乙醯氧-1,1,3,3,3—五氟丙烷 1,1,3,3,3 —五氣—2 —經基丙院擴酸酿 —2 -甲苯磺醯氧基丙烷磺酸酯、1,1 一二氟一2 乙烷磺酸酯' 1,1,2,2-四氟—2—(降冰片烷一 2 烷磺酸酯、1,1,2,2 —四氟一2 —(四環[4.4.0.l2: 二一 3 —烯—8 —基)乙烷磺酸酯等’雙(取代烷 )醯亞胺例如有雙三氟甲基磺醯基醯亞胺、雙( 磺醯基)醯亞胺 '雙(七氟丙基磺醯基)醯亞胺 1,3—丙烯雙磺醯基)醯亞胺等,三(取代烷基 甲基金屬例如有三(三氟甲基磺醯基)甲基金屬 的碘鎗鹽。 磺醯基重氮甲烷例如有雙(乙基擴醯基)重 雙(1_甲基丙基磺醯基)重氮甲烷、雙(2 —甲 醯基)重氮甲烷、雙(1,1_二甲基乙基擴酿基 烷、雙(環己基磺醯基)重氮甲烷、雙(全氟異 φ 基)重氮甲烷、雙(苯基磺醯基)重氮甲院、售 基苯基磺醯基)重氮甲烷、雙(2,4 一二甲基苯 )重氮甲烷、雙(2 -萘基磺醯基)重氮甲烷、夸 醯氧基苯基磺醯基)重氮甲烷、雙(4一(甲烷 )苯基磺醯基)重氮甲烷、雙(4 一(4_甲苯磺 . 苯基磺醯基)重氮甲烷、雙(4 -正己氧基)苯 )重氮甲烷、雙(2 —甲基一 4 一正己氧基苯基磺 氮甲烷、雙(2,5 —二甲基一 4 —正己氧基苯基磺 氮甲烷、雙(3,5—二甲基一 4 一正己氧基苯基磺 磺酸酯、 ί,3 —五氟 一萘基_ 一基)乙 5.17,10]十 基磺醯基 五氟乙基 、全氟( 磺醯基) ,該組合 氮甲烷、 基丙基磺 )重氮甲 丙基磺醯 ! ( 4-甲 基磺醯基 f ( 4-乙 磺醯氧基 醯氧基) 基磺醯基 醯基)重 醯基)重 醯基)重 -49- 1375122 氮甲烷、雙(2 -甲基一 5 —異丙基_4_正己氧基苯基磺 醯基)重氮甲烷、4 -甲基苯基磺醯基苯醯基重氮甲烷、 tert—丁基羰基-4 —甲基苯基磺醯重氮甲烷、2_萘基磺 醯基苯醯基重氮甲烷、4 -甲基苯基磺醯基_2_萘醯基重 氮甲烷、甲基磺醯苯醯基重氮甲烷、tert-丁氧羯基一 4_ 甲基苯基擴醒基重氮甲烷等之雙磺醯重氮甲烷與磺醯基羰 基重氮甲烷。1375122 Propane sulfonate, 2-ethoxycarbonyl-1,1,3,3,3-pentafluoropropane 1,1,3,3,3—five gas—2 — Tosyloxypropane sulfonate, 1,1 difluoro-2-ethane sulfonate ' 1,1,2,2-tetrafluoro-2-(norbornane-2 alkane sulfonate, 1, 1,2,2-tetrafluoro- 2 - (tetracyclo [4.4.0.l2: di- 3 - ene-8-yl)ethanesulfonate, etc. 'bis(substituted alkane) quinone imide, for example, double three Fluoromethylsulfonyl quinone imine, bis(sulfonyl) quinone imine 'bis(heptafluoropropylsulfonyl) quinone imine 1,3 - propylene bissulfonyl) quinone imine, etc., three ( Substituted alkylmethyl metal such as iodine salt of tris(trifluoromethylsulfonyl)methyl metal. Sulfhydryl diazomethane, for example, bis(ethyl fluorenyl) heavy double (1-methylpropyl) Sulfhydryl)diazomethane, bis(2-methylindenyl)diazomethane, bis(1,1-dimethylethylxanane, bis(cyclohexylsulfonyl)diazomethane, bis ( Perfluoroiso-yl)diazomethane, bis(phenylsulfonyl)diazide, phenylsulfonyl)diazomethane, double (2,4-12) Diphenylmethane, bis(2-naphthylsulfonyl)diazomethane, quinomethoxyphenylsulfonyl)diazomethane, bis(4-(methane)phenylsulfonyl)diazo Methane, bis(4-(4-toluene.phenylsulfonyl)diazomethane, bis(4-n-hexyloxy)benzene)diazomethane, bis(2-methyl-tetra-n-hexyloxyphenyl) Sulfomethane, bis(2,5-dimethyl-4-n-hexyloxyphenylsulfanyl methane, bis(3,5-dimethyl-4-cyclohexyloxyphenylsulfonate, ί, 3 —pentafluoro-naphthyl —yl”ethyl 5.17,10]decylsulfonylpentafluoroethyl, perfluoro(sulfonyl), the combined nitrogen methane, propyl sulfonyl)diazopropylsulfonate ( 4-Methylsulfonyl f (4-ethylsulfonyloxyoxy) sulfonyl fluorenyl) hydrazino) hydrazino) -49- 1375122 Nitrogen methane, double (2-A 5-A-5-isopropyl-4-yl-n-hexyloxyphenylsulfonyl)diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tert-butylcarbonyl-4-methylbenzene Sulfonamide diazomethane, 2_naphthylsulfonylphenylhydrazinyl diazomethane, 4 - Bisulphonyl sulfonyl 2,naphthyl fluorenyl diazomethane, methylsulfonyl phenyl hydrazinyl diazomethane, tert-butoxy fluorenyl 4-methylphenyl fluorenyl diazomethane Diazomethane and sulfonylcarbonyl diazomethane.

N —磺醯氧基二羧基醯亞胺型光酸產生劑,例如有琥 珀酸醯亞胺、萘二羧基醯亞胺、苯二甲酸醯亞胺、環己基 二羧基醯亞胺、5 -降冰片烷烯— 2,3 -二羧基醯亞胺、7 一氧雜雙環〔2.2.1〕— 5-庚烯—2,3-二羧基醯亞胺等之 醯亞胺骨架與三氟甲烷磺酸酯、五氟乙烷磺酸酯、九氟丁 烷磺酸酯、十二氟己烷磺酸酯、五氟乙基全氟環己烷磺酸 酯、十七氟辛烷磺酸酯、2,2,2 —三氟乙烷磺酸酯、五氟 苯磺酸酯、4-(三氟甲基)苯磺酸酯、4 -氟苯磺酸酯、 均三甲苯磺酸酯、2,4,6-三異丙基苯磺酸酯、甲苯磺酸 酯 '苯磺酸酯、萘磺酸酯、樟腦磺酸酯、辛烷磺酸酯、十 二烷基苯磺酸酯、丁烷磺酸酯、甲烷磺酸酯、2 -苯醯氧 基一1,1,3,3,3-五氟丙烷磺酸酯、1,1,3,3,3-五氟-2 — (4 —苯基苯醯氧基)丙烷磺酸酯、1,1,3,3,3—五氟—2—戊醯 氧丙烷磺酸酯、2 —環己烷羰氧一 1,1,3,3,3 -五氟丙院擴 酸酯、1,1,3,3,3 —五氟一 2_呋喃醯氧基丙烷磺酸酯、2 — 萘醯氧基-1,1,3,3,3 -五氟丙烷磺酸酯、2— (4-tert-丁 基苯醯氧)一 1,1,3,3,3-五氟丙烷磺酸酯、2 -金剛烷鑛氧N-sulfonyloxydicarboxy quinone imine type photoacid generator, for example, yttrium succinimide, naphthalene dicarboxy quinone imine, phthalimide phthalimide, cyclohexyl dicarboxy quinone imine, 5-nor Borneene- 2,3-dicarboxyarsenine, 7-oxabicyclo[2.2.1]-5-heptene-2,3-dicarboxyarmine, etc. Acid ester, pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, mesitylene sulfonate, 2 , 4,6-triisopropylbenzenesulfonate, tosylate 'benzenesulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, D Alkane sulfonate, methane sulfonate, 2-benzoquinone-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2 — 4-phenylphenoxyoxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-pentane oxypropane sulfonate, 2-cyclohexanecarbonyloxy-1 1,3,3,3 - pentafluoropropane compound, 1,1,3,3,3-pentafluoro-2-furanyloxypropane sulfonate, 2-naphthyloxy-1,1 ,3,3,3-pentafluoropropane sulfonate, 2-(4-tert-butylphthalideoxy)-1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantane ore oxygen

(S -50- 1375122 基_1,1,3,3,3 —五氟丙烷磺酸酯、2 —乙醯氧基一 1,1,3,3,3—五氟丙院磺酸醋、1,1,3,3,3—五氟—2_經基 丙烷磺酸酯、1,1,3,3,3 -五氟_2_甲醯氧基丙烷磺酸酯 、1,1 一二氟-2—萘基—乙烷磺酸酯、1,1,2,2_四氟一 2 —(降冰片烷_2_基)乙烷磺酸酯、1,1,2,2—四氟一2 — (四環[4.4.0_12’5.17’1()]十二烷_3—烯一8—基)乙烷磺酸 酯等組合之化合物等。(S -50-1375122 base_1,1,3,3,3-pentafluoropropane sulfonate, 2-ethoxycarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro- 2_ mercaptopropane sulfonate, 1,1,3,3,3-pentafluoro-2-methyloxypropane sulfonate, 1,1 Difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2-(norbornane-2-yl)ethanesulfonate, 1,1,2,2- A compound such as tetrafluoro-2-(tetracycline [4.4.0_12'5.17'1()]dodecane-3-ene-8-yl)ethanesulfonate or the like.

苯偶姻磺酸酯型光酸產生劑例如有苯偶姻甲苯磺酸酯 、苯偶姻甲磺酸酯、苯偶姻丁烷磺酸酯等。 焦掊酚三磺酸酯型光酸產生劑例如有焦楛酚、氟胺基 乙烷醇、鄰苯二酚、間苯二酚、對苯二酚之全部羥基被三 氟甲烷磺酸酯、五氟乙烷磺酸酯、九氟丁烷磺酸酯、十二 氟己烷磺酸酯、五氟乙基全氟環己烷磺酸酯、十七氟辛烷 磺酸酯、2,2,2—三氟乙烷磺酸酯、五氟苯磺酸酯、4_ ( 三氟甲基)苯磺酸酯、4 —氟苯磺酸酯、甲苯磺酸酯、苯 磺酸酯、萘磺酸酯、樟腦磺酸酯、辛烷磺酸酯、十二烷基 苯磺酸酯、丁烷磺酸酯、甲烷磺酸酯、2 -苯醯氧基-1,1,3,3,3—五氟丙烷磺酸酯、1,1,3,3,3—五氟-2—(4—苯 基苯醯氧基)丙烷磺酸酯、1,1,3,3,3 —五氟—2—戊醯氧丙 烷磺酸酯、2 -環己烷羰氧—1,1,3,3,3 —五氟丙烷磺酸酯 、1,1,3,3,3 —五氟一2 —呋喃醯氧基丙烷磺酸酯、2 —萘醯 氧基一 1,1,3,3,3 —五氟丙烷磺酸酯、2-(4 — tert — 丁基苯 醯氧)一 1,1,3,3,3 —五氟丙烷磺酸酯、2—(1_金剛烷羰氧 基)一1,1,3,3,3 -五氟丙烷磺酸酯、2 —乙醯氧基一The benzoin sulfonate type photoacid generator may, for example, be benzoin tosylate, benzoin mesylate or benzoin butanesulfonate. The pyrogallol trisulfonate photoacid generator is, for example, pyrophenol, fluoroaminoethane alcohol, catechol, resorcinol, hydroquinone, all of the hydroxyl groups are trifluoromethanesulfonate, Pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2 , 2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4_(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, tosylate, benzenesulfonate, naphthalene Acid ester, camphor sulfonate, octane sulfonate, dodecylbenzene sulfonate, butane sulfonate, methane sulfonate, 2-benzoyloxy-1, 1, 3, 3, 3 - pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylphenoxy)propane sulfonate, 1,1,3,3,3-pentafluoro —2—pentamethoxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro- 2 -furanoxypropane sulfonate, 2-naphthyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-(4-tert-butylphthalideoxy)-1 1,3,3 , 3 - pentafluoropropane sulfonate, 2-(1_adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane sulfonate, 2-ethyloxy group

-51 - 1375122 1,1,3,3,3 —五氟丙烷磺酸酯、1,1,3,3,3 —五氟一 2 —羥基 丙烷磺酸酯、1,1,3,3,3_五氟—2 —甲醯氧基丙烷磺酸酯 、1,1 一二氟—2-萘基—乙烷磺酸酯、1,1,2,2—四氟—2 一(降冰片烷_2 —基)乙烷磺酸酯、1,1,2,2 —四氟一 2 — (四環〔4.4.0.12,5.17’1Q〕十二烷一 3 -烯-8 - 基)乙烷 磺酸酯等所取代之化合物等。 硝基苄基磺酸酯型光酸產生劑例如有2,4-二硝基苄-51 - 1375122 1,1,3,3,3 - pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3, 3_pentafluoro-2-pyridyloxypropane sulfonate, 1,1 difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2 (norborn) Alkan-2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracycline [4.4.0.12, 5.17'1Q]dodecane-3-ene-8-yl) a compound substituted with an alkanesulfonate or the like. A nitrobenzyl sulfonate type photoacid generator such as 2,4-dinitrobenzyl

基磺酸酯、2 -硝基苄基磺酸酯、2,6 -二硝基苄基磺酸酯 ,磺酸酯之具體例有三氟甲烷磺酸酯、五氟乙烷磺酸酯、 九氟丁烷磺酸酯、十二氟己烷磺酸酯、十二氟己烷磺酸酯 、五氟乙基全氟環己烷磺酸酯' 十七氟辛烷磺酸酯、 2,2,2_三氟乙烷磺酸酯、五氟苯磺酸酯、4_ (三氟甲基 )苯擴酸醋、4 一氟苯磺酸酯、甲苯磺酸酯 '苯磺酸酯、 萘磺酸酯、樟腦磺酸酯、辛烷磺酸酯、十二烷基苯磺酸酯 '丁烷磺酸酯、甲烷磺酸酯、2_苯醯氧 五氟丙k磺酸酯、1,1,3,3,3~~五氟_2_(4-苯基苯醯氧 基)丙烷磺酸酯、1,1,3,3,3~五氟_2_戊醯氧丙烷.擴酸酯 、2 —環己院羯氧—nns 一五氟丙烷磺酸酯、 1,1,3’3,3 —五氟_2_呋喃醯氧基丙烷磺酸酯、2_萘醯氧 基一 1,1,3,3,3 —五氟丙烷磺酸酯、2_(4_tert—丁基苯醯 氧)_1,1,3,3’3 —五氟丙烷磺酸酯、2 一金剛烷羰氧基一 1,1,3,3,3—五氟丙烷磺酸酯、2_乙醯氧基—1,1,3,3,3_ 五氟丙烷磺酸酯、1,1,3,3,3~五氟一 2—羥基丙烷磺酸酯 1,1,3,3,3 —五氟一 2 —甲醯氧基丙烷磺酸酯 < S) -52- 1375122 —2 -萘基一乙烷磺酸酯、1,1,2,2_四氟—2〜(降冰片垸 —2—基)乙烷磺酸酯、1,1,2,2 —四氟—2—(四環 [4,4.0.12,5.1^]十二烷一3一稀—8-基)乙烷磺酸酯等。 又’同樣也可使用將苄基側之硝基以三氟甲基 物。 磺酸型光酸產生劑例如有雙(苯磺醯基)甲院、雙( 4 —甲基苯磺醯基)甲烷 '雙(2一萘基磺醯基)甲院、Specific examples of sulfonate, 2-nitrobenzyl sulfonate, 2,6-dinitrobenzyl sulfonate, sulfonate are trifluoromethane sulfonate, pentafluoroethane sulfonate, and nine Fluorane sulfonate, dodecafluorohexane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate 'heptadecafluorooctane sulfonate, 2, 2 , 2_trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4_(trifluoromethyl)benzene acid vinegar, 4 fluorobenzene sulfonate, tosylate 'benzene sulfonate, naphthalene sulfonate Acid ester, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate 'butane sulfonate, methane sulfonate, 2 phenyl hydrazine pentafluoropropyl k sulfonate, 1,1 ,3,3,3~~pentafluoro-2_(4-phenylphenoxy)propane sulfonate, 1,1,3,3,3~pentafluoro-2-pentopenoxime. , 2 - Cyclohexain oxime - nns pentafluoropropane sulfonate, 1,1,3'3,3 -pentafluoro-2-furanyloxypropane sulfonate, 2_naphthyloxyl-1 , 1,3,3,3 - pentafluoropropane sulfonate, 2_(4_tert-butylphthalideoxy)-1,1,3,3'3-pentafluoropropane sulfonate, 2-adamantanecarbonyloxy a 1,1,3,3,3-pentafluoro Alkane sulfonate, 2_acetoxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3~pentafluoro-2-hydroxypropane sulfonate 1, 1,3,3,3 -pentafluoro-2-methoxypropane sulfonate < S) -52- 1375122 -2 -naphthyl-ethanesulfonate, 1,1,2,2_4 Fluorine-2~(norbornium垸2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracycline[4,4.0.12,5.1^]dodecane-3 A dilute 8-octyl)ethanesulfonate or the like. Further, it is also possible to use a nitro group on the benzyl group side as a trifluoromethyl group. The sulfonic acid type photoacid generator may, for example, be bis(phenylsulfonyl)methine or bis(4-methylphenylsulfonyl)methane 'bis(2-naphthylsulfonyl)).

2,2 —雙(苯基磺醯基)丙烷、2,2一雙(4_甲基苯基擴 酸基)丙烷、2,2—雙(2-萘磺醯基)丙烷、2_甲基—2 —(Ρ —甲苯磺醯基)苯丙酮、2_ (環己基羰基)_2_ (Ρ —甲苯磺醯基)丙烷、2,4_二甲基—2— (ρ_甲苯擴 酸基)戊院—3—酮等。 乙一目弓衍生物型之光酸產生劑例如有專利第2 9 〇 6 9 9 9 號公報或曰本特開平9 · 3 0 1 9 4 8號公報所記載之化合物, 具體例有雙·_〇_ (對—甲苯磺醯基)—a —二甲基乙二 曰与 隹隹八 — 〇—(對—甲苯磺醯基)一 二苯基乙二肟、 雙一 0—(對—甲苯磺醯基)—二環己基乙二肟、雙 一 〇—(對—甲苯磺醯基)一2,3 —戊二酮乙二肟、雙—〇 —(正丁烷磺醯基)_α_二甲基乙二肟、雙_〇_ (正 丁院擴醯基)一 α _二苯基乙二肟、雙一 〇一(正丁烷磺 酸基)一 二環己基乙二肟、雙一0—(甲烷磺醯基) 一 〇:— _甲基乙二肟、雙_〇_ (三氟甲烷磺醯基)—α 一一甲基乙二肟、雙_〇一(2,2j__三氟乙烷磺醯基)— a —甲基乙一陌、雙一 〇_ (丨〇-樟腦擴醯基)—2,2-bis(phenylsulfonyl)propane, 2,2-bis(4-methylphenylpropionic acid)propane, 2,2-bis(2-naphthalenesulfonyl)propane, 2_A Base-2((Ρ-toluenesulfonyl)propiophenone, 2_(cyclohexylcarbonyl)_2_(Ρ-toluenesulfonyl)propane, 2,4-dimethyl-2-(ρ-toluene acid extension) Wuyuan - 3-ketone and so on. The photo-acid generator of the bismuth-derivative type is, for example, a compound described in the Japanese Patent Publication No. 2 9 〇 6 9 9 9 or 曰 特 特 9 9 9 9 9 9 9 9 9 9 , , , , , 9 9 9 9 9 9 9 9 9 9 9 9 9 9 〇_(p-toluenesulfonyl)-a-dimethylglyoxime and octadecyl-p-(p-toluenesulfonyl)-diphenylethene, bis-o-p-toluene Sulfhydryl)-dicyclohexylethylenediazine, bis-indole-(p-toluenesulfonyl)- 2,3-pentanedione ethanedioxime, bis-indole-(n-butanesulfonyl)_α_ Dimethylglyoxime, bis-〇_ (Zheng Dingyuan expansion base)-α-diphenylethylenediguanidine, bis-indenyl (n-butanesulfonate)-dicyclohexylethylenedifluoride, double a 0-(methanesulfonyl) a hydrazine: — _methyl ethane oxime, bis 〇 〇 ((trifluoromethanesulfonyl)-α-monomethyl hydrazine, double _ 〇 one (2, 2j_ _Trifluoroethane sulfonyl) — a —methyl 乙一陌,双一〇 _ (丨〇-樟脑醯)

< S -53- 1375122 肟一 二0 乙 I 基雙 甲、 二肟 雙 對 基 I 醯} 磺基 苯醯 C 磺 - 苯 ο氟 乙肟 基二 甲乙 二基 _ 甲 I 雙 | 雙 、ο ο 對 基 甲 基 醯 磺 烷 二 C 甲 - 氟 ο 三 基 α 醯 I 磺} 苯基 醯 磺 氟苯 三甲 己 環 肟 -( 二雙 -乙 、ο 基肟 I 甲二雙 二乙 、 I 基肟 α 甲二 I 二酮 C 基 I 醯 ο 磺 -苯 雙C 、 βο-二-酮雙 二、 己肟 環二 - 酮 )二 基己 醯環 磺 I 烷} 乙基 氟醯 三磺 - 腦 2 : 2’樟 ο I 雙 ' 肟二 酮二 己 環 基} 甲基 氟醯 三磺 對苯 (甲 -二 ο (-- 雙 ο ' I 肟雙 酮肟 二二 己酮 環二 I 己 >環 基| 醯> 磺基 苯醯 氟磺 對苯 等 0二 嗣二 己 環 -<S-53- 1375122 肟1 2 乙 I 基 、 、 、 苯 氟 双 双 双 双 双 双 双 双 双 双ο p-Methyl sulfonate di C A-fluoro octyl α 醯 I sulfonate} Phenyl sulfonium fluorobenzene trimethylhexyl fluorene - (二双-乙, ο 肟 肟 I 甲二二二乙, I base肟α-di-di-dione C-based I 醯ο sulfo-benzene bis-C, βο-di-keto bis-bis, hexamethylene ketone ketone hexyl sulfonate sulfonate sulfonate 2 : 2' 樟ο I double ' ketone diketone dihexyl} methyl fluoro sulfonium trisulfonate benzene (methyl bis- (-- double ο ' I 肟 diketone oxime dihexanone ring di I hex > gt ; ring base | 醯> sulfobenzoquinone fluorosulfonate to benzene and other 0 dioxin ring

美國專利第6004724號說明書所記載之肟磺酸酯,特 別是例如(5- (4_甲苯磺醯基)肟基一 5H_噻吩一 2 — 基亞基)苯基乙腈、(5- (10 —樟腦磺醯基)肟基-5H —噻吩_2—基亞基)苯基乙腈、(5—正辛烷磺醯基肟基 — 5H —噻吩-2—基亞基)苯基乙腈、(5_(4_甲苯磺 φ 醯基)肟基_5H—噻吩-2-基亞基)(2—甲基苯基) 乙腈、(5— (10 -樟腦磺醯基)肟基一 5H-噻吩— 2-基亞基)(2 -甲基苯基)乙腈、(5—正辛烷磺醯基肟基 一 5H —噻吩—2—基亞基)(2—甲苯基)乙腈等,美國 專利第69 1 659 1號說明書之(5- ( 4— ( 4-甲苯磺醯氧 - 基)苯磺醯基)肟基一 5H —噻吩-2—基亞基)苯基乙腈 、(5 — (2,5-雙(4一甲苯磺醯氧基)苯磺醯基)肟基 —5H —噻吩一 2_基亞基)苯基乙腈等。 美國專利第626 1 73 8號說明書、日本特開 -54- 1375122The oxime sulfonate described in the specification of U.S. Patent No. 6,004,724, especially, for example, (5-(4-toluenesulfonyl)indolyl-5H-thiophen-2-ylphenyl)phenylacetonitrile, (5-(10) - camphorsulfonyl) fluorenyl-5H-thiophene-2-ylphenyl)phenylacetonitrile, (5-n-octanesulfonylhydrazino-5H-thiophen-2-inyl)phenylacetonitrile, 5_(4_Toluenesulfonyl fluorenyl) fluorenyl _5H-thiophen-2-ylinyl) (2-methylphenyl) acetonitrile, (5-(10-camphorsulfonyl)fluorenyl-5H-thiophene —2-(2-phenylene)(2-methylphenyl)acetonitrile, (5-n-octanesulfonylhydrazinyl-5H-thiophen-2-inyl)-(2-tolyl)acetonitrile, etc., US patent No. 69 1 659 No. 1 (5-(4-(4-toluenesulfonyloxy)phenylsulfonyl)indolyl-5H-thiophen-2-inyl)phenylacetonitrile, (5-( 2,5-Bis(4-toluenesulfonyloxy)benzenesulfonyl)indenyl-5H-thiophene-2-ylphenyl)phenylacetonitrile. US Patent No. 626 1 73 8 specification, Japanese Special Opening -54- 1375122

2000-3 1 4956號公報中所記載之肟磺酸酯,特別是例如 2,2,2 —三氟一 1—苯基一乙酮肟一 Ο—甲基磺酸酯、2,2,2 -三氟一1—苯基—乙酮肟-0- (10—樟腦基磺酸酯) 、2,2,2_三氟一 1 一苯基_乙酮肟_0— (4-甲氧基苯基 磺酸酯)、2,2,2—三氟一1-苯基—乙酮肟-0_(1_萘 基磺酸酯)、2,2,2_三氟_1_苯基一乙酮肟_0_ (2_ 萘基磺酸酯)、2,2,2 _三氟_ 1 _苯基—乙酮肟一 Ο —( 2,4,6_三甲基苯基磺酸酯)、2,2,2_三氟一1— (4—甲 苯基)—乙酮肟-〇- (10 -樟腦基磺酸酯)、2,2,2 —三 氟—丨―(4_甲基苯基)一乙酮肟_0_ (甲基磺酸酯) 、2,2,2 -三氟一1- (2 -甲基苯基)-乙酮肟一0— (10 -樟腦基磺酸酯)、2,2,2 -三氟- l-(2,4 —二甲苯基) —乙酮肟一 〇一(10 -樟腦基磺酸酯)、2,2,2_三氟_1 —(2,4 —二甲基苯基)一乙酮聘_0_ (1—萘基擴酸醋 )、2,2,2_三氟一1—(2,4_二甲苯基)一乙酮肟一0_ (2—萘基磺酸酯)、2,2,2 —三氟_ 1 — ( 2,4,6 —三甲基 苯基)-乙酮肟—〇— (10 —樟腦基磺酸酯)、2,2,2 -三 氟一 1 _ ( 2,4,6 _三甲基苯基)—乙酮肟—Ο — ( 1 _萘基 磺酸酯)、2,2,2—三氟一1— (2,4,6_三甲苯基)一乙酮 月弓一 〇— (2-萘基擴酸醋)、2,2,2—二氣一1_(4_甲 氧基苯基)一乙酮肟-〇_甲基磺酸酯、2,2,2 —三氟一 1 —(4 —甲基苯硫基)一乙酮肟一0_甲基磺酸酯、2,2,2 —三氟_1_ (3,4 —二甲氧基苯基)_乙酮肟_0 —甲基 磺酸酯、2,2,3, 3,4,4,4 —七氟一1—苯基-丁酮肟-0—( -55- 1375122 10 —棒腦基磺酸醋)、2,2,2—二氟—1—(苯基)—乙嗣 肟_0_甲基磺酸酯、2,2,2—三氟_1_(苯基)一乙酮 肟一0— 10 -樟腦基磺酸酯、2,2,2 -三氟—1—(苯基) —乙酮肟_〇_ (4 —甲氧基苯基)磺酸酯、2,2,2 —三氟The oxime sulfonate described in JP-A No. 2000-3 1 4956, in particular, for example, 2,2,2-trifluoro-1-phenyl-ethanone oxime-methylsulfonate, 2, 2, 2 -trifluoro- 1-phenyl-ethanone oxime-0-(10-camphoryl sulfonate), 2,2,2-trifluoro- 1 phenyl-ethanone oxime_0—(4-methoxy Phenyl sulfonate), 2,2,2-trifluoro-l-phenyl-ethanone oxime-0-(1-naphthyl sulfonate), 2,2,2-trifluoro-1-phenyl Ethyl ketone oxime_0_(2_naphthyl sulfonate), 2,2,2 _trifluoro-1 phenyl-ketone oxime-(2,4,6-trimethylphenyl sulfonate ), 2,2,2_trifluoro-1-(4-tolyl)-ethanone oxime-〇-(10-camphorsulfonate), 2,2,2-trifluoro-oxime-(4_ Methylphenyl)-ethanone oxime_0_(methanesulfonate), 2,2,2-trifluoro-1-(2-methylphenyl)-ethanone oxime-0-(10-樟-brain Sulfonate), 2,2,2-trifluoro-l-(2,4-xylphenyl)-ethanone oxime-one (10-camphorsulfonate), 2,2,2-trifluoro _1 —(2,4-dimethylphenyl)-ethyl ketone hired _0_ (1-naphthyl acid vinegar), 2,2,2_trifluoro-1—(2,4-xylphenyl) Ethyl ketone oxime 0_ (2-naphthalene Sulfonate), 2,2,2-trifluoro-1 - (2,4,6-trimethylphenyl)-ethanone oxime-oxime (10-camphorsulfonate), 2,2, 2-Trifluoro- 1 _ (2,4,6-trimethylphenyl)-ethanone oxime-indole — (1 _naphthyl sulfonate), 2,2,2-trifluoro- 1—(2 , 4,6-trimethylphenyl)-Ethyl ketone moon bow - (2-naphthyl acid vinegar), 2,2,2-dione- 1_(4-methoxyphenyl)-ethanone oxime -〇_Methanesulfonate, 2,2,2-trifluoro- 1 -(4-methylphenylthio)-ethanone oxime-methyl sulfonate, 2,2,2-trifluoro _1_(3,4-dimethoxyphenyl)-ethanone oxime_0-methanesulfonate, 2,2,3,3,4,4,4-heptafluoro-phenyl-butyl Ketone 肟-0—( -55- 1375122 10 — rod brain sulfonate), 2,2,2-difluoro-1-(phenyl)-ethoxime_0_methanesulfonate, 2, 2,2-trifluoro_1_(phenyl)-ethanone oxime-0-10-camphorsulfonate, 2,2,2-trifluoro-1-(phenyl)-ethanone oxime_〇_ ( 4-methoxyphenyl)sulfonate, 2,2,2-trifluoro

_1_ (苯基)-乙酮肟—Ο— (1 -萘基)磺酸酯、2,2,2 一三氟_1_ (苯基)_乙酮肟一 0_ (2_萘基)磺酸酯 、2,2,2_三氟_1_(苯基)一乙酮肟_0_(2,4,6—三 甲基苯基)磺酸酯、2,2,2_三氟一 1一 (4 一甲基苯基) _乙酮肟_〇_ (10 —樟腦基)磺酸酯、2,2,2 —三氟_1 _ (4_甲苯基)一乙酮肟_0_甲基磺酸酯、2,2,2_三 氟一1- (2 -甲基苯基)一乙酮肟—0—(10-樟腦基) 磺酸酯、2,2,2—三氟_1_ (2,4_二甲基苯基)一乙酮肟 -Ο— (1-萘基)磺酸酯、2,2,2 -三氟-1— (2,4 —二 甲基苯基)_乙酮肟一 〇_ (2 —萘基)磺酸酯、2,2,2-三氟一1 _ ( 2,4,6_三甲基苯基)_乙酮肟_ 0— ( 10 — 樟腦基)磺酸酯、2,2,2 —三氟—1— (2,4,6 —三甲基苯基 )_乙酮肟一Ο— (1_萘基)磺酸酯、2,2,2_三氟_1_ (2,4,6—三甲基苯基)一乙酮肟—0- (2 -萘基)磺酸 酯、2,2,2_三氟一1_ (4_甲氧基苯基)_乙酮肟_0_ 甲基磺酸酯、2,2,2 —三氟_1一 (4_甲硫基苯基)_乙 酮肟_〇_甲基磺酸酯、2,2,2_三氟_1_ (3,4_二甲氧 基苯基)一乙酮肟一 〇 -甲基磺酸酯、2,2,2 -三氟一1 — (4 -甲氧基苯基)_乙酮肟_0— (4 -甲苯基)磺酸酯 、2,2,2 —三氟一1_ (4_甲氧基苯基)_乙酮肟一0—(_1_(phenyl)-ethanone oxime-Ο-(1-naphthyl)sulfonate, 2,2,2-trifluoro_1_(phenyl)-ethanone oxime-0-(2-naphthyl)sulfonic acid Ester, 2,2,2-trifluoro-1-(phenyl)-ethanone oxime_0_(2,4,6-trimethylphenyl)sulfonate, 2,2,2-trifluoro-1 (4 monomethylphenyl) _ethanone oxime 〇 〇 ( (10 — camphoryl) sulfonate, 2,2,2-trifluoro_1 _ (4-tolyl)-ethanone oxime ___ Sulfonate, 2,2,2-trifluoro-1-(2-methylphenyl)-ethanone ketone- 0-(10-camphoryl) sulfonate, 2,2,2-trifluoro 1_(2,4-dimethylphenyl)-ethanone oxime-indole-(1-naphthyl)sulfonate, 2,2,2-trifluoro-1—(2,4-dimethylphenyl) )_e-ketone oxime 〇 (2-naphthyl) sulfonate, 2,2,2-trifluoro- 1 _ ( 2,4,6-trimethylphenyl)-ethanone oxime _ 0— ( 10 — camphoryl)sulfonate, 2,2,2-trifluoro-1-(2,4,6-trimethylphenyl)-ethanone oxime-(1-naphthyl)sulfonate, 2,2,2_trifluoro_1_(2,4,6-trimethylphenyl)-ethanone ketone-0-(2-naphthyl)sulfonate, 2,2,2_trifluoro-1_ (4-methoxyphenyl)-ethanone oxime_0_methanesulfonate, 2,2,2-trifluoro_1 (4_Methylthiophenyl)-ethanone oxime 〇 甲基 methanesulfonate, 2,2,2_trifluoro_1_(3,4-dimethoxyphenyl)-ethanone ketone oxime -methanesulfonate, 2,2,2-trifluoro-1-(4-methoxyphenyl)-ethanone oxime_0-(4-tolyl)sulfonate, 2,2,2 — Trifluoro-1_(4-methoxyphenyl)-ethanone oxime-0-(

< S -56 - 1375122 4_甲氧基苯基)磺酸酯、2,2,2_三氟_1一 (4 一甲氧基 苯基)一乙酮肟_〇 - (4 一十二烷基苯基)磺酸酯、 2,2,2—三氟一 1 _ ( 4_甲氧基苯基)-乙酮肟一0—辛基 磺酸酯、2,2,2_三氟一 1_ (4_甲硫基苯基)—乙酮肟 _〇_ (4—甲氧基苯基)磺酸酯、2,2,2 —三氟—1— (4<S -56 - 1375122 4_Methoxyphenyl)sulfonate, 2,2,2-trifluoro-1-(4-methoxyphenyl)-ethanone oxime-〇- (4 ten Dialkylphenyl)sulfonate, 2,2,2-trifluoro-1,4-(4-methoxyphenyl)-ethanone oxime-octyl sulfonate, 2,2,2_3 Fluoryl-1_(4-methylthiophenyl)-ethanone oxime_〇_(4-methoxyphenyl)sulfonate, 2,2,2-trifluoro-1—(4

-甲硫基苯基)_乙酮肟-〇- (4 —十二烷基苯基)磺 酸酯、2,2,2 —三氟—1— (4 一甲硫基苯基)—乙酮肟—0 _辛基磺酸酯、2,2,2—三氟_1—(4_甲硫基苯基)_ 乙酮肟一〇_ (2 —萘基)磺酸酯、2,2,2_三氟—1— (2 —甲基苯基)一乙酮肟_0_甲基磺酸酯、2,2,2_三氟一 1_ (4_甲基苯基)一乙酮肟一 0 —苯基磺酸酯、2,2,2_ 三氟—1一 (4 -氯苯基)-乙酮肟-0—苯基磺酸酯、 2,2,3,3,4,4,4 —七氣一 1_ (苯基)_ 丁 嗣柄一 0_ ( 10 — 樟腦基)磺酸酯、2,2,2 -三氟—1-萘基-乙酮肟一0-甲基磺酸酯、2,2,2 —三氟一2 —萘基一乙酮肟_0_甲基 磺酸酯、2,2,2_三氟_1_[4一苯甲基苯基]一乙酮肟—0 _甲基磺酸酯、2,2,2 —三氟一 1_[4—(苯基一1,4_二氧 雜丁醯_1—基)苯基]—乙酮肟一〇_甲基磺酸酯、2,2,2 —三氟_1_萘基一乙酮肟—0 —丙基磺酸酯、2,2,2 —三 氟—2—萘基-乙酮肟—0 —丙基磺酸酯、2,2,2_三氟一 1 一 [4_苯甲基苯基]_乙酮肟一 0—丙基磺酸酯' 2,2,2_三 氟一丨_ [4 一甲基磺醯基苯基]一乙酮肟一 〇-丙基磺酸酯 、1,3—雙[1— (4_苯氧基苯基)_2,2,2 —三氟乙酮肟— 〇_磺醯基]苯基、2,2,2_三氟_1— [4_甲基磺醯氧基苯 -57- 1375122-Methylthiophenyl)-Ethylketoxime-〇-(4-dodecylphenyl)sulfonate, 2,2,2-trifluoro-1-(4-methylthiophenyl)-B Ketooxime- 0 octyl sulfonate, 2,2,2-trifluoro_1-(4-methylthiophenyl)-ethyl ketone oxime 〇 (2-naphthyl) sulfonate, 2, 2,2_Trifluoro-1-(2-methylphenyl)-ethanone oxime_0_methanesulfonate, 2,2,2-trifluoro-1_(4-methylphenyl)-B Ketooxime- 0-phenylsulfonate, 2,2,2-trifluoro-l-(4-chlorophenyl)-ethanone oxime-0-phenylsulfonate, 2,2,3,3,4 ,4,4—seven gas-1_(phenyl)_ butyl stalk-0_(10 — camphoryl) sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-0- Sulfonate, 2,2,2-trifluoro-2-n-naphthyl-ethanone oxime_0_methanesulfonate, 2,2,2-trifluoro_1_[4-phenylmethylphenyl] 1-Ethyl oxime-0-methylsulfonate, 2,2,2-trifluoro- 1_[4-(phenyl-1,4-tyanoxanthrene-1-yl)phenyl]-ethanone肟_〇_Methanesulfonate, 2,2,2-trifluoro_1-naphthyl-ethanone oxime- 0-propyl sulfonate, 2,2,2-trifluoro-2-naphthyl- Ethyl ketone oxime - 0 - propyl sulfonate, 2, 2, 2 _ Fluoryl 1-[4-phenylylphenyl]-ethanone oxime-o-propyl sulfonate ' 2,2,2_trifluoro-indole _ [4-methylsulfonylphenyl]-B Ketooxime-propylsulfonate, 1,3-bis[1-(4-phenoxyphenyl)_2,2,2-trifluoroethanone oxime-sulfonyl]phenyl, 2 ,2,2_trifluoro_1—[4_methylsulfonyloxybenzene-57- 1375122

基]—乙酮肟—〇 —丙基磺酸酯' 2,2,2 —三氟一1一[4 一甲 基羰氧基苯基]—乙酮肟-〇_丙基磺酸酯、2,2,2_三氟 —1_ [6H,7H — 5,8 —二氧代蔡釀一2—基]—乙嗣聘一 0 — 丙基磺酸酯、2,2,2 -三氟一 1一 [4-甲氧基羰基甲氧基苯 基]—乙酮肟-〇_丙基磺酸酯、2,2,2_三氟_1— [4_ ( 甲氧基羰基)_ (4_胺基_1_氧雜—戊醯—1-基)苯 基]—乙酮肟-〇—丙基磺酸酯、2,2,2 —三氟 二甲基一 4 一乙氧基苯基]—乙酮肟一0 -丙基磺酸酯、 2,2,2 —三氟_1_[4_苯甲氧基苯基]—乙酮肟—0_丙基 磺酸酯、2,2,2_三氟_1_[2_苯硫基]—乙酮肟—0_丙 基磺酸酯及2,2,2—三氟—1— [1—二氧雜噻吩_2—基]— 乙酮肟_〇—丙基磺酸酯、2,2,2—三氟_1_(4_(3 — (4_ (2,2,2_二氣_1一 (二氣甲院擴酿基把基)_乙 基)一苯氧基)_丙氧基)_苯基)乙酮肟(三氟甲烷磺 酸酯)、2,2,2—三氟—1—(4_(3_(4—(2,2,2_三 氟一 1 一 (1—丙烷磺醢基肟基)_乙基)一苯氧基)_丙 氧基)_苯基)乙酮肟(1 一丙烷磺酸酯)、2,2,2 —三氟 _1_ (4— (3— (4— (2,2,2 —二氟—1— (1_ 丁院擴 醯基肟基)_乙基)一苯氧基)一丙氧基)—苯基)乙酮 肟(1一 丁烷磺酸酯)等,美國專利第69 1 6 5 9 1號說明書 所記載之 2,2,2 —二氟—1— (4— (3— (4— (2,2,2 —二 氟一丨一 (4 一(4 一甲基苯基磺醯氧基)苯基磺醯基肟基 )_乙基)_苯氧基)—丙氧基)一苯基)乙酮肟(4一 (4_甲基苯基磺醯氧基)苯基磺酸酯)、2,2,2—三氟一 -58- 1375122 1 一 (4- (3- (4— (2,2,2 -三氟-1- (2,5 —雙(4- 甲基苯基磺醯氧基)_丙氧基)一苯基)乙酮肟(2,5 — 雙(4 一甲基苯基磺醯氧基)苯基磺醯氧基)苯基磺酸酯 )等。 日本特開平9-95479號公報、特開平9-23 05 8 8號公Ethyl ketone oxime-propyl sulfonate ' 2,2,2-trifluoro-l-[4-methylcarbonyloxyphenyl]-ethanone oxime-indole propyl sulfonate, 2,2,2_trifluoro-1_[6H,7H-5,8-dioxo-branched 2-yl]-acetone employs a 0-propyl sulfonate, 2,2,2-trifluoro 1-1[4-methoxycarbonylmethoxyphenyl]-ethanone oxime-indole propyl sulfonate, 2,2,2-trifluoro_1-[4_(methoxycarbonyl)_ ( 4_Amino-1_oxa-pentan-1-yl)phenyl]-ethanone oxime-indole-propyl sulfonate, 2,2,2-trifluorodimethyl- 4-ethoxy Phenyl]-ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro_1_[4-phenyloxyphenyl]-ethanone oxime-carboxylate, 2 , 2,2_trifluoro_1_[2_phenylthio]-ethanone oxime-0-propyl sulfonate and 2,2,2-trifluoro-1-[1-dioxathiophene-2- Base] — Ethyl ketone 肟 〇 丙基 propyl sulfonate, 2, 2, 2 - trifluoro_1 _ (4_ (3 - (4_ (2, 2, 2 _ 2 gas _1 1 Styrene base _ethyl)-phenoxy)-propoxy)-phenyl)ethanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1-(4_(3_ (4—(2,2,2_trifluoro-1 one ( 1-propanesulfonyl fluorenyl)-ethyl)-phenoxy)-propoxy)-phenyl)ethanone oxime (1-propane sulfonate), 2,2,2-trifluoro_1_ ( 4-(4-(2,2,2-difluoro-l-(1_丁丁醯基基基)_ethyl)-phenoxy)-propoxy)-phenyl)ethanone肟(1 -butane sulfonate), etc., 2,2,2-difluoro- 1 - (4 - (3 - (4 - (2, 2), as described in the specification of US Pat. No. 69 1 6 5 9 1 ,2-difluoro-indenyl (4-(4-methylphenylsulfonyloxy)phenylsulfonyl)-ethyl)-phenoxy)-propoxy)-phenyl) Ketone oxime (4-(4-methylphenylsulfonyloxy)phenylsulfonate), 2,2,2-trifluoro--58- 1375122 1 one (4- (3- (4- (2) ,2,2-trifluoro-1-(2,5-bis(4-methylphenylsulfonyloxy)-propoxy)-phenyl)ethanone oxime (2,5 — double (4 A Phenyl sulfonyloxy)phenylsulfonyloxy)phenylsulfonate), etc. Japanese Patent Publication No. 9-95479, JP-A-9-23 05 8 8

報或文中之先前技術之肟磺酸酯、α -(對-甲苯磺醯基 肟基)苯基乙腈、α -(對-氯苯磺醯基肟基)苯基乙腈 、(4—硝基苯磺醯基肟基)苯基乙腈、α — (4_硝 基一 2 —三氟甲基苯磺醯基肟基)苯基乙腈、α -(苯磺 醯基肟基)一 4一氯苯基乙腈、ct 一(苯磺醯基肟基)-2,4 一二氯苯基乙腈、(苯磺醯基肟基)一 2,6—二氯 苯基乙腈、α —(苯磺醯基肟基)_4_甲氧基苯基乙腈 、(2—氯苯磺醯基肟基)_4 —甲氧基苯基乙腈、α —(苯磺醯基肟基)_2 —噻嗯基乙腈、α — (4 —十二烷 基苯磺醯基肟基)一苯基乙腈、α - [(4一甲苯磺醯基肟 基)一 4一甲氧基苯基]乙腈、α — [(十二烷基苯磺醯基 肟基)一 4一甲氧苯基]乙腈、α —(甲苯磺醯基肟基)一 3—噻嗯基乙腈、α -(甲基磺醯基肟基)一 1 一環戊烯基 乙腈、α - (乙基磺醯基肟基)一 1—環戊烯基乙腈、α —(異丙基磺醯基肟基)—1 一環戊烯基乙腈、α -(η-丁基磺醯基肟基)-1 一環戊烯基乙腈、α -(乙基磺醯 基肟基)_1-環己烯基乙腈、α —(異丙基磺醯基肟基 )_1—環己烯基乙腈、α — (η — 丁基磺醯基肟基)一1 一環己烯基乙腈等。 < S > -59- 1375122 下述式表示之肟磺酸酯(例如WO 200 4/0 74 242所具 體記載者)等。 【化4 0】 ORs,Or a prior art sulfonate, α-(p-toluenesulfonylhydrazino)phenylacetonitrile, α-(p-chlorophenylsulfonylhydrazino)phenylacetonitrile, (4-nitro) Phenylsulfonyl fluorenyl)phenylacetonitrile, α-(4-nitro- 2 -trifluoromethylbenzenesulfonylfluorenyl)phenylacetonitrile, α-(phenylsulfonylfluorenyl)-4-chloro Phenylacetonitrile, ct-(phenylsulfonylhydrazinyl)-2,4-dichlorophenylacetonitrile, (phenylsulfonylhydrazino)-2,6-dichlorophenylacetonitrile, α-(phenylsulfonate)肟 ) ) _ ) _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ 、 、 、 、 、 、 、 、 、 、 、 — — (4 — dodecylbenzenesulfonyl fluorenyl)-phenylacetonitrile, α-[(4-toluenesulfonylfluorenyl)-4-methoxyphenyl]acetonitrile, α — [(10 Dialkyl benzene sulfonyl fluorenyl) 4-tetramethoxyphenyl] acetonitrile, α-(toluenesulfonyl fluorenyl)-3-thiol acetonitrile, α-(methylsulfonyl fluorenyl) 1 cyclopentenylacetonitrile, α-(ethylsulfonylhydrazinyl)-1-cyclopentenylacetonitrile, α—( Propylsulfonyl fluorenyl)-1 monocyclopentenylacetonitrile, α-(η-butylsulfonylhydrazino)-1-cyclopentenylacetonitrile, α-(ethylsulfonylfluorenyl)_1- Cyclohexenylacetonitrile, α-(isopropylsulfonylhydrazinyl)-1-cyclohexenylacetonitrile, α-(η-butylsulfonylhydrazino)-1-cyclohexenylacetonitrile, and the like. <S > -59 - 1375122 An oxime sulfonate represented by the following formula (for example, as described in WO 200 4/0 74 242). [化4 0] ORs,

II

NN

Ai^1—C-RS2Ai^1—C-RS2

(上述式中RS1係取代或非取代之碳數1〜1〇之鹵烷基磺 醯基、鹵苯基磺醯基。RS2係碳數1〜11之鹵烷基。Arsl 係取代或非取代之芳香族基或雜芳香族基)。 具體而言,例如2 — [2,2,3,3,4,4,5,5 —八氟一 1—(九 氟丁基磺醯基肟基)_戊基]一芴、2— [2,2,3,3,4,4_五氟 —1—(九氟丁基磺醢基肟基)一 丁基]一芴、2 -[2,2,3,3,4,4,5,5,6,6_十氟—1-(九氟丁基磺醯基肟基) 一己基]—芴、2 - [2,2,3,3,4,4,5,5 —八氟—1一(九氟丁基 擴醯基fe基)一戊基]—4 —聯苯、2 — [2,2,3,3,4,4 —五氣 一 1_ (九氟丁基磺醯基肟基)—丁基]—4_聯苯、2 — [2,2,3,3,4,4,5,5,6,6-十氟一1一(九氟丁基擴醯基聘基) 一己基]一 4〜聯苯等。 另外’雙肟磺酸酯例如有日本特開平9-208554號公 報之化合物’特別是雙(α — (4—甲苯磺醯氧基)亞胺 基)一對苯二乙腈、雙(α -(苯磺醯氧基)亞胺基)_ 對苯二乙腈、雙(α —(甲烷磺醯氧基)亞胺基)—對苯 —乙腈、雙(α— (丁烷磺醯氧基)亞胺基)一對苯二乙 腈、雙((10_樟腦磺醯氧基)亞胺基)_對苯二乙 -60- 1375122(In the above formula, RS1 is a substituted or unsubstituted haloalkylsulfonyl group having 1 to 1 carbon atom; halophenylsulfonyl group; and RS2 is a haloalkyl group having 1 to 11 carbon atoms. Arsl is substituted or unsubstituted An aromatic group or a heteroaromatic group). Specifically, for example, 2 - [2, 2, 3, 3, 4, 4, 5, 5 - octafluoro-1 - (nonafluorobutylsulfonyl fluorenyl) - pentyl] hydrazine, 2 - [ 2,2,3,3,4,4_pentafluoro-1-(nonafluorobutylsulfonylhydrazino)-butyl]-anthracene, 2-[2,2,3,3,4,4, 5,5,6,6_decafluoro-l-(nonafluorobutylsulfonylhydrazino)-hexyl]-芴, 2 - [2,2,3,3,4,4,5,5-eight Fluorine-1 (nonafluorobutene fluorenyl)-pentyl]-4-phenylene, 2 - [2,2,3,3,4,4 - five gas -1_ (nonafluorobutylsulfonate)醯 肟 ))-butyl]-4_biphenyl, 2 — [2,2,3,3,4,4,5,5,6,6-decafluoro-1-1 (nonafluorobutyl) Base base) A hexyl] a 4~ biphenyl and so on. Further, the 'biguanide sulfonate is, for example, a compound of JP-A-9-208554, especially bis(α-(4-toluenesulfonyloxy)imino)-p-phenylenediacetonitrile, bis(α-( Phenylsulfonyloxy)imino)_p-phenylenediacetonitrile, bis(α-(methanesulfonyloxy)imino)-p-phenylacetonitrile, bis(α-(butanesulfonyloxy) Amino) a pair of benzenediacetonitrile, bis((10_camphorsulfonyloxy)imino)-p-phenylene-60- 1375122

腈、雙(α_(4 —甲苯磺醯氧基)亞胺基)一對苯二乙 腈 '雙(α —(三氟甲烷磺醯氧基)亞胺基)一對苯二乙 腈、雙(α— (4 —甲氧基苯磺醯氧基)亞胺基)—對苯 二乙腈、雙(α - (4_甲苯磺醯氧基)亞胺基)一間苯 二乙腈、雙(α -(苯磺醯氧基)亞胺基)—間苯二乙腈 、雙(α—(甲烷磺醯氧基)亞胺基)—間苯二乙腈、雙 (α—(丁烷磺醯氧基)亞胺基)一間苯二乙腈、雙 —(10-樟腦磺醯氧基)亞胺基)一間苯二乙腈、雙 _ (4一甲苯磺醯基氧基)亞胺基)一間苯二乙腈、雙( α —(三氟甲烷磺醯基氧基)亞胺基)_間苯二乙腈、雙 (α — (4_甲氧基苯磺醯氧基)亞胺基)—間苯二乙腈 等。 其中較佳之光酸產生劑爲锍鹽、雙磺醯基重氮甲烷、 Ν_磺醯氧基醯亞胺、肟一 0 —磺酸酯、乙二肟衍生物。 更佳之光酸產生劑爲鏑鹽、雙磺醯基重氮甲烷、Ν -磺醯 φ 氧基醯亞胺、肟- 〇—磺酸酯。具體例有三苯鏑對甲苯磺 酸酯、三苯鏑樟腦磺酸酯 '三苯锍五氟苯磺酸酯、三苯鏑 九氟丁烷磺酸酯、三苯锍4-(4’一甲苯磺醯氧基)苯磺 酸酯、三苯鏑_2,4,6_三異丙基苯磺酸酯、4一 tert— 丁 氧基苯基二苯基锍對甲苯磺酸酯、4 - tert — 丁氧基苯基二 - 苯基鏑樟腦磺酸酯、4一 tert — 丁氧基苯基二苯基鏑4_ ( 4’ 一甲苯磺醯氧基)苯磺酸酯、三(4 一甲基苯基)鏑樟 腦磺酸酯、三(4 - tert - 丁基苯基)锍樟腦磺酸酯、4-tert_ 丁基苯基二苯基鏑棒腦擴酸醋、4一 tert— 丁基苯基 (S > -61 - 1375122 二苯基毓九氟—1- 丁烷磺酸酯' 4 - tert— 丁基苯基二苯 基鏑五氟乙基全氟環己烷磺酸酯、4 - tert— 丁基苯基二苯 基锍全氟一1-辛烷磺酸酯' 三苯鏑1,1-二氟一 2 —萘基 一乙烷磺酸酯、三苯毓丨,丨,2,2—四氟-2 —(降冰片烷一 2—基)乙烷磺酸酯 '雙(tert — 丁基磺醯基)重氮甲烷、Nitrile, bis(α_(4-toluenesulfonyloxy)imino)p-phenylenediacetonitrile 'bis(α-(trifluoromethanesulfonyloxy)imino)-p-phenylenediacetonitrile, bis(α —(4-methoxyphenylsulfonyloxy)imino)-p-phenylenediacetonitrile, bis(α-(4-tosyloxy)imino)-p-phenylenediacetonitrile, bis(α- (phenylsulfonyloxy)imino)-m-phenylenediacetonitrile, bis(α-(methanesulfonyloxy)imino)-m-phenylenediacetonitrile, bis(α-(butanesulfonyloxy) Imino) a benzenediacetonitrile, bis-(10-camphorsulfonyloxy)imino)-p-phenylenediacetonitrile, bis(4-toluenesulfonyloxy)imide)benzene Diacetonitrile, bis(α-(trifluoromethanesulfonyloxy)imido)-m-phenylenediacetonitrile, bis(α-(4-methoxy-4-sulfonyloxy)imino)-m-phenylene Diacetonitrile and the like. Among them, preferred photoacid generators are sulfonium salts, bis-sulfonyldiazomethane, hydrazine sulfonyloxy quinone imine, quinone sulfonate, and ethylene quinone derivatives. More preferred photoacid generators are sulfonium salts, disulfonyl diazomethane, hydrazine-sulfonium φ oxy quinone imine, hydrazine-hydrazine sulfonate. Specific examples are triphenylsulfonium p-toluenesulfonate, triphenyl camphorsulfonate triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4-(4'-toluene Sulfomethoxy)benzenesulfonate, triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylphosphonium p-toluenesulfonate, 4- Tert —butoxyphenyldi-phenyl camphole sulfonate, 4-tert-butoxyphenyldiphenylphosphonium 4_( 4′-toluenesulfonyloxy)benzenesulfonate, three (4 Methylphenyl) camphorsulfonate, tris(4-tert-butylphenyl) camphosulfonate, 4-tert_butylphenyldiphenyl sulfonium vinegar, 4 tert-butyl Phenylphenyl group (S > -61 - 1375122 diphenyl sulfonium hexafluoro- 1-butane sulfonate ' 4 - tert - butyl phenyl diphenyl quinone pentafluoroethyl perfluorocyclohexane sulfonate 4-tert-butylphenyldiphenylphosphonium perfluoro- 1-octanesulfonate 'triphenylsulfonium 1,1-difluoro-2-phenylnaphthalene monoethanesulfonate, triphenylsulfonate, Bismuth, 2,2-tetrafluoro-2((norbornane-2-yl)ethanesulfonate' bis (tert-butylsulfonate) ) Diazomethane,

雙(環己基磺醯基)重氮甲烷、雙(2,4 —二甲基苯基磺 醯基)重氮甲烷、雙(4 一正己氧基)苯基磺醯基)重氮 甲烷、雙(2 -甲基—4一(正己氧基)苯基磺醯基)重氮 甲烷、雙(2,5 —二甲基-4 一 (正己氧基)苯基磺醯基) 重氮甲烷、雙(3,5 —二甲基_4_ (正己氧基)苯基磺醯 基)重氮甲烷、雙(2 —甲基一5 -異丙基—4—(正己氧 基)苯基磺醯基)重氮甲烷、雙(4 一 tert — 丁基苯基磺醯 基)重氮甲烷'N -樟腦磺醯氧基一 5-降冰片烯一 2,3-二羧酸醯亞胺、N —對甲苯磺醯氧基一5 —降冰片烯一 2,3 一二羧酸醯亞胺、2— [2,2,3,3,4,4,5,5_八氟一 1一(九氟 φ 丁基磺醯基肟基)—戊基]—芴、2— [2,2,3,3,4,4 —五氟— 1—(九氟丁基磺醯基肟基)—丁基]—芴、2_ [2,2,3,3,4,4,5,5,6,6-十氟一 1 一 (九氟丁基磺醯基肟基) 一己基]一药等。 本發明之光阻材料中,光酸產生劑(B)及(B,)之 添加量可爲任一添加量’一般相對於光阻材料中之基礎聚 合物(本發明之上述樹脂成份(A )及必要時所添加之其 他樹脂成份)100質量份爲0.1〜40質量份,較佳爲〇」 〜20質量份。光酸產生劑之比例過多時,將可能會引起 -62- 1375122Bis(cyclohexylsulfonyl)diazomethane, bis(2,4-dimethylphenylsulfonyl)diazomethane, bis(4-n-hexyloxy)phenylsulfonyl)diazomethane, double (2-methyl-4(n-hexyloxy)phenylsulfonyl)diazomethane, bis(2,5-dimethyl-4(n-hexyloxy)phenylsulfonyl)diazomethane, Bis(3,5-dimethyl-4-yl(n-hexyloxy)phenylsulfonyl)diazomethane, bis(2-methyl-5-isopropyl-4-(n-hexyloxy)phenylsulfonate Diazomethane, bis(4-tert-butylphenylsulfonyl)diazomethane 'N-camphorsulfonyloxy-5-norbornene- 2,3-dicarboxylic acid quinone imine, N - p-toluenesulfonyloxy-5-norbornene- 2,3-dicarboxylic acid quinone imine, 2-[2,2,3,3,4,4,5,5-octafluoro-1 ( Nonafluoro φ butyl sulfonyl fluorenyl) pentyl] hydrazine, 2-[2,2,3,3,4,4-pentafluoro- 1 -(nonafluorobutylsulfonyl fluorenyl)- Butyl]-芴, 2_ [2,2,3,3,4,4,5,5,6,6-decafluoro-1 (nonafluorobutyrylsulfonyl)-hexyl]-medicine . In the photoresist material of the present invention, the photoacid generators (B) and (B,) may be added in any amount "generally relative to the base polymer in the photoresist material (the above resin component of the present invention (A) And 100 parts by mass of the other resin component to be added, if necessary, is 0.1 to 40 parts by mass, preferably 〇" to 20 parts by mass. When the proportion of photoacid generator is too large, it may cause -62-1375122

解析性劣化,或顯影/光阻剝離時產生異物等之問題。( B )與(B’)之添加比例,於各別之添加量爲[B]及[B,]之 情形中,較佳爲0.1S[B]/ ([B]+[B’])各1,更佳爲 0.3S [B]/ ( [B]+ [B,]) $ 1,最佳爲 0.5$ [B]/ ( [B] + [B’] ) S 1。光酸產生劑(B )之添加比例過低時,其曝光 量依賴性、疏密依賴性、光罩忠實性等會有劣化之情形。 又,上述光酸產生劑(B)及(B’),可各別單獨或將2 種以上混合使用。此外,於曝光波長中,使用透過率較低 之光酸產生劑時,其添加量可控制光阻膜中之透過率。 本發明之光阻材料中可添加藉酸分解產生酸的化合物 (酸增殖化合物)。該化合物記載於 J.Photopolym.Sci. and Tech., 8.43 -44,45-46 ( 1 9 9 5 ) , J. P ho t ο ρ ο 1 y m. Sci .andThere is a problem that the analytic property is deteriorated, or foreign matter is generated during development/resistance peeling. The ratio of addition of (B) to (B') is preferably 0.1S [B] / ([B] + [B']) in the case where the respective addition amounts are [B] and [B,] Each 1 is more preferably 0.3S [B]/ ( [B]+ [B,]) $ 1, preferably 0.5$ [B]/ ( [B] + [B'] ) S 1. When the addition ratio of the photoacid generator (B) is too low, the exposure amount dependency, the density dependence, the mask faith, and the like may be deteriorated. Further, the photoacid generators (B) and (B') may be used singly or in combination of two or more kinds. Further, when a photoacid generator having a low transmittance is used in the exposure wavelength, the amount of addition can control the transmittance in the photoresist film. A compound (acid-proliferating compound) which generates an acid by acid decomposition can be added to the photoresist material of the present invention. This compound is described in J. Photopolym. Sci. and Tech., 8.43-44, 45-46 (1 9 9 5 ), J. P ho t ο ρ ο 1 y m. Sci .and

Tech·,9.29- 3 0 ( 1 996 )。 酸增殖化合物例如有tert — 丁基一 2—甲基2 —甲苯磺 醯氧基甲基乙醯乙酸酯'2 —苯基一 2— (2—甲苯磺醯氧 φ 基乙基)_1,3_二氧戊環等,但是不受此限。公知之光 酸產生劑中,安定性特別是熱安定性較差的化合物大部份 具有酸增殖化合物的特性。 本發明之光阻材料中之酸增殖化合物的添加量係對於 光阻材料中之基礎聚合物100質量份時,添加〇至2質量 - 份,較佳爲〇至1質量份。添加量過多時,將會因不易對 擴散進行控制而引起解像性之劣化、圖型形狀劣化等疑慮 本發明之光阻材料,除上述(A)及(B)以外,可Tech·, 9.29- 3 0 (1 996). The acid-proliferating compound is, for example, tert-butyl-2-methyl-2-toluenesulfonyloxymethylacetate acetate 2-2-phenyl-2-(2-toluenesulfonyloxy)-ylethyl}. 3_dioxolane, etc., but not limited to this. Among the known photoacid generators, most of the compounds having poor stability, particularly thermal stability, have the characteristics of an acid-proliferating compound. The amount of the acid-proliferating compound to be added to the photoresist of the present invention is 〇 to 2 parts by mass, preferably 〇 to 1 part by mass, based on 100 parts by mass of the base polymer in the photoresist. When the amount of addition is too large, it is difficult to control the diffusion, and the resolution is deteriorated, and the shape of the pattern is deteriorated. The photoresist of the present invention may be other than the above (A) and (B).

(S -63- 1375122 再含有(C)有機溶劑,又,必要時,可再含有(D)含 氮有機化合物、(E)界面活性劑、(F)其他成份。(S-63-1375122 further contains (C) an organic solvent, and if necessary, further contains (D) a nitrogen-containing organic compound, (E) a surfactant, and (F) other components.

本發明使用之(C)有機溶劑,只要是可溶解基礎樹 脂 '酸發生劑、其他添加劑等之有機溶劑時皆可使用。這 種有機溶劑例如環己酮、甲基戊酮等之酮類;3 -甲氧基 丁醇、3 —甲基_3_甲氧基丁醇、1_甲氧基—2 —丙醇、 1—乙氧基一2 —丙醇等醇類;丙二醇單甲醚、乙二醇單甲 醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇二甲醚、二乙 二醇二甲醱等醚類:丙二醇單甲醚乙酸酯、丙二醇單乙醚 乙酸酯、乳酸乙酯、丙酮酸乙酯、乙酸丁酯、3 —甲氧基 丙酸甲酯、3 -乙氧基丙酸乙酯、乙酸tert - 丁酯、丙酸 tert — 丁酯、丙二醇單tert—丁醚乙酸酯等酯類:r 一丁 內酯等內酯類,該可單獨使用1種或混合2種以上使用, 但不限定於上述溶劑。本發明中,該有機溶劑中較適合使 用對光阻成份中之酸產生劑之溶解性最優異之二乙二醇二 甲醚或1 一乙氧基-2—丙醇、丙二醇單甲醚乙酸酯及其 混合溶劑。 有機溶劑之使用量係對於基礎聚合物100質量份時, 使用200至3,000質量份,特別是以400至2,5 00質量份 爲更佳。 又,本發明之光阻材料中可含有1種或2種以上之( D)成份之含氮有機化合物。 含氮有機化合物可抑制因酸產生劑所產生之酸擴散至 光阻膜中之擴散速度的化合物。添加含氮有機化合物可抑 -64- 1375122 制光阻膜中之酸之擴散速度,提高解像度,抑制曝光後之 感度變化,或降低基板或環境之依存性,可提昇曝光寬容 許度或圖型之外形等。The organic solvent (C) used in the present invention can be used as long as it is an organic solvent which can dissolve the basic resin 'acid generator, other additives and the like. Such organic solvents such as ketones such as cyclohexanone and methylpentanone; 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, Alcohols such as 1-ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl hydrazine Class: propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, Esters such as tert-butyl acetate, tert-butyl propionate, and tert-butyl ether acetate such as propylene glycol: lactones such as r-butyrolactone, which may be used alone or in combination of two or more. It is not limited to the above solvent. In the present invention, it is preferred to use diethylene glycol dimethyl ether or 1-ethoxy-2-propanol or propylene glycol monomethyl ether B which is most excellent in solubility in an acid generator in a photoresist component. Acid esters and their mixed solvents. The organic solvent is used in an amount of from 200 to 3,000 parts by mass, particularly preferably from 400 to 2,500 parts by mass, per 100 parts by mass of the base polymer. Further, the photoresist material of the present invention may contain one or two or more kinds of nitrogen-containing organic compounds of the component (D). The nitrogen-containing organic compound inhibits a compound which diffuses into the photoresist film by the acid generated by the acid generator. Addition of nitrogen-containing organic compounds can inhibit the diffusion rate of acid in the photoresist film of -64-1375122, improve the resolution, suppress the sensitivity change after exposure, or reduce the dependence of the substrate or the environment, and improve the exposure tolerance or pattern. Shape and so on.

該含氮有機化合物可使用目前爲止作爲光阻材料,特 別是增強化學型光阻材料所使用之任一公知之含氮有機化 合物皆可,例如有第1級、第2級、第3級之脂肪族胺類 、混合胺類、芳香族胺類、雜環胺類、具有羧基之含氮化 合物、具有磺醯基之含氮化合物、具有羥基之含氮化合物 、具有羥苯基之含氮化合物、醇性含氮化合物、醯胺類、 醯亞胺類、胺基甲酸酯類等。 具體而言,第1級之脂肪胺類例如有氨、甲胺、乙胺 、正丙胺、異丙胺、正丁胺、異丁胺、sec — 丁胺、tert — 丁胺、戊胺、tert _戊胺、環戊胺、己胺、環己胺、庚胺 、辛胺、壬胺、癸胺、月桂胺、十六烷胺、甲二胺、乙二 胺 '四乙撐戊胺等;第2級之脂肪胺族類例如有二甲胺、 φ 二乙胺、二正丙胺、二異丙胺、二正丁胺、二異丁胺、二 —sec-丁胺、二戊胺、二環戊胺、二己胺、二環己胺、 二庚胺、二辛胺、二壬胺、二癸胺、二月桂胺、二一十六 烷胺、Ν,Ν—二甲基甲撐二胺、N,N —二甲基乙二胺、N,N —二甲基四乙撐戊胺等;第3級之脂肪族胺類例如有三甲 • 胺、三乙胺 '三正丙胺、三異丙胺、三正丁胺、三異丁胺 . 、三一 sec — 丁胺、三戊胺、三環戊胺、三己胺、三環己 胺、三庚胺、三辛胺、三壬胺' 三癸胺、三月桂胺、三-十六烷胺、N,N,N’,N’ 一四甲基甲二胺、N,N,N’,N’ 一四甲 -65- 1375122 基乙二胺、N,N,N’,N’~四甲基四乙撐戊胺等。The nitrogen-containing organic compound may be any conventional nitrogen-containing organic compound used as a photoresist material, particularly a reinforced chemical resist material, for example, there are first, second, and third stages. Aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxyl group, nitrogen-containing compounds having a sulfonyl group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group Alcoholic nitrogen-containing compounds, guanamines, quinones, urethanes, and the like. Specifically, the aliphatic amines of the first stage are, for example, ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert _ Pentylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, decylamine, decylamine, laurylamine, hexadecylamine, methyldiamine, ethylenediamine 'tetraethylene pentylamine, etc.; Class 2 fatty amines such as dimethylamine, φ diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, diamylamine, dicyclopentane Amine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, diamine, diamine, dilaurylamine, dihexadecylamine, hydrazine, hydrazine-dimethylmethylenediamine, N,N-dimethylethylenediamine, N,N-dimethyltetraethylenepentamine, etc.; the aliphatic amines of the third stage are, for example, trimethylamine, triethylamine tri-n-propylamine, triisopropylamine , tri-n-butylamine, triisobutylamine., tris sec — butylamine, triamylamine, tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, tridecylamine Guanamine, trilaurylamine, tri-hexadecaneamine, N, N, N' , N'-tetramethylmethyldiamine, N,N,N',N'-tetramethyl-65- 1375122-based ethylenediamine, N,N,N',N'~tetramethyltetraethylenepentylamine Wait.

又’混合胺類例如有二甲基乙胺、甲基乙基丙胺、苯 甲胺' 苯乙胺、苯甲基二甲胺等。芳香族胺類及雜環胺類 之具體例有苯胺衍生物(例如苯胺、N —甲基苯胺、N-乙基苯胺' N -丙基苯胺、N N_二甲基苯胺、2_甲基苯 胺、3—甲基苯胺、4_甲基苯胺、乙基苯胺、丙基苯胺、 二甲基苯胺、2-硝基苯胺、3_硝基苯胺、4_硝基苯胺 、2,4 —二硝基苯胺、2,6_二硝基苯胺、35—二硝基苯胺 、N,N—二甲基甲苯胺等)、二苯基(對甲苯基)胺、甲 基二苯胺、三苯胺、苯二胺 '萘胺、二胺基萘、吡咯衍生 物(例如卩比咯、2 Η —卩比哈、1 —甲基υ比哈、2,4 _二甲基耻 咯、2,5 —二甲基吡咯、Ν_甲基吡咯等)、噁唑衍生物( 例如Β惡哩、異D惡哩等)、噻哩衍生物(例如噻哩、異噻哩 等)、咪唑衍生物(例如咪唑、4_甲基咪唑、4一甲基-2—苯基咪唑等)、吡唑衍生物、呋咱衍生物、吡咯啉衍 生物(例如吡咯啉、2 —甲基一 1-吡咯啉等)、吡咯烷衍 生物(例如吡咯烷、Ν -甲基吡咯烷、吡咯烷酮、ν_甲 基吡咯烷酮等)、咪唑啉衍生物、咪唑並吡啶衍生物、吡 啶衍生物(例如吡啶、甲基吡啶、乙基吡啶、丙基吡啶、 丁基吡陡、4 - ( 1 — 丁基戊基)吡啶、二甲基吡陡、三甲 基吡啶、三乙基吡啶、苯基吡啶、3 —甲基一 2 —苯基吡啶 、4 — tert — 丁基吡啶、二苯基吡啶、苯甲基吡啶、甲氧基 吡D定、丁氧基卩比啶、二甲氧基吡啶、4 -吡咯烷基吡啶、2 一 (1 —乙基丙基)吡啶、胺基吡啶、二甲胺基吡啶等)Further, the mixed amines are, for example, dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine or benzyldimethylamine. Specific examples of the aromatic amines and heterocyclic amines are aniline derivatives (for example, aniline, N-methylaniline, N-ethylaniline 'N-propylaniline, N N-dimethylaniline, 2-methyl) Aniline, 3-methylaniline, 4-methylaniline, ethylaniline, propylaniline, dimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-2 Nitroaniline, 2,6-dinitroaniline, 35-dinitroaniline, N,N-dimethyltoluidine, etc.), diphenyl (p-tolyl)amine, methyldiphenylamine, triphenylamine, Phenylenediamine 'naphthylamine, diaminonaphthalene, pyrrole derivatives (eg, bismuth, 2 Η - 卩 哈, 1 - methyl υ 哈, 2, 4 _ dimethyl mascara, 2, 5 - Dimethylpyrrole, hydrazine-methylpyrrole, etc.), oxazole derivatives (eg, anthraquinone, iso-D-oxime, etc.), thiazide derivatives (eg, thiazide, isothiazide, etc.), imidazole derivatives (eg Imidazole, 4-methylimidazole, 4-monomethyl-2-phenylimidazole, etc., pyrazole derivatives, furazan derivatives, pyrroline derivatives (for example, pyrroline, 2-methyl-1-pyrroline, etc.) ), pyrrolidine derivatives (for example) Pyrrolidine, fluorene-methylpyrrolidine, pyrrolidone, ν-methylpyrrolidone, etc.), imidazoline derivatives, imidazopyridine derivatives, pyridine derivatives (eg pyridine, picoline, ethylpyridine, propylpyridine, Butylpyrrole, 4-(1-butylpentyl)pyridine, dimethylpyrrolidine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4 — Tert — butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridinidine, butoxypyridinium, dimethoxypyridine, 4-pyrrolidinylpyridine, 2 (1-ethyl) Propyl)pyridine, aminopyridine, dimethylaminopyridine, etc.)

-66 - 1375122-66 - 1375122

、噠嗪衍生物、嘧啶衍生物、吡嗪衍生物、吡唑啉衍生物 、吡唑烷衍生物、哌啶衍生物、哌嗪衍生物、嗎啉衍生物 、吲哚衍生物、異吲哚衍生物、1 Η —吲唑衍生物、吲哚 啉衍生物、唾啉衍生物(例如喹啉、3 -喹啉腈等)、異 喹啉衍生物、噌啉衍生物、喹唑啉衍生物、喹喔啉衍生物 、酞嗪衍生物、嘌呤衍生物、蝶啶衍生物、咔唑衍生物、 菲繞啉衍生物、吖啶衍生物、吩嗪衍生物、1,1 〇 —菲繞咐 衍生物、腺嘌呤衍生物、腺苷衍生物、鳥嘌呤衍生物 '鳥 苷衍生物、脲嘧啶衍生物、脲嗪衍生物等。 又’具有羧基之含氮化合物,例如胺基苯甲酸、吲哚 羧酸、胺基酸衍生物(例如尼古丁酸、丙氨酸、精氨酸、 天冬氨酸、枸橡酸、甘氨酸、組氨酸、異賴氨酸、甘氨酿 白氨酸、白氨酸、蛋氨酸、苯基丙氨酸、蘇氨酸、賴氨酸 、3 —胺基吡嗪一2 一羧酸、甲氧基丙氨酸)等;具有磺醯 基之含氮化合物例如3—吡啶磺酸、對甲苯磺酸吡啶鎗等 ;具有羥基之含氮化合物、具有羥苯基之含氮化合物、醇 性含氮化合物例如有2 —羥基吡啶、胺基甲酚' 2,4 _喹咐 二醇、3—吲哚甲醇氫化物'單乙醇胺、二乙醇胺、三乙 醇胺、Ν—乙基二乙醇胺、ν,Ν —二乙基乙醇胺、三異丙 醇胺、2,2’ 一亞胺基二乙醇、2一胺基乙醇、3_胺基 _丙醇、4 —胺基—1— 丁醇、4一 (2 一羥乙基)嗎啉' 2 一 (2 -羥乙基)吡啶、(2_羥乙基)哌嗪、ι_[2〜 (2_羥基乙氧基)乙基]哌嗪、哌嗪乙醇、1— (2_羥乙 基)吡咯烷、1— (2—羥乙基)—2-吡咯烷酮'3 —哌陡, pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, anthracene derivatives, isoindole a derivative, a hydrazine-carbazole derivative, a porphyrin derivative, a porphyrin derivative (for example, quinoline, 3-quinolinonitrile, etc.), an isoquinoline derivative, a porphyrin derivative, a quinazoline derivative , quinoxaline derivative, pyridazine derivative, anthracene derivative, pteridine derivative, carbazole derivative, phenanthroline derivative, acridine derivative, phenazine derivative, 1,1 〇-phenanthrene Derivatives, adenine derivatives, adenosine derivatives, guanine derivatives 'guanosine derivatives, uracil derivatives, ureaazine derivatives, and the like. And 'a nitrogen-containing compound having a carboxyl group, such as an aminobenzoic acid, an anthracene carboxylic acid, an amino acid derivative (for example, nicotine, alanine, arginine, aspartic acid, decanoic acid, glycine, group Amino acid, isolysine, glycine leucine, leucine, methionine, phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxy Alanine), etc.; nitrogen-containing compounds having a sulfonyl group such as 3-pyridine sulfonic acid, p-toluenesulfonic acid pyridine gun, etc.; nitrogen-containing compound having a hydroxyl group, nitrogen-containing compound having a hydroxyphenyl group, and an alcoholic nitrogen-containing compound For example, there are 2-hydroxypyridine, aminocresol' 2,4 quinacridol, 3-hydrazine methanol hydride 'monoethanolamine, diethanolamine, triethanolamine, hydrazine-ethyldiethanolamine, ν, Ν-II Ethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-propanol, 4-amino-1-butanol, 4 (2) Hydroxyethyl)morpholine 2 2-(2-hydroxyethyl)pyridine, (2-hydroxyethyl)piperazine, ι_[2~(2-hydroxyethoxy)ethyl]piperazine, piperazine ethanol 1- (2_ hydroxyethyl) pyrrolidine, 1- (2-hydroxyethyl) -2-pyrrolidone 3 '- piperidin Steep

< S -67- 1375122 基一1,2 —丙二醇、3 —吡咯烷基_1,2 -丙二醇、8 —羥基 久洛尼陡、3 -暗陡醇、3 —托品醇、1—甲基—2 —肚略院 乙醇、1 一氮雜環丙烷乙醇、N— (2—羥乙基)醯亞胺 、N- (2—羥乙基)異尼古丁醯胺等。醯胺衍生物例如 甲醯胺、N —甲基醯胺、N,N—二甲基甲醯胺、乙醯胺、N —甲基乙醯胺、N,N —二甲基乙醯胺、丙醯胺、苯醯胺、 1 -環己基吡咯烷酮等。醯亞胺衍生物例如有酞醯亞胺、<S-67- 1375122 keto-1,2-propanediol, 3-pyrrolidinyl-1,2-propanediol, 8-hydroxy jiuronis, 3-dark alcohol, 3-terpineol, 1-methyl Base-2 - Abdominal ethanol, 1-azacyclopropaneethanol, N-(2-hydroxyethyl) quinone imine, N-(2-hydroxyethyl) isonicotamine and the like. Indoleamine derivatives such as formamide, N-methylamine, N,N-dimethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide, Propylamine, benzoguanamine, 1-cyclohexylpyrrolidone, and the like. a quinone imine derivative such as a quinone imine,

琥珀醯亞胺、馬來醯亞胺等。胺基甲酸酯類例如有N-tert — 丁氧基羰基一N,N —二環己基胺、N— ten — 丁氧基 羰基苯並咪唑、噁唑酮。 例如下述通式(B) -1所示之含氮有機化合物。 (B)-l N(X)„(Y)3. (式中,η爲1、2或3。側鏈X可相同或不同,可以下 述通式(XI)〜(Χ3)所示。側鏈γ可爲相同或不同之 φ 氫原子或直鏈狀、分支狀或環狀之碳數1至20的烷基, 可含有醚基或羥基。X彼此可鍵結形成環)。 【化4 1】 _J_r30(L_q_R301 j (XI) --R302—Ο—R303—C—R304 (X2) --R305—C—0—R306 (X3) 其中,R3QQ、R3Q2、R3Q5爲碳數1至4之直鏈狀或分Amber succinimide, maleimide, and the like. The urethanes are, for example, N-tert-butoxycarbonyl-N,N-dicyclohexylamine, N-ten-butoxycarbonylbenzimidazole, oxazolone. For example, a nitrogen-containing organic compound represented by the following formula (B)-1. (B)-l N(X) „(Y)3. (wherein η is 1, 2 or 3. The side chains X may be the same or different and may be represented by the following general formulae (XI) to (Χ3). The side chain γ may be the same or different φ hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may have an ether group or a hydroxyl group. X may be bonded to each other to form a ring). 4 1] _J_r30(L_q_R301 j (XI) --R302—Ο—R303—C—R304 (X2) --R305—C—0—R306 (X3) where R3QQ, R3Q2, and R3Q5 are carbon numbers 1 to 4 Straight chain or minute

< S -68- 1375122 支狀之伸烷基:113()1、1^3<)4爲氫原子、碳數1至20之直 鏈狀、分支狀或環狀之烷基,可含有1個或多個之羥基、 醚基、酯基、內酯環。 R3<)3爲單鍵、碳數1至4之直鏈狀或分支狀之伸烷基 ,R3G0爲碳數1至20之直鏈狀、分支狀或環狀之烷基, 可含有1個或多個羥基、醚基、酯基、內酯環。< S -68-1375122 Branched alkyl group: 113 () 1, 1 ^ 3 <) 4 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may contain One or more of a hydroxyl group, an ether group, an ester group, and a lactone ring. R3<)3 is a single bond, a linear or branched alkyl group having 1 to 4 carbon atoms, and R3G0 is a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may contain 1 Or a plurality of hydroxyl, ether, ester, lactone rings.

上述通式(B) -1表示之化合物,具體例如三(2-甲氧甲氧乙基)胺、三{2— (2—甲氧乙氧基)乙基}胺、 三{2— (2 -甲氧乙氧甲氧基)乙基}胺、三{2- (1 —甲 氧乙氧基)乙基}胺、三{2— (1 —乙氧乙氧基)乙基}胺 、三{2- (1-乙氧丙氧基)乙基}胺、三[2— {2— (2 - 經基乙氧基)乙氧基}乙基]胺、4,7,】3,16,21,24 —六氧雜 一1,10—二氮雜二環[8.8.8]二十六烷、4,7,13,18-四氧雜 -1,10—二氮雜二環[8.5.5]二十院、1,4,1〇, 13—四氧雜一 7,16 ——氮雑一環十八垸、1 一氮雜—12 —冠—4、1—氮 雜一 15-冠_5、1_氮雜一18 -冠一6、三(2 —甲醯氧乙 基)胺、三(2-乙醯氧乙基)胺、三(2 —丙酿氧乙基) 胺、三(2— 丁醯氧乙基)胺、三(2 —異丁醯氧乙基)胺 、三(2 —戊醯氧乙基)胺、三(2-己醯氧乙基)胺、 N,N—雙(2 —乙醯氧乙基)2— (乙醯氧乙醯氧基)乙胺 、三(2 -甲氧羰氧乙基)胺 '三(2 — tert — 丁氧羯氧乙 基)胺、三[2 —(2 —氧代丙氧基)乙基]胺、三[2_ (甲 氧鑛甲基)氧乙基]女、二[2—(tert — 丁氧鑛甲基氧基) 乙基]胺、三[2—(環己基氧基羰甲基氧基)乙基]胺、三 (S > -69- 1375122 (2 —甲氧羰乙基)胺、三(2 —乙氧基羰乙基)胺、Ν,Ν 一雙(2 —羥乙基)2— (甲氧羰基)乙胺、Ν,Ν —雙(2 一乙醯氧基乙基)2-(甲氧羰基)乙胺、Ν,Ν —雙(2-羥乙基)2-(乙氧羰基)乙胺、Ν,Ν -雙(2 -乙醯氧乙 基)2-(乙氧羰基)乙胺、Ν,Ν-雙(2 -羥乙基)2-(2 -甲氧乙氧羰基)乙胺、Ν,Ν-雙(2 -乙醯氧乙基) 2- (2 -甲氧乙氧羰基)乙胺、Ν,Ν -雙(2 -羥乙基)2The compound represented by the above formula (B)-1, specifically, for example, tris(2-methoxymethoxyethyl)amine, tris{2-(2-methoxyethoxy)ethyl}amine, three {2—( 2-methoxyethoxymethoxy)ethyl}amine, tris{2-(1-methoxyethoxy)ethyl}amine, tris{2-(1-ethoxyethoxy)ethyl}amine , three {2-(1-ethoxypropoxy)ethyl}amine, tris[2-{2-(2-hydroxyethyloxy)ethoxy}ethyl]amine, 4,7,]3 ,16,21,24-hexaoxa-1,10-diazabicyclo[8.8.8]hexadecane, 4,7,13,18-tetraoxa-1,10-diaza Ring [8.5.5] 20th courtyard, 1,4,1〇, 13-tetraoxa-7,16-azepine-cyclohexadecane, 1-aza- 12-crown-4, 1-aza a 15-crown _5, 1_aza-18-crown-6, tris(2-methyloxyethyl)amine, tris(2-acetoxyethyl)amine, tris(2-propaneoxy) Amine, tris(2-butyloxyethyl)amine, tris(2-isobutyloxyethyl)amine, tris(2-pentenyloxyethyl)amine, tris(2-hexyloxyethyl) Amine, N,N-bis(2-ethoxyethyl)2-(acetyloxyethoxy)ethylamine, (2-methoxycarbonyloxyethyl)amine 'tris(2-tert-butoxy oxyethyl)amine, tris[2-(2-oxopropoxy)ethyl]amine, three [2_ (a Oxygen methyl)oxyethyl]female, bis[2-(tert-butoxymethyloxy)ethyl]amine, tris[2-(cyclohexyloxycarbonylmethyloxy)ethyl]amine , three (S > -69-1375122 (2-methoxycarbonylethyl)amine, tris(2-ethoxycarbonylethyl)amine, hydrazine, hydrazine, a pair of (2-hydroxyethyl) 2 - (A Oxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-ethoxycarbonylethyl) 2-(methoxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-hydroxyethyl)2-(ethoxycarbonyl) Ethylamine, hydrazine, hydrazine - bis(2-ethoxycarbonylethyl) 2-(ethoxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-hydroxyethyl)2-(2-methoxyethoxycarbonyl) Ethylamine, hydrazine, hydrazine-bis(2-ethoxycarbonylethyl) 2-(2-methoxyethoxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-hydroxyethyl)2

-(2 —羥基乙氧羰基)乙胺、Ν,Ν -雙(2 -乙醯氧乙基 )2- (2—乙醯氧乙氧羰基)乙胺、Ν,Ν-雙(2 —羥乙 基)2—[(甲氧羰基)甲氧羰基]乙胺、Ν,Ν —雙(2 —乙 醯氧乙基)2-[(甲氧羰基)甲氧羰基]乙胺、Ν,Ν —雙( 2 -羥乙基)2— (2 -氧代丙氧羰基)乙胺、Ν,Ν -雙(2 -乙醯氧乙基)2- (2 -氧代丙氧羰基)乙胺、Ν,Ν-雙 (2 —羥乙基)2—(四氫糠氧基羰基)乙胺、Ν,Ν -雙( 2 -乙醯氧乙基)2-(四氫糠氧基羰基)乙胺、Ν,Ν —雙 (2 —羥乙基)2 - [2—(氧代四氫呋喃—3-基)氧羰基] 乙胺、Ν,Ν —雙(2 —乙醯氧乙基)2— [(2 -氧代四氫呋 喃_3_基)氧羰基]乙胺、Ν,Ν-雙(2 —羥乙基)2_ (4 —羥基丁氧羰基)乙胺、Ν,Ν —雙(2 -甲醯氧乙基)2 — (4_甲醯氧基丁氧羰基)乙胺、Ν,Ν -雙(2 —甲醯氧乙 基)2_ (2 —甲醯氧乙氧基羰基)乙胺、Ν,Ν —雙(2 — 甲氧乙基)2-(甲氧羰基)乙胺、Ν— (2 —羥乙基)雙 [2 — (甲氧羰基)乙基]胺'Ν- (2 —乙醯氧乙基)雙[2 —(甲氧羰基)乙基]胺、Ν— (2 —羥乙基)雙[2— (乙 -70- 1375122-(2-hydroxyethoxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-ethoxycarbonylethyl)2-(2-ethoxycarbonylethoxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-hydroxyl Ethyl) 2-[(methoxycarbonyl)methoxycarbonyl]ethylamine, hydrazine, hydrazine-bis(2-ethoxyethyl)2-[(methoxycarbonyl)methoxycarbonyl]ethylamine, hydrazine, hydrazine - bis(2-hydroxyethyl)2-(2-oxopropoxycarbonyl)ethylamine, hydrazine, hydrazine-bis(2-ethoxypropoxyethyl)2-(2-oxopropoxycarbonyl)ethylamine ,Ν,Ν-bis(2-hydroxyethyl)2-(tetrahydrofuranyloxycarbonyl)ethylamine, anthracene, fluorene-bis(2-ethoxycarbonylethyl)2-(tetrahydroindolyloxycarbonyl) Ethylamine, hydrazine, hydrazine-bis(2-hydroxyethyl)2-[2-(oxotetrahydrofuran-3-yl)oxycarbonyl]ethylamine, hydrazine, hydrazine-bis(2-ethoxyethyl)2 —[(2-oxotetrahydrofuran_3_yl)oxycarbonyl]ethylamine, hydrazine, hydrazine-bis(2-hydroxyethyl)2-(4-hydroxybutoxycarbonyl)ethylamine, hydrazine, hydrazine-bis (2 -Methoxyethyl)2 - (4-methyloxybutoxycarbonyl)ethylamine, hydrazine, hydrazine - bis(2-methyloxyethyl) 2_(2-methoxycarbonylethoxycarbonyl) Amine, hydrazine, hydrazine - double (2 - methoxy (2-(methoxycarbonyl)ethylamine, hydrazine-(2-hydroxyethyl) bis[2-(methoxycarbonyl)ethyl]amine' Ν-(2 - ethoxylated ethyl) bis[2 — (methoxycarbonyl)ethyl]amine, Ν-(2-hydroxyethyl) bis[2-(B-70- 1375122

氧羰基)乙基]胺、N- (2 —乙醯氧乙基)雙[2-(乙氧 羰基)乙基]胺、N— (3 —羥基_1_丙基)雙[2—(甲氧 羰基)乙基]胺、N- (3-乙醯氧基—1-丙基)雙[2—( 甲氧羰基)乙基]胺、N- (2—甲氧乙基)雙[2-(甲氧 羰基)乙基]胺、N — 丁基雙[2 —(甲氧羰基)乙基]胺、N 一 丁基雙[2 _ (2_甲氧乙氧羰基)乙基]胺、N—甲基雙 (2 —乙醯氧乙基)胺、N_乙基雙(2_乙醯氧乙基)胺 、N —甲基雙(2_三甲基乙醯氧乙基)胺、N_乙基雙[2 —(甲氧基羰氧基)乙基]胺、N_乙基雙[2_ (tert—丁 氧羰氧基)乙基]胺、三(甲氧羰甲基)胺、三(乙氧羰 甲基)胺、N—丁基雙(甲氧羰甲基)胺、N -己基雙( 甲氧羰甲基)胺、沒一(二乙胺基)一6 -戊內醯胺。 例如具有下述通式(B)-2所示環狀結構之含氮有機化 合物。 【化4 2】Oxycarbonyl)ethyl]amine, N-(2-ethyloxyethyl)bis[2-(ethoxycarbonyl)ethyl]amine, N-(3-hydroxyl-propyl) bis[2-( Methoxycarbonyl)ethyl]amine, N-(3-acetoxy-l-propyl)bis[2-(methoxycarbonyl)ethyl]amine, N-(2-methoxyethyl) bis[ 2-(methoxycarbonyl)ethyl]amine, N-butylbis[2-(methoxycarbonyl)ethyl]amine, N-butylbis[2 _(2-methoxyethoxycarbonyl)ethyl] Amine, N-methylbis(2-ethoxyethyl)amine, N-ethylbis(2-acetoxyethyl)amine, N-methylbis(2-trimethylacetoxyethyl) Amine, N_ethylbis[2-(methoxycarbonyloxy)ethyl]amine, N-ethylbis[2_(tert-butoxycarbonyloxy)ethyl]amine, tris(methoxycarbonyl) Methyl)amine, tris(ethoxycarbonylmethyl)amine, N-butylbis(methoxycarbonylmethyl)amine, N-hexylbis(methoxycarbonylmethyl)amine, none (diethylamino) A 6-pentalinamide. For example, a nitrogen-containing organic compound having a cyclic structure represented by the following formula (B)-2. [化4 2]

(上述式中,X係如上所述,R3t)7係碳數2至20之直鏈 狀或分支狀之伸烷基,可含有1個或多數個羰基、醚基、 酯基或硫醚)。 上述式(B)-2之具體例有1— [2—(甲氧甲氧基)乙 基]吡咯烷、1- [2-(甲氧甲氧基)乙基]哌啶、4 — [2-(甲氧甲氧基)乙基]嗎啉、1_ [2- [2 — (甲氧乙氧基) C S) -71 - 1375122(In the above formula, X is as described above, R3t) 7 is a linear or branched alkyl group having 2 to 20 carbon atoms, and may contain one or a plurality of carbonyl groups, ether groups, ester groups or thioethers) . Specific examples of the above formula (B)-2 are 1-[2-(methoxymethoxy)ethyl]pyrrolidine, 1-[2-(methoxymethoxy)ethyl]piperidine, 4 — [ 2-(methoxymethoxy)ethyl]morpholine, 1_ [2- [2 - (methoxyethoxy) CS) -71 - 1375122

甲氧基]乙基]吡咯烷、1一 [2 _ [2 _ (甲氧乙氧基)甲氧基 ]乙基]哌陡、4一 [2 - [2—(甲氧乙氧基)甲氧基]乙基]嗎 啉、乙酸2 —(1_吡咯基)乙酯、乙酸2_哌啶基乙酯、 乙酸2—嗎啉乙酯、甲酸2_ (ι_吡咯基)乙酯、丙酸2 一峨陡基乙酯、乙醯氧乙酸2_嗎啉乙酯 '甲氧基乙酸2 一 (1 一吡咯基)乙酯、4一 [2 _ (甲氧羰氧基)乙基]嗎 啉、1 — [2— ( tert — 丁氧鑛氧基)乙基]哌啶、4_[2_ ( 2—甲氧乙氧羰氧基)乙基]嗎啉、3_ 吡咯基)丙酸 甲酯、3—哌啶基丙酸甲酯、3一嗎啉基丙酸甲酯、3_ ( 硫基嗎啉基)丙酸甲酯、2 —甲基-3- (1—吡咯基)丙 酸甲酯、3 —嗎啉基丙酸乙酯、3—哌啶基丙酸甲氧羰基甲 酯、3— (1 一吡咯基)丙酸2_羥乙酯、3—嗎啉基丙酸2 一乙醯氧乙酯、3— (1—吡咯基)丙酸2 —氧代四氫呋喃 一 3—酯、3 —嗎啉基丙酸四氫糠酯、3 -哌啶基丙酸縮水 甘油酯、3 —嗎啉基丙酸2 —甲氧基乙酯、3— (1—吡咯 基)丙酸2 —(2—甲氧乙氧基)乙酯、3 —嗎啉基丙酸丁 酯、3 —哌啶基丙酸環己酯、α — (1_吡咯基)甲基 一丁內酯、石一哌啶基_r_ 丁內酯、嗎啉基一 <5 — 戊內酯、1—吡咯基乙酸甲酯、哌啶基乙酸甲酯、嗎啉基 乙酸甲酯、硫基嗎啉基乙酸甲酯、1一吡咯基乙酸乙酯、 嗎啉基乙酸2-甲氧基乙酯、2 —甲氧基乙酸2 —嗎啉基乙 酯、2— (2—甲氧乙氧基)乙酸2_嗎啉基乙酯、2 - [2 一 (2—甲氧乙氧基)乙氧基]乙酸2_嗎啉基乙酯、己酸 2—嗎啉基乙酯、辛酸2—嗎啉基乙酯、癸酸2 —嗎啉基乙Methoxy]ethyl]pyrrolidine, 1-[2 _[2 _(methoxyethoxy)methoxy]ethyl]piperazine, 4-mono[2-[2-(methoxyethoxy) Methoxy]ethyl]morpholine, 2-(1-pyrrolyl)ethyl acetate, 2-piperidinylethyl acetate, 2-morpholinium acetate, 2-(ι-pyrrolyl)carboxylate, Propionic acid 2 峨 峨 乙酯 ethyl ester, acetoxyacetic acid 2 morpholine ethyl ester 'methoxy acetic acid 2 - (1 - pyrrolyl) ethyl ester, 4 - [2 _ (methoxycarbonyloxy) ethyl ]morpholine, 1 — [2-(tert-butoxypropoxy)ethyl]piperidine, 4_[2_(2-methoxyethoxycarbonyloxy)ethyl]morpholine, 3_pyrrolyl)propionic acid Methyl ester, methyl 3-piperidinylpropionate, methyl 3-morpholinylpropionate, methyl 3-(thiomorpholinyl)propionate, 2-methyl-3-(1-pyrrolyl)propene Methyl ester, ethyl 3-morpholinylpropionate, methoxycarbonyl methyl 3-piperidinylpropionate, 2-hydroxyethyl 3-(1-pyrrolyl)propanoate, 3-morpholinylpropionic acid 2-Ethyloxyethyl ester, 3-(1-pyrrolyl)propionic acid 2-oxotetrahydrofuran-3-ester, 3-morpholinylpropionic acid tetrahydrofurfuryl ester, 3-piperidylpropionic acid glycidol Ester, 2-methoxyethyl 3-morpholinylpropionic acid, 2-(2-methoxyethoxy)ethyl 3-(1-pyrrolyl)propanoate, butyl 3-morpholinylpropionate , 3-piperidyl propionic acid cyclohexyl ester, α-(1_pyrrolyl)methyl-butyrolactone, stone-piperidinyl-r-butyrolactone, morpholinyl-<5-valerolactone, 1-methylpyrrolylacetate, methyl piperidinylacetate, methyl morpholinylacetate, methyl thiomorpholinylacetate, ethyl 1-pyrrolylacetate, 2-methoxyethyl morpholinylacetate , 2-methoxyacetic acid 2-morpholinoethyl ester, 2-(2-methoxyethoxy)acetic acid 2-morpholinoethyl ester, 2-[2-(2-methoxyethoxy)ethyl Oxy]acetic acid 2-morpholinoethyl ester, 2-morpholinylethyl hexanoate, 2-morpholinylethyl octanoate, 2-morpholinyl phthalate

-72- 1375122 醋、月桂酸2〜嗎啉基乙酯、十四酸2_嗎啉基乙酯、十 六酸2 —嗎咐基乙酯、十八酸2 —嗎啉基乙酯。 例1如^有下述通式(B)-3至(B)-6所示氰基之含氮有機 化合物。-72- 1375122 vinegar, lauric acid 2~morpholinylethyl ester, myristyl 2-morpholinylethyl ester, hexyl acid 2-cyanoethyl ester, octadecanoic acid 2-morpholinylethyl ester. Example 1 is a nitrogen-containing organic compound having a cyano group represented by the following formula (B)-3 to (B)-6.

【化4 3】[化4 3]

(B)-3 Π(B)-3 Π

(B)-4(B)-4

R3C)S-l-〇—R3。9—CN (B)-6R3C)S-l-〇-R3.9-CN (B)-6

(上式中X、R307、n係與上述內容相同,R3G8、R3”係 爲相同或不同之碳數1至4之直鏈狀或分支狀之伸烷基) 上述通式(B)-3至(B)-6所示含有氰基之含氮有機化合 物的具體例如3 —(二乙胺基)丙腈、Ν,Ν —雙(2 —羥乙 基)一3 —胺基丙腈、Ν,Ν -雙(2-乙醯氧乙基)- 3-胺基丙腈、Ν,Ν -雙(2 —甲醯氧乙基)一3 —胺基丙腈' Ν,Ν -雙(2 -甲氧乙基)一3 —胺基丙腈、Ν,Ν -雙[2 - -73- 1375122(In the above formula, X, R307, and n are the same as those described above, and R3G8 and R3 are the same or different linear or branched alkyl groups having 1 to 4 carbon atoms.) The above formula (B)-3 Specific examples of the nitrogen-containing organic compound containing a cyano group as shown in (B)-6 are, for example, 3-(diethylamino)propionitrile, hydrazine, hydrazine-bis(2-hydroxyethyl)-3-aminopropionitrile, Ν,Ν-bis(2-acetoxyethyl)-3-aminopropionitrile, hydrazine, hydrazine-bis(2-methyloxyethyl)-3-aminopropionitrile' Ν, Ν-double ( 2-methoxyethyl)-3-aminopropionitrile, hydrazine, hydrazine-double [2 - -73- 1375122

(甲氧甲氧基)乙基]_3_胺基丙腈、N— (2_氰乙基) —N- (2 -甲氧乙基)一3 -胺基丙酸甲酯、N— (2 -氰 乙基)一N— (2 —羥乙基)一3 —胺基丙酸甲酯、N— (2 一乙醯氧乙基)一 N—(2—氰乙基)一 3_胺基丙酸甲酯 、:N— (2_氰乙基)一N —乙基_3—胺基丙腈、N— (2 一氰乙基)—N- (2 -羥乙基)-3—胺基丙腈、N— (2 _乙醯氧乙基)一 N— (2—氰乙基)_3_胺基丙腈、N _ (2_氰乙基)一N— (2—甲醯氧乙基)_3_胺基丙 腈、N— (2 -氰乙基)一N— (2 —甲氧乙基)一3 -胺基 丙腈、N— (2 —氰乙基)一N_ [2 _(甲氧甲氧基)乙基] —3 -胺基丙腈、N— (2 —氰乙基)—N- (3_羥基-1 —丙基)—3—胺基丙腈、N— (3_乙醯基_1_丙基) —N— (2—氰乙基)—3 —胺基丙腈、N— (2_氰乙基) _N— (3 —甲醒氧基—1—丙基)一3 —胺基丙腈、N—( 2 -氰乙基)-N—四氫糠基一3 —胺基丙腈、Ν,Ν —雙(2 -氰乙基)—3 —胺基丙腈、二乙胺基乙腈、Ν,Ν —雙(2 一羥乙基)胺基乙腈、Ν,Ν —雙(2 —乙醯氧乙基)胺基 乙腈、Ν,Ν—雙(2 —甲醯氧乙基)胺基乙腈、Ν,Ν -雙( 2 -甲氧乙基)胺基乙腈、Ν,Ν —雙[2-(甲氧甲氧基)乙 基]胺基乙腈、Ν—氰甲基_Ν_ (2-甲氧乙基)一 3-胺 基丙酸甲酯、Ν_氰甲基_Ν_ (2_羥乙基)_3 —胺基 丙酸甲酯、Ν- (2_乙醯氧乙基)一Ν —氰甲基_3 -胺 基丙酸甲酯、Ν -氰甲基_Ν— (2 —羥乙基)胺基乙腈、 Ν- (2_乙醯氧乙基)一Ν-(氰甲基)胺基乙腈、Ν-(methoxymethoxy)ethyl]_3_aminopropionitrile, N-(2-cyanoethyl)-N-(2-methoxyethyl)-3-aminopropionic acid methyl ester, N— ( 2-cyanoethyl)-N-(2-hydroxyethyl)-3-aminopropionic acid methyl ester, N-(2-ethoxyethyl)-N-(2-cyanoethyl)-3_ Methyl aminopropionate, N-(2-cyanoethyl)-N-ethyl-3-imidopropionitrile, N-(2-cyanoethyl)-N-(2-hydroxyethyl)- 3-Aminopropionitrile, N-(2-ethoxyethyl)-N-(2-cyanoethyl)_3-aminopropionitrile, N _ (2-cyanoethyl)-N- (2- Methoxyethyl)_3_aminopropionitrile, N-(2-cyanoethyl)-N-(2-methoxyethyl)-3-aminopropionitrile, N-(2-cyanoethyl) N-[2 _(methoxymethoxy)ethyl]-3-aminopropionitrile, N-(2-cyanoethyl)-N-(3-hydroxy-1-propyl)-3-amine Propionitrile, N-(3_acetamido-1-propyl)-N-(2-cyanoethyl)-3-aminopropionitrile, N-(2-cyanoethyl)_N- (3-A Awoxy-1-propyl)-3-aminopropionitrile, N-(2-cyanoethyl)-N-tetrahydroindenyl-3-aminopropionitrile, hydrazine, hydrazine — Bis(2-cyanoethyl)-3-aminopropionitrile, diethylaminoacetonitrile, hydrazine, hydrazine-bis(2-hydroxyethyl)aminoacetonitrile, hydrazine, hydrazine-bis(2-ethoxylated) Aminoacetonitrile, hydrazine, hydrazine-bis(2-methyloxyethyl)aminoacetonitrile, hydrazine, hydrazine-bis(2-methoxyethyl)aminoacetonitrile, hydrazine, hydrazine-bis[2-( Methoxymethoxy)ethyl]aminoacetonitrile, methyl cyanomethyl-hydrazine-(2-methoxyethyl)-3-aminopropionic acid methyl ester, hydrazine-cyanomethyl-hydrazine _ (2-hydroxyl Base)_3 - methyl aminopropionate, Ν-(2_acetoxyethyl)- hydrazine-methyl cyanomethyl-3-aminopropanoate, Ν-cyanomethyl Ν- (2-hydroxyl Ethyl)aminoacetonitrile, Ν-(2_acetoxyethyl)-indole-(cyanomethyl)aminoacetonitrile, hydrazine-

(S -74- 1375122 氰甲基_N —(2 —甲醯氧乙基)胺基乙腈、N_氰甲基一 N— (2_甲氧乙基)胺基乙腈、N -氰甲基一 N— [2-( 甲氧甲氧基)乙基]胺基乙腈、N_ (氰甲基)一 N— (3 —羥基一1—丙基)胺基乙腈、N— (3—乙醯氧基—1 一 丙基)一N—(氰甲基)胺基乙腈、N -氰甲基一 N— (3 —甲醯氧基—1—丙基)胺基乙腈、N,N -雙(氰甲基) 胺基乙腈、1-吡咯烷基丙腈、1_哌啶基丙腈、4一嗎啉(S-74- 1375122 cyanomethyl-N-(2-carbomethoxyethyl)aminoacetonitrile, N-cyanomethyl-N-(2-methoxyethyl)aminoacetonitrile, N-cyanomethyl N-[2-(methoxymethoxy)ethyl]aminoacetonitrile, N_(cyanomethyl)-N-(3-hydroxy-1-propyl)aminoacetonitrile, N-(3-acetamidine) Oxyl-1 monopropyl)-N-(cyanomethyl)aminoacetonitrile, N-cyanomethyl-N-(3-methyloxy-l-propyl)aminoacetonitrile, N,N-double (cyanomethyl) Aminoacetonitrile, 1-pyrrolidinylpropionitrile, 1-piperidinylpropionitrile, 4-morpholine

基丙腈、1—吡咯烷乙腈、1_哌啶乙腈、4 —嗎啉乙腈、3 -二乙胺基丙酸氰甲酯、Ν,Ν —雙(2 -羥乙基)一3 —胺 基丙酸氰甲酯、Ν,Ν -雙(2 -乙醯氧乙基)—3 -胺基丙 酸氰甲酯、Ν,Ν —雙(2—甲醯氧乙基)一3 -胺基丙酸氰 甲酯、Ν,Ν —雙(2 —甲氧乙基)-3-胺基丙酸氰甲酯、 Ν,Ν-雙[2—(甲氧甲氧基)乙基]-3 -胺基丙酸氰甲酯 、3-二乙胺基丙酸(2 —氰乙基)酯、Ν,Ν —雙(2 —羥 乙基)-3-胺基丙酸(2 -氰乙基)酯、Ν,Ν-雙(2- 乙醯氧乙基)一 3 —胺基丙酸(2 -氰乙基)酯、Ν,Ν-雙 (2—甲醯氧乙基)一3_胺基丙酸(2_氰乙基)酯、 Ν,Ν -雙(2 -甲氧乙基)-3-胺基丙酸(2 -氰乙基) 酯、Ν,Ν—雙[2 —(甲氧甲氧基)乙基]一 3—胺基丙酸(2 一氰乙基)酯、1 一吡咯烷丙酸氰甲酯、1 一哌啶丙酸氰甲 醋、4一嗎啉丙酸氰甲酯、ι_吡咯烷丙酸(2_氰乙基) 醋、1—哌啶丙酸(2_氰乙基)酯、4_嗎啉丙酸(2一氰 乙基)醋。 Χ ’例如具有下述通式(Β)-7所示咪唑骨架及極性官 -75- 1375122 能基之含氮有機化合物。 (B)-7 (上述式中,R3IG爲具有碳數2至20之直鏈、支鏈或環Propionitrile, 1-pyrrolidine acetonitrile, 1-piperidinyl acetonitrile, 4-morpholine acetonitrile, cyanomethyl 3-diethylaminopropionate, hydrazine, hydrazine-bis(2-hydroxyethyl)-3-amine Methyl cyanopropionate, hydrazine, hydrazine - bis(2-acetoxyethyl)-3-cyanopropionate cyanomethyl, hydrazine, hydrazine - bis(2-methyl methoxyethyl)-3-amine Methyl cyanopropionate, hydrazine, hydrazine - cyanomethyl bis(2-methoxyethyl)-3-aminopropanoate, hydrazine, hydrazine-bis[2-(methoxymethoxy)ethyl]- 3-Aminopropyl propionate, 2-diethylaminopropionic acid (2-cyanoethyl) ester, hydrazine, hydrazine-bis(2-hydroxyethyl)-3-aminopropionic acid (2-cyanide) Ethyl)ester, anthracene, fluorene-bis(2-acetoxyethyl)-3-aminopropionic acid (2-cyanoethyl) ester, hydrazine, hydrazine-bis(2-methyl methoxyethyl) 3_Aminopropionic acid (2-cyanoethyl) ester, hydrazine, hydrazine-bis(2-methoxyethyl)-3-aminopropionic acid (2-cyanoethyl) ester, hydrazine, hydrazine-double [ 2-(Methoxymethoxy)ethyl]-3-aminopropionic acid (2-cyanoethyl) ester, 1-pyrrolidine propionate cyanamide, 1 piperidine propionic acid cyanate, 4 Methyl morpholine propionate, iota-pyrrolidonic acid (2-cyanoethyl) ) vinegar, 1-piperidinyl propionic acid (2-cyanoethyl) ester, 4-morpholine propionic acid (2-cyanoethyl) vinegar. Χ ', for example, a nitrogen-containing organic compound having an imidazole skeleton represented by the following formula (Β)-7 and a polar group -75-1375122. (B)-7 (In the above formula, R3IG is a linear, branched or cyclic ring having a carbon number of 2 to 20.

【化4 4】[4 4]

狀之極性官能基的烷基,極性官能基係含有1個或多數個 羥基'羰基'酯基、醚基、硫醚基、碳酸酯基、氰基、縮 醛基。R311、R312及R313爲氫原子、碳數1至10之直鏈 狀、分支狀或環狀的烷基、芳基或芳烷基)。 又,例如具有下述通式(B)-8所示苯咪唑骨架及極性 官能基之含氮有機化合物。The polar functional group of the alkyl group, the polar functional group contains one or a plurality of hydroxy 'carbonyl' ester groups, ether groups, thioether groups, carbonate groups, cyano groups, acetal groups. R311, R312 and R313 are a hydrogen atom, a linear one having a carbon number of 1 to 10, a branched or cyclic alkyl group, an aryl group or an aralkyl group). Further, for example, a nitrogen-containing organic compound having a benzimidazole skeleton represented by the following formula (B)-8 and a polar functional group.

【化4 5】[化 4 5]

(B)-8 (上述式中,R314爲氫原子、碳數1至10之直鏈狀、分 支狀或環狀的烷基、芳基或芳烷基》R315爲具有碳數1至 20之直鏈狀、分支狀或環狀之極性官能基之烷基,含有 一個以上作爲極性官能基之酯基、縮醛基、氰基,另外也 可含有至少一個以上之羥基、羰基、醚基、硫醚基、碳酸 酯基)。 -76- 1375122 又,例如具有下述通式(B)-9 能基之含氮環化合物。 及(B)-l〇所示之極性官 【化4 6】(B)-8 (In the above formula, R314 is a hydrogen atom, a linear, branched or cyclic alkyl, aryl or aralkyl group having 1 to 10 carbon atoms, and R315 has a carbon number of 1 to 20 An alkyl group having a linear, branched or cyclic polar functional group, which contains one or more ester groups, an acetal group, a cyano group as a polar functional group, and may further contain at least one or more hydroxyl group, carbonyl group, ether group, Thioether group, carbonate group). Further, for example, a nitrogen-containing ring compound having the following formula (B)-9. And the polarity officer shown in (B)-l〇 [Chem. 4 6]

ίΒ)-9ΒΒ)-9

(上述式中,A爲氮原子或三C-R3 eC-R 3 2 3 ; R316爲具有碳數2〜20 狀之極性官能基的烷基,極性官能 基、羰基 '酯基、醚基、硫醚基、 基,R317、R3I8、R319、R32。係氫[ φ 鏈狀、分支狀或環狀之烷基或芳基 與R32()分別鍵結可形成苯環、萘 原子、碳數1〜10之直鏈狀、分支 。R3 2 2、R3 2 3爲氫原子、碳數1〜 環狀之烷基或芳基。R321與R323 - )0 又,例如具有下述通式(B)-ll 酸酯結構之含氮有機化合物。 (Β)-ΐ〇 22 ; B爲氮原子或 之直鏈狀、分支狀或環 基爲含有一個以上之羥 碳酸酯基、氰基或縮醛 氧子、碳數1〜1 〇之直 ,或 R317 與 R318、R319 環或吡啶環。R321爲氫 狀或環狀之烷基或芳基 10之直鏈狀、分支狀或 鍵結可形成苯環或萘環 〜(B)-14所示芳香族羧 < S> -77- 1375122 【化4 7】(In the above formula, A is a nitrogen atom or a tri-C-R3 eC-R 3 2 3 ; R316 is an alkyl group having a polar functional group having a carbon number of 2 to 20, a polar functional group, a carbonyl 'ester group, an ether group, Thioether group, group, R317, R3I8, R319, R32. Hydrogen [ φ chain, branched or cyclic alkyl or aryl group and R32 () respectively bond to form benzene ring, naphthalene atom, carbon number 1 a straight chain or a branch of ~10. R3 2 2, R3 2 3 is a hydrogen atom, a C 1 to a cyclic alkyl group or an aryl group. R321 and R323 - ) 0 Further, for example, have the following general formula (B) -ll Nitrogen-containing organic compounds of the acid ester structure. (Β)-ΐ〇22; B is a nitrogen atom or a linear, branched or cyclic group containing more than one hydroxycarbonate group, a cyano group or an acetal oxygen, a carbon number of 1 to 1 〇, Or R317 with R318, R319 ring or pyridine ring. R321 is a hydrogen or a cyclic alkyl group or an aryl group 10 which is linear, branched or bonded to form a benzene ring or a naphthalene ring - (B)-14 aromatic carboxyl group <S> -77-1375122 [化 4 7]

324 Ο ⑻-13324 Ο (8)-13

(Β)-14(Β)-14

(式中,R3 24爲碳數6至20之芳基或碳數4〜20之雜芳 基,氫原子之一部份或全部可被鹵原子、碳數1〜20之直 鏈狀、分支狀或環狀之烷基、碳數6至20之芳基、碳數 7至20之芳烷基、碳數1〜10之烷氧基、碳數1〜10之 醯氧基、或碳數1〜10之烷硫基取代。R3 25爲C02R3 26、 OR 3 2 7或氰基。R3 2 6 —部份之伸甲基可被氧原子取代之碳 數1〜10之烷基。R3 2 7爲一部份之伸甲基可被氧原子取代 之碳數1〜10之烷基或醯基。R3 2 8爲單鍵、伸甲基、伸乙 -78- 1375122 基、硫原子或- 〇(CH2CH20)n-基。n = 〇、】、2、3 或 4。 R329爲氫原子 '甲基、乙基或苯基。X爲氮原子或CR330 。Υ爲氮原子或C R3 31。Ζ爲氮原子或c R3 3 2。R3 3 〇、R3 31 、R3 3 2係各自獨立爲氫原子、甲基或苯基,或R33。與 R331或R331與R3 3 2鍵結可形成碳數6〜20之芳香環或碳 數2〜20之雜芳香環)。 又,例如具有下述通式(Β)-15所示7-氧雜降冰片垸(wherein R3 24 is an aryl group having 6 to 20 carbon atoms or a heteroaryl group having 4 to 20 carbon atoms, and a part or all of a hydrogen atom may be a linear or branched group of a halogen atom or a carbon number of 1 to 20; a cyclic or cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, an alkoxy group having 1 to 10 carbon atoms, a decyloxy group having 1 to 10 carbon atoms, or a carbon number 1 to 10 alkylthio substituted. R3 25 is C02R3 26, OR 3 2 7 or cyano. R3 2 6 - part of the methyl group which may be substituted by an oxygen atom and has a carbon number of 1 to 10. R3 2 7 is a part of an alkyl group or a fluorenyl group having a carbon number of 1 to 10 which may be substituted by an oxygen atom. R3 2 8 is a single bond, a methyl group, a methyl group -78-1375122, a sulfur atom or 〇(CH2CH20)n-yl.n = 〇, ], 2, 3 or 4. R329 is a hydrogen atom 'methyl, ethyl or phenyl. X is a nitrogen atom or CR330. Υ is a nitrogen atom or C R3 31. Ζ is a nitrogen atom or c R3 3 2 . R 3 3 〇, R 3 31 , R 3 3 2 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 33. Bonding with R331 or R331 and R 3 3 2 can form a carbon number. 6 to 20 aromatic rings or carbon number 2 to 20 heteroaromatic rings). Further, for example, it has a 7-oxo-norbornene sheet represented by the following formula (Β)-15.

- 2 -羧酸酯結構之含氮有機化合物 【化4 8】- 2 -Carboxylic acid-containing organic compounds of the carboxylate structure [Chem. 4 8]

(Β)-15 (上述式中,R333爲氫或碳數1〜10之直鏈狀、分支狀或 環狀之烷基。R 3 3 4與R 3 3 5係各自獨立之可含有一個或多 數個醚基、羰基、酯基、醇、硫醚、腈、胺、亞胺、醯胺 φ 等之極性官能基之碳數1〜20之烷基、碳數6〜20之芳基 、碳數7〜20之芳烷基’氫原子之一部份可被鹵原子所取 代。R334與R3 3 5相互鍵結可形成碳數2〜20之雜環或雜 芳香環)。 含氮有機化合物之添加量,係對於全基礎聚合物100 • 質量份時爲0.001〜4質量份’特佳爲〇.〇1〜2質量份。 . 添加量低於0.001質量份時則無法得到充分之添加效果’ 超過4質量份時,則感度會有降低之疑慮。 本發明之光阻材料中,除上述成份外’可添加任意成 (S> -79- 1375122 份之提高塗佈性所常用之界面活性劑。任意成份之添加量 係不影響本發明效果之範圍內的一般添加量。 界面活性劑以非離子性界面活性劑爲佳,例如全氟烷 基聚環氧乙烷乙醇、氟化烷酯、全氟烷基胺氧化物、全氟 烷基E0加成物、含氟有機矽氧烷系化合物等。例如有 Florade 「FC-430」、「FC-43 1」(住友 3M (股)製) 、Surfuron「S-14 1」' 「S-145」、「KH-10」' 「KH-20(Β)-15 (In the above formula, R333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 3 3 4 and R 3 3 5 may each independently contain one or a plurality of alkyl groups having 1 to 20 carbon atoms, aryl groups having 6 to 20 carbon atoms, and carbons of a polar functional group such as an ether group, a carbonyl group, an ester group, an alcohol, a thioether, a nitrile, an amine, an imine or a guanamine φ. A part of the 7 to 20 aralkyl 'hydrogen atom may be substituted by a halogen atom. R334 and R3 3 5 are bonded to each other to form a heterocyclic or heteroaromatic ring having 2 to 20 carbon atoms). The amount of the nitrogen-containing organic compound to be added is 0.001 to 4 parts by mass per 100 parts by mass of the total base polymer, and particularly preferably 1 to 2 parts by mass. When the amount is less than 0.001 part by mass, a sufficient effect of addition cannot be obtained. When the amount is more than 4 parts by mass, the sensitivity may be lowered. In the photoresist material of the present invention, in addition to the above components, any surfactant (S>-79-1375122 parts which is commonly used for improving coatability may be added. The addition amount of any component does not affect the range of the effect of the present invention. The general amount of addition in the surfactant. The surfactant is preferably a nonionic surfactant, such as perfluoroalkyl polyethylene oxide ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl E0 plus For example, Florade "FC-430", "FC-43 1" (Sumitomo 3M (share) system), and Surfuron "S-14 1"' "S-145" , "KH-10"' "KH-20

」、「KH-30」、「KH-40」(旭硝子(股)製)、 Unidye「DS-401」、「DS-403」、「DS-451」(大金工 業(股)製)、Megafac「F-8151」(大日本油墨工業( 股)製)、「X-70-092」、「X-70-093」(信越化學工業 (股)製)等。較佳爲Florade「FC-430」(住友3M (股 )製)、「KH-20」、「KH-30」(旭硝子(股)製)、 「X-70-093」(信越化學工業(股)製)^ 本發明之光阻材料中,爲調整表面之親水性·疏水性 φ 之平衡,或提高撥水性等目的,或塗佈膜與水或其他液體 接觸之際具有阻礙低分子成份之流出或流入機能時,可添 加作爲上述成份以外之任意成份,且偏佔於塗佈膜上部之 商分子化合物。又,該高分子化合物之添加量於不妨礙本 發明之效果之範圍下爲通常量。 - 其中,偏佔於塗佈膜上部之高分子化合物,以使用1 . 種或2種以上之含氟單位所得之聚合物、共聚物、及含氟 單位與其他單位所得之共聚物爲佳。含氟單位及其他單位 之具體內容例如以下之例示,但並非限定於此。 -80- 1375122"KH-30", "KH-40" (Asahi Glass Co., Ltd.), Unidye "DS-401", "DS-403", "DS-451" (Daikin Industries Co., Ltd.), Megafac "F-8151" (Daily Ink Industry Co., Ltd.), "X-70-092", "X-70-093" (Shin-Etsu Chemical Industry Co., Ltd.). It is preferably Florade "FC-430" (Sumitomo 3M (share) system), "KH-20", "KH-30" (Asahi Glass Co., Ltd.), "X-70-093" (Shin-Etsu Chemical Industry Co., Ltd. In the photoresist material of the present invention, in order to adjust the balance of hydrophilicity and hydrophobicity φ of the surface, or to improve water repellency, or when the coating film is in contact with water or other liquid, it has a hindrance to low molecular components. When flowing out or flowing into the function, any component other than the above components may be added, and the mergon compound which is partially occupied by the coating film may be added. Further, the amount of the polymer compound added is a normal amount within a range that does not impair the effects of the present invention. - Among them, a polymer compound which is a part of the polymer film in the upper part of the coating film, and a copolymer obtained by using one or two or more kinds of fluorine-containing units, and a copolymer obtained from a fluorine-containing unit and other units are preferred. Specific contents of the fluorine-containing unit and other units are exemplified below, but are not limited thereto. -80- 1375122

【化4 9】[化4 9]

Η Η Η Η Η HOΗ Η Η Η Η HO

Η Η(+Η Η (+

Vcf3 〇 f2c ρ- f3c\SF2H 0-cf,cf3Vcf3 〇 f2c ρ- f3c\SF2H 0-cf, cf3

ΗΗ

ΟΟ

CF3 偏佔於上述塗佈膜上部之高分子化合物的重量平均分 子量,較佳爲1,000~50,000,更佳爲2,000〜20,00(^超 過該範圍以外之情形時,將無法充分得到表面改質之效果 ,或會產生顯影缺陷等現象。又,上述重量平均分子量係 < S> -81 - 1375122 使用凝膠滲透色層分析法(GPC )之聚苯乙烯換算値表示 本發明之光阻材料,必要時,可在添加任意成份之溶 解阻礙劑、羧酸化合物、炔醇衍生物等其他成份。又,任 意成份之添加量係不影響本發明效果之範圍內的一般添加 量。The weight average molecular weight of the polymer compound in the upper portion of the coating film is preferably from 1,000 to 50,000, more preferably from 2,000 to 20,00. (When the temperature exceeds the range, the surface is not sufficiently obtained. The effect of the modification may cause a development defect or the like. Further, the above weight average molecular weight is <S> -81 - 1375122. The light of the present invention is represented by polystyrene-converted 凝胶 using gel permeation chromatography (GPC). The resist material may be added with other components such as a dissolution inhibitor, a carboxylic acid compound or an acetylene alcohol derivative, if necessary. Further, the addition amount of any component does not affect the general addition amount within the range of the effect of the present invention.

可添加於本發明之光阻材料之溶解阻礙劑,例如可添 加重量平均分子量爲100〜1,000,較佳爲150〜800,且 分子內具有2個以上酚性羥之化合物,且該酚性羥基之氫 原子被酸不穩定基以全體平均之〇〜100莫耳%之比例取 代所得之化合物,或分子內具有羧基之化合物,且該羧基 之氫原子被酸不穩定基以全體平均之50〜100莫耳%之比 例取代所得之化合物。 又,酚性羥基中氫原子被酸不穩定基取代之取代率, 以平均而言爲酚性羥基全體之〇莫耳%以上,較佳爲30 莫耳%以上,其上限爲100莫耳%,更佳爲80莫耳%。 羧基中氫原子被酸不穩定基取代之取代率,以平均而言爲 羧基全體之50莫耳%以上,較佳爲70莫耳%以上,其上 限爲1 0 0莫耳%。 此情形中,該具有2個以上酚性羥基之化合物或具有 羧基之化合物,例如下述式(D 1 )〜(D 1 4 )所示者爲佳 -82- 1375122 【化5 Ο】 Η〇0 CH CH (Dl)A dissolution inhibitor which may be added to the photoresist of the present invention, for example, a compound having a weight average molecular weight of 100 to 1,000, preferably 150 to 800, and having two or more phenolic hydroxyl groups in the molecule, and the phenol may be added. The hydrogen atom of the hydroxyl group is substituted with the acid labile group at a ratio of the average of 〇100 mol%, or a compound having a carboxyl group in the molecule, and the hydrogen atom of the carboxyl group is averaged by the acid labile group. The compound obtained is substituted with a ratio of 50 to 100 mol%. Further, the substitution ratio of the hydrogen atom in the phenolic hydroxyl group substituted by the acid labile group is, on average, more than 〇 mol% of the total phenolic hydroxyl group, preferably 30 mol% or more, and the upper limit is 100 mol%. More preferably, it is 80% by mole. The substitution ratio of the hydrogen atom in the carboxyl group substituted by the acid labile group is, on average, 50 mol% or more, preferably 70 mol% or more, and the upper limit is 100 mol%. In this case, the compound having two or more phenolic hydroxyl groups or the compound having a carboxyl group, for example, those represented by the following formulas (D 1 ) to (D 1 4 ) are preferably -82-1375122. 0 CH CH (Dl)

OHOH

(D2)(D2)

(D7)(D7)

(OH),· R201, (D4) (::心'從 (D6)(OH), · R201, (D4) (:: heart 'from (D6)

(D8)(D8)

(OH)r R201s, 03(OH)r R201s, 03

COOH (D12)COOH (D12)

(CH2)hCOOH(CH2)hCOOH

(D13)(D13)

RR

COOH ( -83-COOH ( -83-

1375122 上述式中,R2QI與R2Q2分別爲氫原子,或碳 之直鏈狀或分支狀之烷基或烯基,例如,氫原子、 乙基、丁基、丙基、乙炔基、環己基等。 R2Q3,爲氫原子,或碳數1〜8之直鏈狀或另 烷基或烯基,或- (R2fl7)hCOOH(式中,R2Q7爲碳妻 之直鏈狀或分支狀之伸烷基),例如,與R2G1、R 同之內容,或-COOH、-CH2COOH。 R20 爲- (CH2)i~(i=2 〜10)、碳數 6〜10 、羰基、磺醯基、氧原子或硫黄原子,例如,伸 苯基、羰基、磺醯基、氧原子、硫原子等。 R205爲碳數1〜10之伸烷基、碳數6〜10之 羰基、磺醯基 '氧原子或硫原子,例如,伸甲 R2()4爲相同之內容。 R2<)6爲氫原子、碳數1〜8之直鏈狀或分支 、烯基,或其各個氫原子中至少1個被羥基所取 或萘基,例如,氫原子、甲基、乙基、丁基、丙 基、環己基’其各個氫原子中至少1個被羥基所 基或萘基。 R2()8爲氫原子或羥基。 j爲0〜5之整數。U、h爲〇或ieS、t's’ 、t”分別滿足 s+t=8、s’+t,=5、s,,+t”=4,i 骨架中至少具有1個羥基之數。α爲式(D8)、 之化合物的重量平均分子量爲!〇〇〜〗,〇〇〇之數。 溶解阻礙劑之酸不穩定基,可使用各種樣式 數1〜8 甲基、 ‘支狀之 [1 〜1 0 2<)2爲相 :伸芳基 ,基、伸 Ϊ芳基、 ,或與 :之烷基 :之苯基 ;、乙炔 【代之苯 、t, 、 s’ .爲各酚 (D9 ) 具體而 (S> -84-1375122 In the above formula, R2QI and R2Q2 are each a hydrogen atom or a linear or branched alkyl or alkenyl group of carbon, for example, a hydrogen atom, an ethyl group, a butyl group, a propyl group, an ethynyl group or a cyclohexyl group. R2Q3, which is a hydrogen atom, or a linear or alternative alkyl or alkenyl group having a carbon number of 1 to 8, or -(R2fl7)hCOOH (wherein R2Q7 is a linear or branched alkyl group of a carbon wife) For example, the same content as R2G1, R, or -COOH, -CH2COOH. R20 is -(CH2)i~(i=2~10), carbon number 6~10, carbonyl, sulfonyl, oxygen or sulfur atom, for example, phenyl, carbonyl, sulfonyl, oxygen, sulfur Atoms, etc. R205 is an alkylene group having 1 to 10 carbon atoms, a carbonyl group having 6 to 10 carbon atoms, a sulfonyl group 'oxygen atom or a sulfur atom. For example, R2 () 4 is the same. R2<)6 is a hydrogen atom, a linear or branched carbon group of 1 to 8, an alkenyl group, or at least one of each hydrogen atom thereof is taken from a hydroxyl group or a naphthyl group, for example, a hydrogen atom, a methyl group, or an ethyl group. Further, at least one of each of the hydrogen atoms of the butyl group, the propyl group and the cyclohexyl group is a hydroxyl group or a naphthyl group. R2()8 is a hydrogen atom or a hydroxyl group. j is an integer from 0 to 5. U, h is 〇 or ieS, t's', and t" respectively satisfy s+t=8, s'+t, =5, s, and +t"=4, and the i skeleton has at least one hydroxyl group. The weight average molecular weight of the compound in which α is a formula (D8) is! 〇〇~〗, the number of 〇〇〇. The acid labile group of the dissolution inhibitor may be used in various types of 1 to 8 methyl groups, and the branched [1 to 1 0 2 <) 2 is a phase: an exoaryl group, a aryl group, an exoaryl group, or Alkyl: phenyl; acetylene [substituted benzene, t, s'. For each phenol (D9) specific (S> -84-

1375122 言,例如前述通式(L1)〜(L4)所示之基、碳 之三級烷基、各烷基之碳數分別爲1〜6之三烷 、碳數4〜20之酮基烷基等。又,各別之基之具 如與先前之說明爲相同之內容。 上述溶解阻礙劑之添加量,相對於光阻材料 樹脂100質量份爲〇〜50質量份,較佳爲0〜40 更佳爲0〜30質量份,其可單獨或將2種以上混 添加量爲50質量份以下時,將可減低圖型之膜 ,使解像度降低之疑慮。 又,上述溶解阻礙劑,相對於具有酚性羥基 化合物,可使用有機化學性處方,以導入酸不穩 式予以合成。 可添加於本發明之光阻材料之羧酸化合物, 用由下述[I群]及[Π群]所選出之1種或2種以 物,但並非受上述例示所限定。添加本成份時, 阻之 PED ( Post Exposure Delay)安定性,而改 基板上之邊緣凹凸現象。 [I群] 下述通式(A1)〜(A10)所示之化合物的 中,氫原子之一部份或全部被-R4()1-cooh(R4〇· 〜10之直鏈狀或分支狀之伸烷基)所取代,且 酚性羥基(C )與Ξ C-COOH所示之基(D )之莫 / (C+D) = 0.1〜1.0之化合物。 數 4〜20 基砂院基 體例,例 中之基礎 質量份, 合使用。 產生削減 或羧基之 定基之方 例如可使 上之化合 可提高光 善氮化膜1375122, for example, a group represented by the above formulas (L1) to (L4), a tertiary alkyl group of carbon, a decyl group having a carbon number of 1 to 6 and a ketone group having a carbon number of 4 to 20, respectively. Base. Also, the individual bases are as identical as the previous description. The amount of the above-mentioned dissolution inhibitor added is 〇 50 parts by mass, preferably 0 to 40 parts by mass, preferably 0 to 30 parts by mass, based on 100 parts by mass of the photoresist material resin, and may be added singly or in combination of two or more kinds. When the amount is 50 parts by mass or less, the film of the pattern can be reduced, and the resolution is lowered. Further, the above-mentioned dissolution inhibitor can be synthesized by introducing an acid-labile compound with respect to a phenolic hydroxy compound by using an organic chemical formulation. The carboxylic acid compound which can be added to the photoresist of the present invention is one or two selected from the following [Group I] and [Group], but is not limited by the above examples. When this component is added, the PED (Post Exposure Delay) stability is hindered, and the edge unevenness on the substrate is changed. [I group] In the compound represented by the following general formulae (A1) to (A10), one or all of the hydrogen atoms are partially or wholly by -R4()1-cooh (R4〇·10 linear or branched) A compound in which a phenolic hydroxyl group (C) is substituted with a phenolic hydroxyl group (C) and a group (D) represented by ΞC-COOH/(C+D) = 0.1 to 1.0. Number 4~20 Base sand yard base system, the basic part of the sample, used together. The side which produces a reduction or a base of a carboxyl group, for example, can be combined to improve the optically good nitride film.

酚性羥基 爲碳數1 分子中之 耳比爲C < S> -85- 1375122 [II 群] 下述通式(All)〜(A15)所示之化合物The phenolic hydroxyl group is a carbon number of 1 molecule, and the ear ratio is C <S> -85-1375122 [Group II] a compound represented by the following formula (All) to (A15)

【化5 1 (0H),, ,402[5 1 (0H),, ,402

(A2)(A2)

(OH)。 〇402 jQ>-(R4O5)UIH0r (A4)(OH). 〇402 jQ>-(R4O5)UIH0r (A4)

(〇H),2 p402 K S2(〇H), 2 p402 K S2

(A10) 1375122 【化5 2】 (OHXj R (OH),5 (OHXj R402,(A10) 1375122 [OH 5j R (OH), 5 (OHXj R402,

r4I,-cooh COOH (All)r4I,-cooh COOH (All)

COOH (A 12)COOH (A 12)

(A13)(A13)

上述式中,R402、R4Q3分別爲氫原子或碳數1〜8之 直鏈狀或分支狀之烷基或烯基。R4M爲氫原子或碳數1〜 8之直鏈狀或分支狀之烷基或烯基,或-(R4°9)hl-COOR’基 (R’爲氫原子或-R4Q9-C〇OH )。 R4Q5爲-(CH2)i- ( i = 2〜10)、碳數6〜10之伸芳基 、羰基、磺醯基、氧原子或硫原子。 R4()6爲碳數1〜10之伸烷基、碳數6〜10之伸芳基、 羰基、磺醯基、氧原子或硫原子。 R4()7爲氫原子或碳數1〜8之直鏈狀或分支狀之烷基 、烯基、分別被羥基所取代之苯基或萘基。 R4<)8爲氫原子或甲基。 R4()9爲碳數1〜10之直鏈狀或分支狀之伸烷基。 R41()爲氫原子或碳數1〜8之直鏈狀或分支狀之烷基 -87- < 5> 1375122 或烯基或-R4n-COOH基(式中,R411爲碳數1〜10之直 鏈狀或分支狀之伸烷基)。 R412爲氫原子或羥基。 j 爲 0〜3 之數,si、tl、s2、t2、s3、t3、s4、t4, 分別滿足 sl+tl=8、s2+t2=5、s3+t3=4、s4+t4=6 ,且各苯基骨架中至少具有1個羥基之數。In the above formula, R402 and R4Q3 are each a hydrogen atom or a linear or branched alkyl or alkenyl group having 1 to 8 carbon atoms. R4M is a hydrogen atom or a linear or branched alkyl or alkenyl group having 1 to 8 carbon atoms, or a -(R4°9)hl-COOR' group (R' is a hydrogen atom or -R4Q9-C〇OH) . R4Q5 is -(CH2)i- (i = 2 to 10), a aryl group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom. R4()6 is an alkylene group having 1 to 10 carbon atoms, an extended aryl group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom. R4()7 is a hydrogen atom or a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group each substituted with a hydroxyl group. R4<)8 is a hydrogen atom or a methyl group. R4()9 is a linear or branched alkyl group having a carbon number of 1 to 10. R41() is a hydrogen atom or a linear or branched alkyl group having a carbon number of 1 to 8 -87- <5> 1375122 or an alkenyl group or a -R4n-COOH group (wherein R411 is a carbon number of 1 to 10) a linear or branched alkyl group). R412 is a hydrogen atom or a hydroxyl group. j is a number from 0 to 3, si, tl, s2, t2, s3, t3, s4, t4, respectively satisfying sl+tl=8, s2+t2=5, s3+t3=4, s4+t4=6, And each phenyl skeleton has at least one hydroxyl group.

s5、t5 爲滿足 s520、t520,s5+t5=5 之數。 ul爲滿足l$ulS4之數,hi爲滿足lghl$4之數 /c爲式(A6)之化合物爲重量平均分子量1,000〜 5,000之數。 λ爲式(A7 )之化合物爲重量平均分子量1,000〜 1〇,〇〇〇 之數。 本成份,具體而言例如下述通式(ΑΙ-1 )〜(ΑΙ-14 )及(ΑΙΙ-1 )〜(ΑΙΙ-10 )所示之化合物,但並非受上述 φ 例示所限定。 < S > -88 1375122 【化5 3 OR"S5 and t5 are the numbers satisfying s520, t520, and s5+t5=5. Ul is a number satisfying l$ulS4, hi is a number satisfying lghl$4, and c is a compound of the formula (A6) having a weight average molecular weight of 1,000 to 5,000. The compound of the formula (A7) is a weight average molecular weight of 1,000 to 1 Torr, and the number of ruthenium. The present component is specifically, for example, a compound represented by the following formulas (ΑΙ-1) to (ΑΙ-14) and (ΑΙΙ-1) to (ΑΙΙ-10), but is not limited by the above φ exemplification. < S > -88 1375122 [Chemical 5 3 OR"

οό (AM) 甘Οό (AM) Gan

RORO

rw. _ /=\Rw. _ /=\

RO OR" ch2 COOR" (AI-3) (AI-2) CH-R°°-^y9-Q^〇Rn ch2 I l CH2-COORn (AM) O~0R" R"°^〇-°-〇-0R" (AI-5) ch2-^~^— or” (AI-7)RO OR" ch2 COOR" (AI-3) (AI-2) CH-R°°-^y9-Q^〇Rn ch2 I l CH2-COORn (AM) O~0R"R"°^〇-°-〇-0R" (AI-5) ch2-^~^— or” (AI-7)

(AI-9)(AI-9)

λ OR" (AMI) OR”λ OR" (AMI) OR"

•OR" (AI-6) h3c•OR" (AI-6) h3c

OR" (AI-10)OR" (AI-10)

Ο、 OR" RO—(\ />-CH9COORn (AI-14) < S > -89- 1375122Ο, OR" RO—(\ />-CH9COORn (AI-14) < S > -89- 1375122

【化5 4[5 4

Or ch2coohOr ch2cooh

COOH (AII-5)COOH (AII-5)

(AII-7)(AII-7)

(ΑΠ-2)(ΑΠ-2)

ch2coohCh2cooh

(AII-6) COOH j〇 (AII-8)(AII-6) COOH j〇 (AII-8)

COOH (上述式中’ R”爲氫原子或CH2COOH基,各化合物中, R”之10〜100莫耳%爲CH2COOH基。/c與λ具有與上述 相同之意義)。 又,上述分子內具有sC-COOH所示之基的化合物之 添加量,相對於基礎樹脂100質量份爲0〜5質量份,較 佳爲0.1〜5質量份,更佳爲〇.1〜3質量份,最佳爲0.1 〜2質量份。爲5質量份以下時,可降低光阻材料解像度 < S > -90- 1375122 降低之疑慮。 可添加於本發明之光阻材料之炔醇衍生物’較佳使用 爲例如下述通式(SI) 、(S2)所示之內容。 【化5 5】 R5〇2 r5M r502 R501—C=C-C-R503 R505-C-C=C-C-R503COOH (wherein 'R' in the above formula is a hydrogen atom or a CH2COOH group, and in each compound, 10 to 100 mol% of R" is a CH2COOH group. /c and λ have the same meanings as described above). Further, the amount of the compound having a group represented by sC-COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, more preferably 0.1 to 3 parts by mass based on 100 parts by mass of the base resin. The mass fraction is preferably 0.1 to 2 parts by mass. When it is 5 parts by mass or less, the resolution of the photoresist material < S > -90-1375122 can be lowered. The acetylenic derivative ** which can be added to the photoresist of the present invention is preferably used, for example, as shown by the following general formulae (SI) and (S2). [5 5] R5〇2 r5M r502 R501—C=C-C-R503 R505-C-C=C-C-R503

I I II I I

0—(CH2CH20)YH H(0CH2CH2)x-0 〇—(CH2CH2〇)yH (SI) (S2) (上述式中,R5(n、R5°2、R5°3、R5°4、R5°5分別爲氫原子 ,或碳數1〜8之直鏈狀、分支狀或環狀之烷基,X、Y 爲〇或正數,且滿足下述數値。0SXS30、0SYS30、 0 ^ X + Υ ^ 40 )。 炔醇衍生物中,較佳者例如Surfynol®61、 Surfynol®82、S ur fyη ο 1®104、Surfyηo1®104E、0—(CH2CH20)YH H(0CH2CH2)x-0 〇—(CH2CH2〇)yH (SI) (S2) (In the above formula, R5(n, R5°2, R5°3, R5°4, R5°5 They are each a hydrogen atom, or a linear, branched or cyclic alkyl group having a carbon number of 1 to 8, and X and Y are 〇 or a positive number, and satisfy the following number: 0SXS30, 0SYS30, 0 ^ X + Υ ^ 40) Among the acetylenic alcohol derivatives, preferred are, for example, Surfynol® 61, Surfynol® 82, Sur y f y 1® 104, Surfy η o 1® 104E,

S u r f y η o 1 ® 1 0 4 H、S u r f y η o 1 ® 1 0 4 A、Surfynol®TG、 Surfynol®PC ' S ur f y η ο 1 ® 4 4 0、S u r f y η ο 1 ® 4 6 5、 Surfynol®485 ( Air Products and Chemicals Inc.製 SUrfyn〇l®E 1 004 (日信化學工業(股)製)等。 上述炔醇衍生物之添加量,相對於光阻材料之基礎聚 合物100質量份爲〇〜2質量份,更佳爲0.01〜2質量份 ,最佳爲0.02〜1質量份。超過2質量份時,將會有造成 光阻材料之解析性降低之情形。 使用本發明之光阻材料之圖型形成方法爲可利用公知 之微影蝕刻技術進行,於經由塗佈、加熱處理(預燒焙) -91 - 1375122 、曝光、加熱處理(Post*Exposure*Back; PEB)、顯影 等各步驟而達成。必要時,可再追加數個步驟。S urfy η o 1 ® 1 0 4 H, S urfy η o 1 ® 1 0 4 A, Surfynol® TG, Surfynol® PC 'S ur fy η ο 1 ® 4 4 0, Sur yfy η ο 1 ® 4 6 5 Surfynol® 485 (SUrfyn〇l® E 1 004 manufactured by Air Products and Chemicals Inc.), etc. The amount of the above-mentioned alkynol derivative is added to the base polymer 100 of the photoresist material. The mass part is 〇2 parts by mass, more preferably 0.01 to 2 parts by mass, most preferably 0.02 to 1 part by mass. When it exceeds 2 parts by mass, there is a case where the resolution of the photoresist material is lowered. The pattern forming method of the photoresist material can be carried out by using a known lithography etching technique, by coating, heat treatment (pre-baking) -91 - 1375122, exposure, heat treatment (Post*Exposure*Back; PEB) It is achieved by various steps such as development, and if necessary, several additional steps can be added.

於進行圖型形成之際,首先將本發明之光阻材料旋轉 塗佈於集積回路製造用之基板(Si、Si02、SiN、SiON、 TiN、WSi、BPSG、SOG、有機抗反射膜、Cr、CrO、 CrON、Mo Si等)上,再適當使用滾筒塗佈、流動塗佈、 浸漬塗佈、噴灑塗佈、刮刀塗佈等塗佈方法將塗佈膜厚度 塗佈至〇.〇1〜2.0/zm,於加熱板上,進行60〜150°C下之 1〜10分鐘時間,較佳爲80〜140°C下之1〜5分鐘時間之 預燒焙。與光阻薄膜化同時對於被加工基板之蝕刻選擇比 之關係會造成加工更爲嚴苛,目前已對光阻之下層層合含 矽中間膜,於其下再層合具有高碳密度之高蝕刻耐性之下 層膜,於其下再層合被加工基板所得之3層製程進行硏究 。使用氧氣體或氫氣體、氨氣體等之含矽中間膜與下層膜 之蝕刻選擇比較高,含矽中間膜亦可予以薄膜化。單層光 阻與含矽中間層之蝕刻選擇比亦較高,故單層光阻亦可形 成薄膜化。該情形中,下層膜之形成方法例如有塗佈與燒 焙之形成方法與CVD之形成方法等。塗佈型之情形,可 使用具有酚醛清漆樹脂或縮合環等之烯烴經聚合所得之樹 脂,製作CVD膜中,則可使用丁烷、乙烷、丙烷、乙烯 、乙炔等之氣體。含矽中間層之情形,例如有塗佈型與 CVD型等,塗佈型例如有倍半矽氧烷、籠狀寡倍半矽氧 烷(POSS )等,CVD用則例如有各種矽烷氣體作爲原料 等。含矽中間層以具有光吸收之抗反射機能者亦可,具有 C S> -92- 1375122 苯基等之吸光基’或SiON膜等亦可。含矽中間膜與光阻 之間可形成有機膜,此情形之有機膜可爲有機抗反射膜。 光阻膜形成後’可進彳了純水洗滌(後浸漬;post soak)以 將酸產生劑等由膜表面萃取,或將顆粒洗除,或塗佈保護 膜亦可。When forming a pattern, first, the photoresist material of the present invention is spin-coated on a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic anti-reflection film, Cr, On the CrO, CrON, Mo Si, etc., the coating film thickness is applied to the coating film by a coating method such as roll coating, flow coating, dip coating, spray coating or blade coating as appropriate. /zm, on a hot plate, at 60 to 150 ° C for 1 to 10 minutes, preferably at 80 to 140 ° C for 1 to 5 minutes of pre-baking. Compared with the photoresist thin film formation and the etching selectivity of the substrate to be processed, the processing is more severe. At present, the germanium containing interlayer film is laminated under the photoresist, and the layer is laminated under the high carbon density. The three-layer process obtained by etching the underlying film under the etch resistance and laminating the substrate to be processed is investigated. The etching of the ruthenium containing interlayer film and the underlayer film using oxygen gas, hydrogen gas, ammonia gas or the like is relatively high, and the ruthenium containing interlayer film can also be thinned. The single-layer photoresist and the tantalum-containing intermediate layer have a higher etching selectivity ratio, so that the single-layer photoresist can also be formed into a thin film. In this case, the method for forming the underlayer film includes, for example, a method of forming a coating and baking, a method of forming a CVD, and the like. In the case of a coating type, a resin obtained by polymerizing an olefin having a novolac resin or a condensed ring or the like can be used, and in the case of producing a CVD film, a gas such as butane, ethane, propane, ethylene or acetylene can be used. Examples of the ruthenium-containing intermediate layer include a coating type and a CVD type, and the coating type is, for example, a sesquiterpene oxide or a caged sesquioxaxane (POSS). For CVD, for example, various decane gases are used. Raw materials, etc. The antimony-containing intermediate layer may have an anti-reflection function of light absorption, and may have a light-absorbing group such as C S>-92-1375122 phenyl or an SiON film. An organic film may be formed between the ruthenium containing interlayer film and the photoresist, and the organic film in this case may be an organic antireflection film. After the formation of the photoresist film, pure water washing (post soak) may be carried out to extract the acid generator or the like from the surface of the film, or to wash the particles, or to apply a protective film.

其次,使用選自紫外線、遠紫外線、電子線、X線、 準分子雷射、r線、同位素放射線等所得之光源,通過欲 形成目的圖型之特定光罩進行曝光。曝光量以1〜 200mJ/cm2左右爲佳,特別是以10〜l〇〇mJ/cm2左右爲更 佳。其次,於加熱板上進行60〜150 °C下1〜5分鐘間, 更佳爲 80〜120 °C下 1〜3分鐘間之後燒焙(Post· Exposure*Back; PEB)。隨後,再使用 0.1 〜5 質量 %, 較佳爲使用2〜3質量%氫氧化四甲基銨(TMAH)等鹼 水溶液之顯影液,以0.1〜3分鐘間,較佳爲0.5〜2分鐘 間,以浸潰(dip )法、攪拌(puddle )法、噴灑法( spray )法等通常之方法進行顯影,而可於基板上形成目 的之圖型。又,本發明之光阻材料,較佳爲使用波長254 〜193nm之遠紫外線、波長I57nm之真空紫外線、電子 線、軟X線、X線、準分子雷射' r線、同位素放射線 ’更佳爲使用波長180〜200nm之範圍的高能量線進行微 細圖型之描繪爲最佳》 又,本發明之光阻材料可適用於浸液微影蝕刻》ArF 浸液微影蝕刻中,浸液溶劑可使用純水,或烷類等折射率 爲1以上且對曝光光線具有較少吸收之液體。浸液微影蝕 < S> -93-Next, a light source selected from ultraviolet light, far ultraviolet light, electron beam, X-ray, excimer laser, r-line, isotope radiation, or the like is used, and exposure is performed by a specific mask to form a target pattern. The exposure amount is preferably from about 1 to 200 mJ/cm 2 , particularly preferably from about 10 to about 1 μM/cm 2 . Next, it is baked on a hot plate at 60 to 150 ° C for 1 to 5 minutes, more preferably at 80 to 120 ° C for 1 to 3 minutes (Post· Exposure* Back; PEB). Subsequently, 0.1 to 5% by mass, preferably 2 to 3% by mass of a developing solution of an aqueous alkali solution such as tetramethylammonium hydroxide (TMAH) is used, for 0.1 to 3 minutes, preferably 0.5 to 2 minutes. The development is carried out by a usual method such as a dip method, a puddle method, or a spray method, and a desired pattern can be formed on the substrate. Further, the photoresist material of the present invention preferably uses ultraviolet rays having a wavelength of 254 to 193 nm, vacuum ultraviolet rays having a wavelength of I57 nm, electron lines, soft X-rays, X-rays, excimer laser 'r-line, isotope radiation'. In order to use a high-energy line in the range of 180 to 200 nm, the micro-pattern is best described. Further, the photoresist of the present invention can be applied to immersion lithography etching in ArF immersion lithography, immersion solvent Pure water, or a liquid having a refractive index of 1 or more and having less absorption of exposure light can be used. Immersion micro-etching <S> -93-

1375122 刻中,於預燒焙後之光阻膜與投影透鏡之間,可插入 或其他液體。如此,可進行NA爲1.0以上之透鏡設 而可進行更微細之圖型形成。浸液微影蝕刻爲將ArF 餓刻延伸至45nm node之重要技術,而急需加速被開 浸潤式曝光之情形中,爲去除光阻膜上殘留之水滴, 曝光後進行純水洗滌(post-soak)亦可,爲防止光阻 出之溶出物,提高膜表面之滑水性等目的時,可於預 後之光阻膜上形成保護膜亦可。浸液微影蝕刻所使用 阻保護膜,例如,不溶於水而可溶解於鹼顯影液之 1,1,1,3,3,3 —六氣—2 —丙醇殘基之局分子化合物作 礎,而可溶解於碳數4以上之醇系溶劑、碳數8〜12 系溶劑,及此些混合溶劑之材料爲佳。 此外,將ArF微影鈾刻延伸至32nm之延伸技術 如重複圖型描繪法等。重複圖型描繪法’例如於第1 光下,經由蝕刻對1 : 3溝槽(trench )圖型之底部 加工,再將位置移動經由進行第2次曝光而形成1: 槽圖型之形成1: 1圖型之溝槽法’於第1次曝光下 由蝕刻對1 : 3獨立殘留之圖型的第1個底部進行加 再將位置移動經由進行第2次曝光’以對形成於1 : 立殘留圖型之第1個底部之下的第2個底部進行加工 形成間距爲一半的1: 1之圖型線路法等。 【實施方式】 [實施例] 純水 計, 微影 發。 可於 所溶 燒焙 之光 具有 爲基 之醚 ,例 次曝 進行 3溝 ,經 工, 3獨 ,以 < S> -94- 1375122 以下,將以實施例及比較例對本發明作具體之說明, 但本發明並不受下述實施例所限制。 光阻材料之製作 [實施例] 依下述表1所示之組成,將高分子化合物、酸產生劑 、鹼性化合物、及溶劑混合、溶解後,將其使用鐵氟隆( φ 登記商標)製過濾器(孔徑〇. 2 v m )過濾,以作爲光阻 材料。又,溶劑全部使用含有界面活性劑之KH-20(旭硝 子(股)製)0.005質量%者。 < S >In 1375122, a liquid or other liquid can be inserted between the pre-baked photoresist film and the projection lens. In this way, a lens having an NA of 1.0 or more can be formed to form a finer pattern. Immersion lithography is an important technique for extending ArF to 45nm node, and it is urgent to accelerate the exposure of the immersion exposure. In order to remove the residual water droplets on the photoresist film, pure water is washed after exposure (post-soak In addition, in order to prevent the hindered substance from being blocked by light and to improve the water slidability of the film surface, a protective film may be formed on the prosthetic photoresist film. a resistive protective film for immersion liquid lithography, for example, a molecular compound which is insoluble in water and soluble in the 1,1,1,3,3,3-hexa-2-2-propanol residue of the alkali developing solution Further, it is preferably an alcohol solvent having a carbon number of 4 or more, a solvent having a carbon number of 8 to 12, and a material of the mixed solvent. In addition, the ArF lithography is extended to a 32 nm extension technique such as a repeated pattern drawing method. The repeating pattern drawing method is performed, for example, under the first light, by etching the bottom of the 1:3 trench pattern, and then moving the position by performing the second exposure to form a 1: groove pattern formation 1 : 1 pattern groove method 'by the first exposure, the first bottom of the 1:3 independent residual pattern is etched and then the position is moved by performing the second exposure 'to form a pair 1: The second bottom below the first bottom of the residual pattern is processed to form a 1:1 line method with a half pitch. [Embodiment] [Examples] Pure water meter, micro-shadow. The solvent which can be calcined in the fire can be used as a base ether, and the third exposure is carried out by a single exposure, and the work is carried out, and the three processes are carried out, and the following examples are given to the present invention by way of examples and comparative examples. It should be noted that the present invention is not limited by the following embodiments. Preparation of Photoresist Material [Examples] The polymer compound, the acid generator, the basic compound, and the solvent were mixed and dissolved according to the composition shown in Table 1 below, and then Teflon (φ registered trademark) was used. The filter (aperture 〇. 2 vm) was filtered to serve as a photoresist material. Further, all of the solvents were used in an amount of 0.005 mass% of KH-20 (manufactured by Asahi Glass Co., Ltd.) containing a surfactant. < S >

-95- 1375122-95- 1375122

[表i] 光阻 樹脂 酸產生劑 鹼性化合物 溶劑1 溶劑2 R-01 P-01 (80) PAG-1 (101) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-02 P-02 (80) PAG-1 (10_1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-03 P-03 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (U20) CyHO (480) R-04 P-04 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-05 P-05 (80.) PAG-I (iO.i) Base-i (i.4) PGMEA (i,i20) CyHO (480) R-06 P-06 (80) PAG-1 (10-1) Base-1 (1-4) PGMEA (1,120) CyHO (480) R-07 P-07 (80) PAG-1 (10.1) Base-1 (1_4) PGMEA (1,120) CyHO (480) R-08 P-08 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (l,12〇) CyHO (480) R-09 P-09 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-10 P-10 (80) PAG-1 (101) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-11 P-11 (80) PAG-1 (10_1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-12 P-12 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-13 P-13 (80) PAG-1 (IO.i) Base-1 (1-4) PGMEA (1,120) CyHO (480) R-14 P-14 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-15 P-15 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-16 P-16 (80) PAG-1 (10.1) Base-1 (1_4) PGMEA 0,120) CyHO (480) R-17 P-17 (80) PAG-1 (ίο-i) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-18 P-18 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-19 P-19 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA 0,120) CyHO (480) R-20 P-01 (80) PAG-2 (11.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-21 P-01 (80) PAG-3 (10.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-22 P-01 (80) PAG-4 (10.2) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-23 P-01 (80) PAG-5 (15.0) Base-1 (1.4) PGMEA (U20) CyHO (480) R-24 P-01 (80) PAG-6 (10.6) Base-1 (1.4) PGMEA (U20) CyHO (480) R-25 P-15 (80) PAG-2 (11.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-26 P-15 (80) PAG-3 (10.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-27 P-15 (80) PAG-4 (10.2) Base-1 (1.4) PGMEA (U20) CyHO (480) R-28 P-15 (80) PAG-5 (15.0) Base-1 (1.4) PGMEA (U20) CyHO (480) R-29 P-15 (8〇) PAG-6 (10.6) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-30 P-17 (80) PAG-2 (no) Base-1 (1.4) PGMEA (U20) CyHO (480) R-31 P-17 (80) PAG-3 (10.0) Base-1 (1.4) PGMEA (U20) CyHO (480) R-32 P-17 (8〇) PAG-4 (10.2) Base-1 (1.4) PGMEA 0,120) CyHO (480) R-33 P-17 (80) PAG-5 (15.0) Base-1 (1.4) PGMEA (U20) CyHO (480) R-34 P-17 (80) PAG-6 (10.6) Base-1 (1.4) PGMEA (1,120) CyHO (480) 括弧內爲添加比(質量份)。[Table i] Photoresist resin acid generator Basic compound solvent 1 Solvent 2 R-01 P-01 (80) PAG-1 (101) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-02 P -02 (80) PAG-1 (10_1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-03 P-03 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (U20) CyHO (480) R-04 P-04 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-05 P-05 (80.) PAG-I (iO.i Base-i (i.4) PGMEA (i, i20) CyHO (480) R-06 P-06 (80) PAG-1 (10-1) Base-1 (1-4) PGMEA (1,120) CyHO ( 480) R-07 P-07 (80) PAG-1 (10.1) Base-1 (1_4) PGMEA (1,120) CyHO (480) R-08 P-08 (80) PAG-1 (10.1) Base-1 ( 1.4) PGMEA (l,12〇) CyHO (480) R-09 P-09 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-10 P-10 (80 PAG-1 (101) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-11 P-11 (80) PAG-1 (10_1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-12 P-12 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-13 P-13 (80) PAG-1 (IO.i) Base-1 ( 1-4) PGMEA (1,120) CyHO (480) R-14 P-14 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) Cy HO (480) R-15 P-15 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-16 P-16 (80) PAG-1 (10.1) Base- 1 (1_4) PGMEA 0,120) CyHO (480) R-17 P-17 (80) PAG-1 (ίο-i) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-18 P-18 (80 PAG-1 (10.1) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-19 P-19 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA 0,120) CyHO (480) R -20 P-01 (80) PAG-2 (11.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-21 P-01 (80) PAG-3 (10.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-22 P-01 (80) PAG-4 (10.2) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-23 P-01 (80) PAG-5 (15.0 Base-1 (1.4) PGMEA (U20) CyHO (480) R-24 P-01 (80) PAG-6 (10.6) Base-1 (1.4) PGMEA (U20) CyHO (480) R-25 P-15 (80) PAG-2 (11.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-26 P-15 (80) PAG-3 (10.0) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-27 P-15 (80) PAG-4 (10.2) Base-1 (1.4) PGMEA (U20) CyHO (480) R-28 P-15 (80) PAG-5 (15.0) Base- 1 (1.4) PGMEA (U20) CyHO (480) R-29 P-15 (8〇) PAG-6 (10.6) Base-1 (1.4) PGMEA (1,120) C yHO (480) R-30 P-17 (80) PAG-2 (no) Base-1 (1.4) PGMEA (U20) CyHO (480) R-31 P-17 (80) PAG-3 (10.0) Base- 1 (1.4) PGMEA (U20) CyHO (480) R-32 P-17 (8〇) PAG-4 (10.2) Base-1 (1.4) PGMEA 0,120) CyHO (480) R-33 P-17 (80) PAG-5 (15.0) Base-1 (1.4) PGMEA (U20) CyHO (480) R-34 P-17 (80) PAG-6 (10.6) Base-1 (1.4) PGMEA (1,120) CyHO (480 ) In the brackets, the addition ratio (parts by mass).

c S -96- 1375122 [比較例] 依下述表2所示組成,依實施例相同之順序,製作比 較用之光阻材料。 [表2] 光阻 樹脂 酸產生劑 鹼性ίι 匕合物 溶劑1 溶劑2 R-35 P-20 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (U20) CyHO (480) R-36 P-21 (80) PAG-1 (10.1) Base-1 (1-4) PGMEA (1,120) CyHO (480) R-37 P-22 (80) PAG-1 (101) Base-1 (1_4) PGMEA (1,120) CyHO (480) R-38 P-01 (80) PAG-7 (8.7) Base-1 (1.4) PGMEA (U20) CyHO (480) R-39 P-15 (80) PAG-7 (8.7) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-40 P-17 (80) PAG-7 (8.7) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-41 P-01 (8〇) PAG-8 (9-3) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-42 P-15 (80) PAG-8 (9.3) Base-1 (1.4) PGMEA (U20) CyHO (480) R-43 P-17 (80) PAG-8 (9.3) Base-1 (1.4) PGMEA (1,120) CyHO (480)c S -96-1375122 [Comparative Example] According to the composition shown in the following Table 2, a comparative photoresist was produced in the same order as in the examples. [Table 2] Photoresist resin acid generator Alkaline ί 匕 Compound solvent 1 Solvent 2 R-35 P-20 (80) PAG-1 (10.1) Base-1 (1.4) PGMEA (U20) CyHO (480) R -36 P-21 (80) PAG-1 (10.1) Base-1 (1-4) PGMEA (1,120) CyHO (480) R-37 P-22 (80) PAG-1 (101) Base-1 (1_4 PGMEA (1,120) CyHO (480) R-38 P-01 (80) PAG-7 (8.7) Base-1 (1.4) PGMEA (U20) CyHO (480) R-39 P-15 (80) PAG-7 (8.7) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-40 P-17 (80) PAG-7 (8.7) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-41 P -01 (8〇) PAG-8 (9-3) Base-1 (1.4) PGMEA (1,120) CyHO (480) R-42 P-15 (80) PAG-8 (9.3) Base-1 (1.4) PGMEA (U20) CyHO (480) R-43 P-17 (80) PAG-8 (9.3) Base-1 (1.4) PGMEA (1,120) CyHO (480)

括弧內爲添加比(質量份)。 表1、2中,括弧內之數値爲質量份。簡稱所示之鹼 φ 性化合物及溶劑分別如下所述。In the brackets, the addition ratio (parts by mass). In Tables 1 and 2, the number 値 in parentheses is parts by mass. The base φ compound and solvent shown by the abbreviation are as follows.

Base-Ι:三(2-甲氧基甲氧基乙基)胺 PGMEA:乙酸1-甲氧基異丙酯Base-Ι: Tris(2-methoxymethoxyethyl)amine PGMEA: 1-methoxyisopropyl acetate

CyHO :環己酮 又,表1、2中,簡稱所示之樹脂,分別如表3〜8所 表示之高分子化合物。 < £ ) -97- 1375122CyHO: cyclohexanone Further, the resins shown in Tables 1 and 2 are abbreviated as the polymer compounds shown in Tables 3 to 8, respectively. < £ ) -97- 1375122

[表3] 樹脂 單位1 (導入比) 單位2 (導入比) 單位3 (導入比) 單位4 (導入比) 重量平均分子量 P-01 A-1M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 7,100 P-02 A-2M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 6,800 P-03 A-3M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 6,500 P-04 A-4M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 7,000 P-05 A-5M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 7,200 P-06 A-1M(0.30) B-1M(0.15) C-2M(0.30) D-1M(0.25) 7,300 P-07 A-2M(0.30) B-1M(0.15) C-2M(0.30) D-1M(0.25) 6,800 P-08 A-1M(0.30) B-1M(0.15) C-3M(0.30) D-1M(0.25) 7,300 P-09 A-2M(0.30) B-1M(0.15) C-3M(0.30) D-1M(0.25) 6,900 P-10 A-1M(0.30) B-1M(0.15) C-1M(0.30) D-2M(0.25) 7,000 P-11 A-1M(0.30) B-1M(0.15) C-1M(0.30) D-3M(0.25) 6,900 P-12 A-1M(0.30) B-1M(0.15) C-2M(0.30) D-2M(0.25) 7,100 P-13 A-1M(0.30) B-1M(0.15) C-2M(0.30) D-3M(0.25) 6,900 P-14 A-2MC0.30) B-1M(0.15) C-1M(0.30) D-2M(0.25) 6,700 P-15 A-2M(0.30) B-1M(0.15) C-1M(0.30) D-3M(0.25) 6,600 P-16 A-2M(0.30) B-1M(0.15) C-2M(0.30) D-2M(0.25) 6,700 P-17 A-2M(0.30) B-1M(0.15) C-2M(0.30) D-3M(0.25) 6,500 P-18 A-1M(0.30) B-2M(0.15) C-1M(0.30) D-1M(0.25) 7,000 P-19 A-1M(0.30) B-1A(0.15) C-1M(0.30) D-1M(0.25) 6,700 P-20 A-2M(0.30) B-1M(0.15) C-1M(0.55) 6,700 P-21 A-2M(0.30) B-1M(0.15) E-1M(0.55) 6,900 P-22 A-2M(0.30) B-1M(0.15) E-2M(0.55) 6,700 導入比爲莫耳比。 < B > -98- 1375122 [表4][Table 3] Resin unit 1 (introduction ratio) Unit 2 (introduction ratio) Unit 3 (introduction ratio) Unit 4 (introduction ratio) Weight average molecular weight P-01 A-1M (0.30) B-1M (0.15) C-1M (0.30) D-1M(0.25) 7,100 P-02 A-2M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 6,800 P-03 A-3M(0.30) B-1M (0.15) C-1M(0.30) D-1M(0.25) 6,500 P-04 A-4M(0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 7,000 P-05 A-5M (0.30) B-1M(0.15) C-1M(0.30) D-1M(0.25) 7,200 P-06 A-1M(0.30) B-1M(0.15) C-2M(0.30) D-1M(0.25) 7,300 P-07 A-2M(0.30) B-1M(0.15) C-2M(0.30) D-1M(0.25) 6,800 P-08 A-1M(0.30) B-1M(0.15) C-3M(0.30) D -1M(0.25) 7,300 P-09 A-2M(0.30) B-1M(0.15) C-3M(0.30) D-1M(0.25) 6,900 P-10 A-1M(0.30) B-1M(0.15) C -1M(0.30) D-2M(0.25) 7,000 P-11 A-1M(0.30) B-1M(0.15) C-1M(0.30) D-3M(0.25) 6,900 P-12 A-1M(0.30) B -1M(0.15) C-2M(0.30) D-2M(0.25) 7,100 P-13 A-1M(0.30) B-1M(0.15) C-2M(0.30) D-3M(0.25) 6,900 P-14 A -2MC0.30) B-1M(0.15) C-1M(0.30) D-2M(0.25) 6,700 P-15 A-2M(0.30) B-1M(0.15) C-1M(0.30) D-3M(0.25 ) 6,600 P-16 A-2M (0.30) B-1M (0.15) C-2M (0 .30) D-2M(0.25) 6,700 P-17 A-2M(0.30) B-1M(0.15) C-2M(0.30) D-3M(0.25) 6,500 P-18 A-1M(0.30) B-2M (0.15) C-1M(0.30) D-1M(0.25) 7,000 P-19 A-1M(0.30) B-1A(0.15) C-1M(0.30) D-1M(0.25) 6,700 P-20 A-2M (0.30) B-1M(0.15) C-1M(0.55) 6,700 P-21 A-2M(0.30) B-1M(0.15) E-1M(0.55) 6,900 P-22 A-2M(0.30) B-1M (0.15) E-2M (0.55) 6,700 The introduction ratio is the molar ratio. < B > -98- 1375122 [Table 4]

•[表 5] φ m 6]• [Table 5] φ m 6]

(S > -99- 1375122 [表7](S > -99- 1375122 [Table 7]

[表8][Table 8]

表1、2中,簡稱所示之酸產生劑’分別如表9所表 示之锍鹽化合物。 -100- 1375122 [表9]In Tables 1 and 2, the acid generators referred to as abbreviations are shown as the onium salt compounds shown in Table 9, respectively. -100- 1375122 [Table 9]

解析性之評估 [實施例1〜34及比較例1〜9]Analytical evaluation [Examples 1 to 34 and Comparative Examples 1 to 9]

將本發明之光阻材料(R-01〜34 )及比較用之光阻材 料(R-35〜43)分別旋轉塗佈於塗佈有抗反射膜(日產化 學工業(股)製,ARC29A,78nm )之矽晶圓上,於施以 100 °C、60秒鐘之熱處理,形成厚度120mm之光阻膜。 將其使用ArF準分子雷射步進機((股)理光製,NA = 0.85)進行曝光’於施以60秒鐘熱處理(PEB)後,使用 2.38質量%之氫氧化四甲基銨水溶液進行30秒鐘之攪拌 顯影,形成1: 1之線路與空間圖型圖型及1: 10之獨立 線路圖型。PEB中’使用最適合各光阻材料之溫度。對於 -101 - 1375122The photoresist materials (R-01 to 34) of the present invention and the photoresist materials (R-35 to 43) for comparison are respectively spin-coated on an antireflection film (ARC29A, manufactured by Nissan Chemical Industries Co., Ltd.). On a silicon wafer of 78 nm), a photoresist film having a thickness of 120 mm was formed by heat treatment at 100 ° C for 60 seconds. It was exposed to an ArF excimer laser stepper (manufactured by Ricoh Ricoh, NA = 0.85) after being subjected to a heat treatment (PEB) for 60 seconds, and then subjected to a 2.38 mass% aqueous solution of tetramethylammonium hydroxide. A 30-second agitation development resulted in a 1:1 line and space pattern and a 1:10 independent line pattern. In PEB, the temperature most suitable for each photoresist material is used. For -101 - 1375122

附有所製作之圖型的晶圓,由上方使用SEM (掃描型電 子顯微鏡)觀察,以80nm之1 : 1線路與空間圖型解析 爲1: 1時之曝光量作爲最佳曝光量(mJ/cm2),該最佳 曝光量中,分離解析之1: 1的線路與空間圖型之最小尺 寸作爲臨界解析性(光罩上尺寸,5mm刻度,尺寸越小 越佳)。又,該最適曝光量中,觀察1: 1〇之獨立線路圖 型,並測定光罩尺寸140nm之獨立線路圖型於晶圓上之 實際尺寸,作爲光罩忠實性(晶圓上尺寸,尺寸越大越好 )。此外,並觀察圖型之粗糙(LER =線路側壁不均), 以3段階之評估(良好 '可、不良)進行判斷。 分別將本發明之光阻材料的評估結果(臨界解析性、 光罩忠實性、LER)記載於表10,比較用之光阻材料的評 估結果(臨界解析性、光罩忠實性、LER )記載於表1 1。 -102- 1375122The wafer with the pattern produced is observed by SEM (scanning electron microscope) from above, and the exposure amount is 1:10 when the line and space pattern of 80 nm are analyzed as the optimum exposure amount (mJ) /cm2), the minimum size of the line and space pattern of the 1:1 separation and analysis is the critical resolution (the size on the mask, 5 mm scale, the smaller the size, the better). In addition, in the optimum exposure amount, an independent circuit pattern of 1:1 is observed, and the actual size of the independent wiring pattern of the mask size of 140 nm on the wafer is measured, as the mask faith (size on the wafer, size) The bigger the better). In addition, and observe the roughness of the pattern (LER = line sidewall unevenness), judge by the evaluation of the three-stage (good 'can be, bad'). The evaluation results (critical resolution, mask fidelity, LER) of the photoresist of the present invention are shown in Table 10, and the evaluation results (critical resolution, mask fidelity, LER) of the photoresist materials for comparison are described. In Table 11. -102- 1375122

[表10 實施例 光阻 PEB溫度 最佳曝光量 臨界解析性 光罩忠實性 LER 1 R-01 9 5〇C 24.0mJ/cm2 65nm 79nm 良好 2 R-02 9 5〇C 27.0mJ/cm2 65nm 81nm 良好 3 R-03 9 5〇C 25.0mJ/cm2 65nm 80nm 良好 4 R-04 r\ r 27.0mJ/’cm2 05nm 82nm 5 R-05 105°C 28.0mJ/cm2 70nm 75nm 良好 6 R-06 9 5〇C 23.0mJ/cm2 65nm 77nm 良好 7 R-07 9 5〇C 25.0mJ/cm2 65nm 78nm 良好 8 R-08 9 5 °C 24.0mJ/cm2 70nm 76nm 良好 9 R-09 9 5〇C 26.0mJ/cm2 65nm 78nm 良好 10 R-10 9 5 °C 23.0mJ/cm2 65nm 76nm 良好 11 R-11 9 5 °C 24.0mJ/cm2 65nm 77nm 良好 12 R-12 9 5 °C 23.0mJ/cm2 65nm 75ran 良好 13 R-13 9 5〇C 23.0mJ/cm2 65nm 75nm 良好 14 R-14 9 5〇C 26.0mJ/cm2 65nm 78nm 良好 15 R-15 9 5 °C 26.0mJ/cm2 65nm 79nm 良好 16 R-16 9 5 °C 24.0mJ/cm2 65nm 77nm 良好 17 R-17 9 5 °C 25.0mJ/cm2 65nm 77nm 良好 18 R-18 9 5 °C 26.0mJ/cm2 65nm 80nm 良好 19 R-19 90°C 22.0mJ/cm2 70nm 75nm 良好 20 R-20 9 5 °C 27.0mJ/cm2 65nm 81nm 良好 21 R-21 9 5 °C 25.0mJ/cm2 65nm 82nm 良好 22 R-22 9 5 °C 25.0mJ/cm2 65nm 81nm 良好 23 R-23 9 5〇C 29.0mJ/cm2 65nm 84nm 良好 24 R-24 9 5 °C 25.0mJ/cm2 65nm 79nm 良好 25 R-25 9 5〇C 29.0mJ/cm2 65nm 81nm 良好 26 R-26 9 5〇C 28.0mJ/cm2 65nm 82nm 良好 27 R-27 9 5〇C 28.0mJ/cm2 65nm 82nm 良好 28 R-28 9 5〇C 33.0mJ/cm2 65nm 85nm 良好 29 R-29 9 5〇C 28.0mJ/cm2 65nm 80nm 良好 30 R-30 9 5 °C 28.0mJ/cm2 65nm 79nm 良好 31 R-31 9 5 °C 27.0mJ/cm2 65nm 80nm 良好 32 R-32 9 5〇C 28.0mJ/cm2 65nm 79nm 良好 33 R-33 9 5 °C 31.0mJ/cm2 65nm 83nm 良好 34 R-34 9 5 °C 27.0mJ/cm2 65nm 78nm 良好 < s > -103- 1375122 [表 11] 比較例 光阻 PEB溫度 最佳曝光量 臨界解析性 光罩忠實性 LER 1 R-35 9 5〇C 22.0mJ/cm2 70nm 71nm 可 2 R-36 105°C 24.0mJ/cm2 65nm 83nm 不良 3 R-37 105°C 24.0mJ/cm2 70nm 79nm 不良 4 R-38 9 5〇C 21.0mJ/cm2 75nm 65nm 良好 5 R-39 9 5〇C 22.0mJ/cm2 75nm 59nm 良好 6 R-40 9 5〇C 20.0mJ/cm2 75nm 57nm 良好 7 R-41 9 5〇C 22.0mJ/cm2 75nm 67nm 良好 8 R-42 9 5〇C 20.0mJ/cm2 75nm 58nm 良好 9 R-43 9 5〇C 20.0mJ/cm2 75nm 59nm 良好[Table 10 Example Photoresist PEB Temperature Optimal Exposure Critical Resolution Mask Faithfulness LER 1 R-01 9 5〇C 24.0mJ/cm2 65nm 79nm Good 2 R-02 9 5〇C 27.0mJ/cm2 65nm 81nm Good 3 R-03 9 5〇C 25.0mJ/cm2 65nm 80nm Good 4 R-04 r\ r 27.0mJ/'cm2 05nm 82nm 5 R-05 105°C 28.0mJ/cm2 70nm 75nm Good 6 R-06 9 5 〇C 23.0mJ/cm2 65nm 77nm Good 7 R-07 9 5〇C 25.0mJ/cm2 65nm 78nm Good 8 R-08 9 5 °C 24.0mJ/cm2 70nm 76nm Good 9 R-09 9 5〇C 26.0mJ/ Cm2 65nm 78nm Good 10 R-10 9 5 °C 23.0mJ/cm2 65nm 76nm Good 11 R-11 9 5 °C 24.0mJ/cm2 65nm 77nm Good 12 R-12 9 5 °C 23.0mJ/cm2 65nm 75ran Good 13 R-13 9 5〇C 23.0mJ/cm2 65nm 75nm Good 14 R-14 9 5〇C 26.0mJ/cm2 65nm 78nm Good 15 R-15 9 5 °C 26.0mJ/cm2 65nm 79nm Good 16 R-16 9 5 °C 24.0mJ/cm2 65nm 77nm Good 17 R-17 9 5 °C 25.0mJ/cm2 65nm 77nm Good 18 R-18 9 5 °C 26.0mJ/cm2 65nm 80nm Good 19 R-19 90°C 22.0mJ/cm2 70nm 75nm Good 20 R-20 9 5 °C 27.0mJ/cm2 65nm 81nm Good 21 R-21 9 5 °C 25.0mJ/cm2 6 5nm 82nm Good 22 R-22 9 5 °C 25.0mJ/cm2 65nm 81nm Good 23 R-23 9 5〇C 29.0mJ/cm2 65nm 84nm Good 24 R-24 9 5 °C 25.0mJ/cm2 65nm 79nm Good 25 R -25 9 5〇C 29.0mJ/cm2 65nm 81nm Good 26 R-26 9 5〇C 28.0mJ/cm2 65nm 82nm Good 27 R-27 9 5〇C 28.0mJ/cm2 65nm 82nm Good 28 R-28 9 5〇 C 33.0mJ/cm2 65nm 85nm Good 29 R-29 9 5〇C 28.0mJ/cm2 65nm 80nm Good 30 R-30 9 5 °C 28.0mJ/cm2 65nm 79nm Good 31 R-31 9 5 °C 27.0mJ/cm2 65nm 80nm Good 32 R-32 9 5〇C 28.0mJ/cm2 65nm 79nm Good 33 R-33 9 5 °C 31.0mJ/cm2 65nm 83nm Good 34 R-34 9 5 °C 27.0mJ/cm2 65nm 78nm Good< s > -103- 1375122 [Table 11] Comparative Example Photoresist PEB Temperature Optimal Exposure Critical Resolution Mask Faithfulness LER 1 R-35 9 5〇C 22.0mJ/cm2 70nm 71nm Available 2 R-36 105° C 24.0mJ/cm2 65nm 83nm Poor 3 R-37 105°C 24.0mJ/cm2 70nm 79nm Poor 4 R-38 9 5〇C 21.0mJ/cm2 75nm 65nm Good 5 R-39 9 5〇C 22.0mJ/cm2 75nm 59nm good 6 R-40 9 5〇C 20.0mJ/cm2 75nm 57nm good 7 R-41 9 5〇C 22.0mJ/c M2 75nm 67nm Good 8 R-42 9 5〇C 20.0mJ/cm2 75nm 58nm Good 9 R-43 9 5〇C 20.0mJ/cm2 75nm 59nm Good

由表ίο之結果得知,本發明之光阻材料(實施例1 〜3 4 )除具有優良之解析性能以外,亦確認LER受到充 分之抑制。又,由表Π中之比較例1〜3得知,使用以往 之樹脂時,顯示出解析性能較劣化,或LER並未受到抑 制。又,表1 1中之比較例4〜9中,得知即使使用本發明 之樹脂再與以往之酸產生劑組合之情形中,將極困難地引 φ 導出其之優點,特別是於解析性能中,並未較以往產品有 任何之改善。 . 如上所示,使用具有特定重複單位之高分子化合物作 爲基礎樹脂,再與發生酸之擴散性受到抑制之特殊酸產生 劑組合使用之本發明的光阻材料’相較於以往技術所構築 , 之物質相比較時,確認爲解析性能受到改善’且LER受 到抑制之光阻材料。 -104-As is apparent from the results of the table, the photoresist materials of the present invention (Examples 1 to 3 4) confirmed that the LER was sufficiently suppressed in addition to the excellent analytical performance. Further, from Comparative Examples 1 to 3 in the Table, it was found that when the conventional resin was used, the analysis performance was deteriorated, or the LER was not inhibited. Further, in Comparative Examples 4 to 9 in Table 11, it was found that even in the case where the resin of the present invention was used in combination with a conventional acid generator, it was extremely difficult to derive φ, which was particularly advantageous in analytical performance. There is no improvement over previous products. As described above, the use of a polymer compound having a specific repeating unit as a base resin, and a photoresist material of the present invention used in combination with a special acid generator having suppressed acid diffusion property is constructed in comparison with the prior art. When the materials were compared, it was confirmed that the analytical performance was improved and the LER was suppressed. -104-

Claims (1)

137-5122 第096144172號專利申請案中文申請專利範圍修正本 民國101年6月22曰修正 十、申請專利範圍 1·—種正型光阻材料,其爲含有(A)基於酸之作用 而形成對鹼顯影液爲可溶之樹脂成份,與(B)感應活性 光線或放射線而發生酸之化合物,其中,樹脂成份(A) 爲具有下述通式(1)所表示之重複單位的高分子化合物 ’且’發生酸之化合物(B)爲下述通式(2)所表示之锍 鹽化合物之正型光阻材料,137-5122 Patent application No. 096,144,172 Patent application for amendment of the scope of patent application in the Republic of China, June 22, 2011, Amendment X. Patent application scope 1. A positive-type photoresist material, which is formed by containing (A) acid-based action a compound which is a soluble resin component to the alkali developer and (B) an acid which generates an acid by inducing active light or radiation, wherein the resin component (A) is a polymer having a repeating unit represented by the following formula (1) The compound 'B' and the acid-generating compound (B) is a positive-type photoresist material of the onium salt compound represented by the following formula (2), R1分別獨立爲氫原子、甲基,或三氟甲基;R2爲酸不穩 定基;R3爲氫原子或C〇2R4; R4爲可含有雜原子之碳數1 〜20之直鏈狀、分支狀或環狀之1價烴基;X爲〇、s、 CH2 ’ 或 CH2CH2 ;m 爲 1 或 2;n 爲 1 或 2;a、b、c、d 分別爲各重複卓位之存在比例,a、b、c、d分別爲001 以上,未達1之數,a+b+c+d=l 【化2】R1 is independently a hydrogen atom, a methyl group, or a trifluoromethyl group; R2 is an acid labile group; R3 is a hydrogen atom or C〇2R4; and R4 is a linear or branched group having a carbon number of 1 to 20 which may contain a hetero atom. a monovalent hydrocarbon group or a ring; X is 〇, s, CH2' or CH2CH2; m is 1 or 2; n is 1 or 2; a, b, c, and d are the ratios of the respective repeat positions, a , b, c, and d are respectively above 001, and the number is less than 1, a+b+c+d=l [Chemical 2] 式中,R5、R6、R7分別獨立爲氫原子,或可含有雜原子之 1375122 碳數1〜20之直鏈狀、分支狀或環狀之1價烴基;R8爲可 含有雜原ΐ之碳數7〜之直鏈狀、分支狀或環狀之1價 烴基。 : 2.如申請專利範圍第1項之正型光阻材料,其中, 樹脂成份(Α)之酸不穩定基爲由下述式(a-l)〜(a-5 )所選出之1種或2種以上, 【化3】In the formula, R5, R6 and R7 are each independently a hydrogen atom, or may contain a hetero atom of 1375122, a linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms; and R8 is a carbon which may contain a hetero atom A straight-chain, branched or cyclic monovalent hydrocarbon group of 7 to 8. 2. The positive-type photoresist material according to claim 1, wherein the acid-labile group of the resin component (Α) is one or two selected from the following formulas (al) to (a-5); More than the above, [Chemical 3] (a·】) (a-2) (a-3) (a-4) (a-5) 式中,虛線爲鍵結鍵:R9、R1G、R11、R12、R13分別獨立 爲碳數1〜4之直鏈狀或分支狀之烷基;0爲1或2: p爲 1或2。 3. 一種圖型之形成方法,其特徵爲包含使申請專利 範圍第1或2項之光阻材料塗佈於基板上之步驟,與於加 熱處理後介由光罩使高能量線或電子線曝光之步驟,與於 加熱處理後,使用顯影液進行顯影之步驟。 4. —種圖型之形成方法,其爲包含使申請專利範圍 第1或2項之光阻材料塗佈於基板上之步驟,與於加熱處 理後介由光罩使高能量線或電子線曝光之步驟,與加熱處 理後,使用顯影液進行顯影之步驟之圖型形成製程,其特 徵爲,使用折射率1以上之液體介於光阻塗佈膜與投影透 鏡之間進行浸潤式曝光。 5. —種圖型之形成方法,其爲包含使申請專利範圍 -2- 1375122 第1或2項之光阻材料塗佈於基板上之步驟,與於加熱處 理後介由光罩使高能量線或電子線曝光之步驟,與加熱 處理後,使用顯影液進行顯影之步驟之圖型形成製程,其 特徵爲,於光阻塗佈膜之上再塗佈保護膜,使用折射率1 以上之液體介於該保護膜與投影透鏡之間進行浸潤式曝光(a·)) (a-2) (a-3) (a-4) (a-5) where the dotted line is the bonding key: R9, R1G, R11, R12, and R13 are each independently carbon number 1~ a linear or branched alkyl group of 4; 0 is 1 or 2: p is 1 or 2. 3. A method of forming a pattern, comprising the steps of applying a photoresist material according to claim 1 or 2 to a substrate, and applying a high energy line or an electron beam through the mask after the heat treatment; The step of exposing, and the step of developing using a developing solution after the heat treatment. 4. A method for forming a pattern, comprising the step of applying a photoresist material according to claim 1 or 2 to a substrate, and applying a high energy line or an electron beam through the mask after the heat treatment The step of exposing, and the pattern forming process of the step of developing using a developing solution after the heat treatment, is characterized in that a liquid having a refractive index of 1 or more is used between the photoresist coating film and the projection lens to perform immersion exposure. 5. A method for forming a pattern, comprising the step of applying a photoresist material according to claim 1 or 2,375, 122 or 1 to a substrate, and applying high energy to the mask after the heat treatment a step of forming a line or an electron beam, and a pattern forming process of the step of developing with a developing solution after the heat treatment, wherein the protective film is coated on the photoresist coating film, and a refractive index of 1 or more is used. The liquid is infiltrated between the protective film and the projection lens
TW096144172A 2006-11-22 2007-11-21 Positive resist compositions and patterning process TWI375122B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006315315A JP4296447B2 (en) 2006-11-22 2006-11-22 Positive resist material and pattern forming method

Publications (2)

Publication Number Publication Date
TW200900861A TW200900861A (en) 2009-01-01
TWI375122B true TWI375122B (en) 2012-10-21

Family

ID=39555242

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096144172A TWI375122B (en) 2006-11-22 2007-11-21 Positive resist compositions and patterning process

Country Status (3)

Country Link
JP (1) JP4296447B2 (en)
KR (1) KR101028646B1 (en)
TW (1) TWI375122B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5019071B2 (en) * 2007-09-05 2012-09-05 信越化学工業株式会社 Novel photoacid generator, resist material and pattern forming method using the same
JP5562826B2 (en) * 2008-02-25 2014-07-30 株式会社ダイセル Monomer, polymer compound and photoresist composition containing electron-withdrawing substituent and lactone skeleton
US9046773B2 (en) 2008-03-26 2015-06-02 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method using the same, polymerizable compound and polymer compound obtained by polymerizing the polymerizable compound
JP5530645B2 (en) * 2008-03-26 2014-06-25 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP5205133B2 (en) * 2008-06-04 2013-06-05 東京応化工業株式会社 Compound, acid generator, resist composition, and resist pattern forming method
JP5469845B2 (en) * 2008-06-20 2014-04-16 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5173642B2 (en) * 2008-07-18 2013-04-03 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5393325B2 (en) * 2008-08-01 2014-01-22 富士フイルム株式会社 Positive resist composition and pattern forming method using the same
JP4771101B2 (en) * 2008-09-05 2011-09-14 信越化学工業株式会社 Positive resist material and pattern forming method
JP4655128B2 (en) * 2008-09-05 2011-03-23 信越化学工業株式会社 Positive resist material and pattern forming method
JP5325519B2 (en) * 2008-10-09 2013-10-23 東京応化工業株式会社 Positive resist composition, polymer compound, and resist pattern forming method
JP5537963B2 (en) * 2009-01-16 2014-07-02 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP5433268B2 (en) * 2009-03-19 2014-03-05 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
TW201106101A (en) * 2009-06-01 2011-02-16 Fujifilm Electronic Materials Chemically amplified positive photoresist composition
JP5206986B2 (en) 2009-06-04 2013-06-12 信越化学工業株式会社 Positive resist material and pattern forming method
JP5722558B2 (en) * 2009-06-23 2015-05-20 住友化学株式会社 Resist composition
WO2015122470A1 (en) 2014-02-14 2015-08-20 三菱瓦斯化学株式会社 Method for producing novel alicyclic ester compound, novel alicyclic ester compound, (meth)acrylic copolymer produced by polymerizing said compound, and photosensitive resin composition using said copolymer
EP3106477B1 (en) 2014-02-14 2018-08-29 Mitsubishi Gas Chemical Company, Inc. (meth)acrylic acid ester compound and production method therefor

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW564331B (en) 1999-10-28 2003-12-01 Fuji Photo Film Co Ltd Positive-form photoresist composition
US6858370B2 (en) * 2001-02-23 2005-02-22 Fuji Photo Film Co., Ltd. Positive photosensitive composition
JP4117112B2 (en) * 2001-03-30 2008-07-16 富士フイルム株式会社 Positive photoresist composition
TWI332122B (en) * 2005-04-06 2010-10-21 Shinetsu Chemical Co Novel sulfonate salts and derivatives, photoacid generators, resist compositions and patterning process

Also Published As

Publication number Publication date
JP4296447B2 (en) 2009-07-15
KR101028646B1 (en) 2011-04-11
KR20080046581A (en) 2008-05-27
JP2008129388A (en) 2008-06-05
TW200900861A (en) 2009-01-01

Similar Documents

Publication Publication Date Title
TWI375122B (en) Positive resist compositions and patterning process
TWI377443B (en) Resist composition and patterning process
TWI390345B (en) Photoresist materials and pattern forming methods using them
TWI379157B (en) Resist composition and patterning process
TWI382994B (en) Polymer compounds, photoresist materials, and pattern formation methods
TWI383256B (en) Resist composition and patterning process using the same
TWI375687B (en) Resist composition and patterning process
TWI395060B (en) Resist composition and patterning process
KR101434690B1 (en) Polymer, resist composition, and patterning process
TWI416271B (en) Patterning process and resist composition used therein
TWI383261B (en) Photoresist material and pattern formation method
TWI399616B (en) Positive resist compositions and patterning process
TWI406095B (en) Patterning process
TW201031678A (en) Positive resist composition and patterning process
TWI417666B (en) Positive resist composition and patterning process
TW200838885A (en) Polymer, resist composition, and patterning process
TW200903162A (en) Positive resist composition and patterning process
TWI379164B (en) Positive resist compositions and patterning process
TW200949448A (en) Positive resist compositions and patterning process
TWI418939B (en) Positive resist composition and patterning process
TW201027255A (en) Positive resist composition and patterning process
TW200821333A (en) Polymerizable ester compounds, polymers, resist compositions and patterning process
TWI452433B (en) Positive resist composition and patterning process
TWI361953B (en) Polymer, resist composition and patterning process
TWI303749B (en) Polymer, resist composition and patterning process