KR101028646B1 - Positive Resist Compositions and Patterning Process - Google Patents

Positive Resist Compositions and Patterning Process Download PDF

Info

Publication number
KR101028646B1
KR101028646B1 KR1020070118960A KR20070118960A KR101028646B1 KR 101028646 B1 KR101028646 B1 KR 101028646B1 KR 1020070118960 A KR1020070118960 A KR 1020070118960A KR 20070118960 A KR20070118960 A KR 20070118960A KR 101028646 B1 KR101028646 B1 KR 101028646B1
Authority
KR
South Korea
Prior art keywords
group
acid
formula
bis
compound
Prior art date
Application number
KR1020070118960A
Other languages
Korean (ko)
Other versions
KR20080046581A (en
Inventor
츠네히로 니시
가쯔야 다께무라
시게오 다나까
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Publication of KR20080046581A publication Critical patent/KR20080046581A/en
Application granted granted Critical
Publication of KR101028646B1 publication Critical patent/KR101028646B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • C07C69/54Acrylic acid esters; Methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F224/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a heterocyclic ring containing oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F232/00Copolymers of cyclic compounds containing no unsaturated aliphatic radicals in a side chain, and having one or more carbon-to-carbon double bonds in a carbocyclic ring system
    • C08F232/08Copolymers of cyclic compounds containing no unsaturated aliphatic radicals in a side chain, and having one or more carbon-to-carbon double bonds in a carbocyclic ring system having condensed rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Abstract

본 발명은 산의 작용에 의해 알칼리 현상액에 가용이 되는 수지 성분(A)와, 활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(B)를 함유하며, 수지 성분(A)가 하기 화학식 1로 표시되는 반복 단위를 갖는 고분자 화합물이고, 산을 발생하는 화합물(B)가 하기 화학식 2로 표시되는 술포늄염 화합물인 포지티브형 레지스트 재료를 제공한다.The present invention comprises a resin component (A) which is soluble in an alkaline developer by the action of an acid, and a compound (B) which generates an acid in response to active light or radiation, wherein the resin component (A) is represented by the following formula (1) The positive resist material which is a high molecular compound which has a repeating unit represented, and a compound (B) which produces an acid is a sulfonium salt compound represented by following formula (2) is provided.

<화학식 1><Formula 1>

Figure 112007083610751-pat00001
Figure 112007083610751-pat00001

(식 중, R1은 H, 메틸기 또는 트리플루오로메틸기이고, R2는 산불안정기이고, R3은 H 또는 CO2R4이고, R4는 1가 탄화수소기이고, X는 O, S, CH2 또는 CH2CH2이고, m은 1 또는 2이고, n은 1 또는 2이고, a, b, c, d는 각각 0.01 이상 1 미만이고, a+b+c+d=1이다.)Wherein R 1 is H, a methyl group or a trifluoromethyl group, R 2 is an acid labile group, R 3 is H or CO 2 R 4 , R 4 is a monovalent hydrocarbon group, X is O, S, CH 2 or CH 2 CH 2 , m is 1 or 2, n is 1 or 2, a, b, c, d are each 0.01 or more and less than 1, and a + b + c + d = 1.)

<화학식 2><Formula 2>

Figure 112007083610751-pat00002
Figure 112007083610751-pat00002

(식 중, R5, R6, R7은 H 또는 1가 탄화수소기이고, R8은 1가 탄화수소기이다.)(In formula, R <5> , R <6> , R <7> is H or monovalent hydrocarbon group, and R <8> is monovalent hydrocarbon group.)

본 발명의 레지스트 재료는 미세 가공 기술, 특히 ArF 리소그래피 기술에 있어서 매우 높은 해상성을 가지고, 라인 엣지 조도가 작은 패턴을 제공할 수 있다.The resist material of the present invention can provide a pattern having a very high resolution and a small line edge roughness in a microfabrication technique, especially an ArF lithography technique.

레지스트 재료, 수지 성분, 산을 발생하는 화합물, 술포늄염 화합물, 해상성 Resist material, resin component, acid-generating compound, sulfonium salt compound, resolution

Description

포지티브형 레지스트 재료 및 패턴 형성 방법 {Positive Resist Compositions and Patterning Process}Positive Resist Compositions and Patterning Process

본 발명은 (1) 미세 가공 기술에 적합하고, 해상성, 소밀 의존성, 마스크 충실성이 우수하고, 라인 엣지 조도가 작은 패턴을 제공할 수 있는 포지티브형 레지스트 재료, 및 (2) 상기 레지스트 재료를 이용한 패턴 형성 방법에 관한 것이다.The present invention provides a positive resist material which is suitable for (1) microfabrication technology, is excellent in resolution, dense dependence, mask fidelity, and has a small line edge roughness, and (2) the resist material. It relates to the pattern formation method used.

최근, LSI의 고집적화와 고속도화에 따라서 패턴 룰의 미세화가 요구되고 있는 가운데, 원자외선 리소그래피 및 진공 자외선 리소그래피를 이용한 미세 가공 기술의 개발이 활발하게 진행되었다. 이미 파장 248 nm의 KrF 엑시머 레이저광을 광원으로 한 포토리소그래피는, 반도체 디바이스의 실생산에 있어서 중심적인 역할을 담당하고 있지만, 한층 더 미세화를 실현하기 위해서 파장 193 nm의 ArF 엑시머 레이저광을 이용하는 검토도 진행되고 있고, 일부 시험 생산에 이용되기에 이르렀다. 그러나, ArF 엑시머 레이저 리소그래피는 기술로서는 미성숙하여, 본격적으로 실생산에 이용되기에는 아직 여러 문제가 남아 있었다.In recent years, finer pattern rule has been required in accordance with the higher integration and higher speed of LSI, and the development of microfabrication technology using far ultraviolet lithography and vacuum ultraviolet lithography has been actively progressed. Photolithography, which already uses KrF excimer laser light with a wavelength of 248 nm as a light source, plays a central role in actual production of semiconductor devices, but studies using ArF excimer laser light with a wavelength of 193 nm to realize further miniaturization. It is also underway and has been used for some trial production. However, ArF excimer laser lithography is immature as a technique, and various problems remain to be used for actual production in earnest.

ArF 엑시머 레이저 리소그래피에 대응한 레지스트 재료에 요구되는 특성은 파장 193 nm에서의 투명성, 및 건식 에칭 내성이고, 이 두가지 모두를 겸비한 것으 로서, 2-에틸-2-아다만틸기, 2-메틸-2-아다만틸기로 대표되는 부피가 큰 산 분해성 보호기를 갖는 폴리(메트)아크릴산 유도체를 기재 수지로 하는 레지스트 재료가 제안되었다(특허 문헌 1: 일본 특허 공개 (평)9-73173호 공보, 특허 문헌 2: 일본 특허 공개 (평)9-90637호 공보). 그 후에도 여러 재료가 제안되었지만, 투명성이 높은 주쇄와 큰 부피를 갖는 3급 알킬기로 보호된 카르복실산 부분을 갖는 수지를 이용한다고 하는 점에서는, 대부분의 경우에서 공통되었다.Properties required for resist materials corresponding to ArF excimer laser lithography are transparency at wavelength 193 nm and dry etching resistance, both of which are 2-ethyl-2-adamantyl group, 2-methyl-2 A resist material has been proposed that uses a poly (meth) acrylic acid derivative having a bulky acid-decomposable protecting group represented by an adamantyl group as a base resin (Patent Document 1: Japanese Patent Application Laid-Open No. 9-73173, Patent Document) 2: Japanese Patent Laid-Open No. 9-90637). Although several materials have been proposed since then, they have been common in most cases in terms of using a resin having a highly transparent main chain and a carboxylic acid moiety protected with a tertiary alkyl group having a large volume.

종래의 재료가 갖는 문제 중 특별히 심각한 것은 미세한 라인 치수의 불균일(라인 엣지 조도)이고, 제조되는 반도체 디바이스의 성능에 큰 영향을 미치기 때문에, 그에 대한 해결이 강하게 요구되었다. 단순히 패턴을 순조롭게 마무리하는 것이라면, 사용되는 수지의 분자량을 낮게 설정하거나, 광산 발생제로부터 발생하는 산을 움직이기 쉬운 것으로 함으로써 어느 정도 목적은 달성할 수 있지만, 그 때에는 노광량 의존성, 소밀 의존성, 마스크 충실성이라는 특성이 극단적으로 악화될 뿐만 아니라, 마스크의 미세한 요동이 확대되기 때문에 라인 치수 자체도 오히려 불균일해지고, 라인 엣지 조도의 감소로는 이어지지 않았다. 패턴 룰의 한층 더 미세화가 요구되는 가운데, 감도, 기판 밀착성, 에칭 내성에 있어서 우수한 성능을 발휘할 뿐 아니라, 해상성의 열화를 수반하지 않는 근본적인 라인 엣지 조도의 개선책이 필요하였다.Particularly serious among the problems with the conventional materials are fine line dimension unevenness (line edge roughness), and since it has a great influence on the performance of the semiconductor device to be manufactured, a solution for this has been strongly demanded. If simply finishing the pattern smoothly, a certain purpose can be achieved by setting the molecular weight of the resin used low or making the acid generated from the photoacid generator easy to move. In addition to the extreme deterioration of the characteristics of the sex, the fine fluctuations of the mask are magnified, and thus the line dimensions themselves become rather uneven and do not lead to a decrease in the line edge roughness. While further refinement of the pattern rule is required, not only excellent performance in sensitivity, substrate adhesion, and etching resistance, but also improvement of fundamental line edge roughness without deterioration of resolution is required.

[특허 문헌 1] 일본 특허 공개 (평)9-73173호 공보[Patent Document 1] Japanese Patent Application Laid-Open No. 9-73173

[특허 문헌 2] 일본 특허 공개 (평)9-90637호 공보[Patent Document 2] Japanese Patent Application Laid-Open No. 9-90637

본 발명은 상기 사정을 감안하여 이루어진 것으로, ArF 엑시머 레이저광 등의 고에너지선을 광원으로 한 포토리소그래피에 있어서, 해상성의 향상과 라인 엣지 조도의 감소를 양립한 포지티브형 레지스트 재료, 및 상기 레지스트 재료를 이용한 패턴 형성 방법을 제공하는 것을 목적으로 한다.SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and in photolithography using a high energy ray such as an ArF excimer laser light as a light source, a positive resist material having both resolution improvement and reduction of line edge roughness, and the resist material An object of the present invention is to provide a pattern forming method using the same.

본 발명자들은 상기 목적을 달성하기 위해서 예의 검토를 거듭한 결과, 어떤 특정 반복 단위에 의해 구성된 고분자 화합물을 기재 수지로 하면서, 어떤 특정 구조를 갖는 술포늄염 화합물을 산 발생제로 하는 포지티브형 레지스트 재료가 매우 높은 해상 성능을 가지면서도 라인 엣지 조도를 감소시킬 수 있고, 정밀한 미세 가공에 매우 유용한 레지스트 재료인 것을 발견하기에 이르렀다.MEANS TO SOLVE THE PROBLEM As a result of earnestly examining in order to achieve the said objective, as a result, the positive resist material which makes the sulfonium salt compound which has a specific structure into an acid generator is made into the base resin using the high molecular compound comprised by the specific repeating unit. It has been found that it can reduce line edge roughness while having high resolution performance and is a very useful resist material for precise micromachining.

즉, 본 발명은 하기 레지스트 재료 및 패턴 형성 방법을 제공한다.That is, the present invention provides the following resist material and pattern forming method.

청구항 1: Claim 1:

산의 작용에 의해 알칼리 현상액에 가용이 되는 수지 성분(A)와, 활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(B)를 함유하며, 수지 성분(A)가 하기 화학식 1로 표시되는 반복 단위를 갖는 고분자 화합물이고, 산을 발생하는 화합물(B)가 하기 화학식 2로 표시되는 술포늄염 화합물인 것을 특징으로 하는 포지티브형 레지스트 재료.A resin component (A) which is soluble in an alkaline developer by the action of an acid, and a compound (B) which generates an acid in response to actinic radiation or radiation, wherein the resin component (A) is represented by the following formula (1) A positive resist material, wherein the compound (B) generating a acid is a sulfonium salt compound represented by the following formula (2).

Figure 112007083610751-pat00003
Figure 112007083610751-pat00003

(식 중, R1은 각각 독립적으로 수소 원자, 메틸기 또는 트리플루오로메틸기를 나타낸다. R2는 산불안정기를 나타낸다. R3은 수소 원자 또는 CO2R4를 나타낸다. R4는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. X는 O, S, CH2 또는 CH2CH2를 나타낸다. m은 1 또는 2이다. n은 1 또는 2이다. a, b, c, d는 각각 각 반복 단위의 존재비를 나타내고, a, b, c, d는 각각 0.01 이상 1 미만이고, a+b+c+d=1이다.)(Wherein R 1 each independently represents a hydrogen atom, a methyl group or a trifluoromethyl group. R 2 represents an acid labile group. R 3 represents a hydrogen atom or CO 2 R 4. R 4 contains a hetero atom. A linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms, X represents O, S, CH 2 or CH 2 CH 2. m is 1 or 2 n is 1 or 2 A, b, c and d each represent the abundance of each repeating unit, and a, b, c and d are each 0.01 or more and less than 1, and a + b + c + d = 1.)

Figure 112007083610751-pat00004
Figure 112007083610751-pat00004

(식 중, R5, R6, R7은 각각 독립적으로 수소 원자, 또는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. R8은 헤테로 원자를 포함할 수도 있는 탄소수 7 내지 30의 직쇄상, 분지 상 또는 환상의 1가 탄화수소기를 나타낸다.)(In formula, R <5> , R <6> , R <7> respectively independently represents a C1-C20 linear, branched or cyclic monovalent hydrocarbon group which may contain a hydrogen atom or a hetero atom. R <8> is hetero C7-C30 linear, branched or cyclic monovalent hydrocarbon group which may contain an atom.)

청구항 2: Claim 2:

제1항에 있어서, 수지 성분(A)의 산불안정기가 하기 화학식 1a 내지 1e로부터 선택되는 1종 또는 2종 이상인 것을 특징으로 하는 포지티브형 레지스트 재료. The positive resist material according to claim 1, wherein the acid labile group of the resin component (A) is one or two or more selected from the following general formulas (1a) to (1e).

Figure 112007083610751-pat00005
Figure 112007083610751-pat00005

Figure 112007083610751-pat00006
Figure 112007083610751-pat00006

Figure 112007083610751-pat00007
Figure 112007083610751-pat00007

Figure 112007083610751-pat00008
Figure 112007083610751-pat00008

Figure 112007083610751-pat00009
Figure 112007083610751-pat00009

(식 중, 파선은 결합수를 나타낸다. R9, R10, R11, R12, R13은 각각 독립적으로 탄소수 1 내지 4의 직쇄상 또는 분지상 알킬기를 나타낸다. o는 1 또는 2이다. p는 1 또는 2이다.)(In formula, a broken line shows a bond number. R <9> , R <10> , R <11> , R <12> and R <13> represent a C1-C4 linear or branched alkyl group each independently. O is 1 or 2. p is 1 or 2.)

청구항 3: [Claim 3]

제1항 또는 제2항에 기재된 레지스트 재료를 기판 상에 도포하는 공정, 가열 처리 후 포토마스크를 통해 고에너지선 또는 전자선으로 노광하는 공정, 및 가열 처리한 후에 현상액을 이용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.The process of apply | coating the resist material of Claim 1 or 2 on a board | substrate, the process of exposing with high energy rays or an electron beam through a photomask after heat processing, and the process of developing using a developing solution after heat processing. Pattern forming method characterized in that.

청구항 4: Claim 4:

제1항 또는 제2항에 기재된 레지스트 재료를 기판 상에 도포하는 공정, 가열 처리 후 포토마스크를 통해 고에너지선 또는 전자선으로 노광하는 공정, 및 가열 처리한 후에 현상액을 이용하여 현상하는 공정을 포함하는 패턴 형성 공정에서, 굴절률 1 이상의 액체를 레지스트 도포막과 투영 렌즈 사이에 개재시켜 액침 노광을 행하는 것을 특징으로 하는 패턴 형성 방법.The process of apply | coating the resist material of Claim 1 or 2 on a board | substrate, the process of exposing with high energy rays or an electron beam through a photomask after heat processing, and the process of developing using a developing solution after heat processing. In the pattern formation process, liquid immersion exposure is performed by interposing the liquid with refractive index 1 or more between a resist coating film and a projection lens.

청구항 5:Claim 5:

제1항 또는 제2항에 기재된 레지스트 재료를 기판 상에 도포하는 공정, 가열 처리 후 포토마스크를 통해 고에너지선 또는 전자선으로 노광하는 공정, 및 가열 처리한 후에 현상액을 이용하여 현상하는 공정을 포함하는 패턴 형성 공정에서, 레지스트 도포막 위에 보호막을 더 도포하고, 굴절률 1 이상의 액체를 상기 보호막과 투영 렌즈 사이에 개재시켜 액침 노광을 행하는 것을 특징으로 하는 패턴 형성 방법.The process of apply | coating the resist material of Claim 1 or 2 on a board | substrate, the process of exposing with high energy rays or an electron beam through a photomask after heat processing, and the process of developing using a developing solution after heat processing. In the pattern formation process, a protective film is further apply | coated on a resist coating film, and the pattern formation method characterized by performing immersion exposure by interposing the liquid with refractive index 1 or more between the said protective film and a projection lens.

본 발명의 레지스트 재료는 미세 가공 기술, 특히 ArF 리소그래피 기술에 있어서 매우 높은 해상성을 가지고, 라인 엣지 조도가 작은 패턴을 제공할 수 있어, 정밀한 미세 가공에 매우 유용하다.The resist material of the present invention has a very high resolution in a microfabrication technique, in particular an ArF lithography technique, and can provide a pattern having a small line edge roughness, which is very useful for precise microfabrication.

이하, 본 발명의 레지스트 재료에 대하여 상술한다. 또한, 이하의 설명 중, 화학식으로 표시되는 구조에 의해서는 비대칭 탄소가 존재하고, 에난티오 이성체(enantiomer)나 디아스테레오 이성체(diastereomer)가 존재할 수 있지만, 그 경우에 하나의 식으로 이들 이성체를 대표하여 나타낸다. 이들 이성체는 단독으로 이용할 수도 있고, 혼합물로서 이용할 수도 있다.Hereinafter, the resist material of this invention is explained in full detail. In addition, in the following description, although the asymmetric carbon exists and the enantiomer or diastereomer may exist by the structure represented by a chemical formula, in such a case, these isomers are represented by one formula. It is shown. These isomers may be used alone or as a mixture.

본 발명의 레지스트 재료는 산의 작용에 의해 알칼리 현상액에 가용이 되는 수지 성분(A)와, 활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(B)를 함유하며, 수지 성분(A)가 하기 화학식 1로 표시되는 반복 단위를 갖는 고분자 화합물이고, 산을 발생하는 화합물(B)가 하기 화학식 2로 표시되는 술포늄염 화합물인 것을 특징으로 하는 포지티브형 레지스트 재료이다.The resist material of the present invention contains a resin component (A) which is soluble in an alkaline developer by the action of an acid, and a compound (B) which generates an acid in response to actinic radiation or radiation, wherein the resin component (A) It is a high molecular compound which has a repeating unit represented by General formula (1), and the compound (B) which produces | generates an acid is a sulfonium salt compound represented by following General formula (2), It is a positive resist material characterized by the above-mentioned.

<화학식 1><Formula 1>

Figure 112007083610751-pat00010
Figure 112007083610751-pat00010

여기서, R1은 각각 독립적으로 수소 원자, 메틸기 또는 트리플루오로메틸기를 나타낸다. R2는 산불안정기를 나타내고, 그의 구체적인 예에 대해서는 후술한다. R3은 수소 원자 또는 CO2R4를 나타낸다. R4는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상 알킬기 등의 1가 탄화수소기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기, 에틸시클로펜틸기, 부틸시클로펜틸기, 에틸시클로헥실기, 부틸시클로헥실기, 아다만틸기, 에틸아다만틸기, 부틸아다만틸기, 및 이들 기의 임의의 탄소-탄소 결합 사이에 -O-, -S-, -SO-, -SO2-, -NH-, -C(=O)-, -C(=O)O-, -C(=O)NH- 등의 헤테로 원자단이 삽입된 기나, 임의의 수소 원자가 -OH, -NH2, -CHO, -CO2H 등의 관능기로 치환된 기를 예시할 수 있다. X는 O, S, CH2 또는 CH2CH2를 나타낸다. m은 1 또는 2이다. n은 1 또는 2이다.Here, each R 1 independently represents a hydrogen atom, a methyl group or a trifluoromethyl group. R 2 represents an acid labile group, and specific examples thereof will be described later. R 3 represents a hydrogen atom or CO 2 R 4 . R 4 represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms which may contain a hetero atom, and specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, ethylcyclopentyl group, butylcyclopentyl group, ethylcyclohexyl group, butylcyclo -O-, -S-, -SO-, -SO 2- , -NH-, between a hexyl group, adamantyl group, ethyl adamantyl group, butyladamantyl group, and any carbon-carbon bond of these groups A group into which a hetero atom group such as -C (= O)-, -C (= O) O-, -C (= O) NH- is inserted, or any hydrogen atom is -OH, -NH 2 , -CHO, -CO 2 there can be mentioned a group substituted by functional groups such as H. X represents O, S, CH 2 or CH 2 CH 2 . m is 1 or 2. n is 1 or 2.

a, b, c, d는 각각 각 반복 단위의 존재비를 나타내고, a, b, c, d는 각각 0.01 이상 1 미만이고, a+b+c+d=1이다. 각 존재비의 바람직한 범위는 이하와 같다. a, b, c and d each represent the abundance of each repeating unit, and a, b, c and d are each 0.01 or more and less than 1, and a + b + c + d = 1. The preferable range of each abundance is as follows.

0.05≤a≤0.6, 보다 바람직하게는 0.1≤a≤0.50.05 ≦ a ≦ 0.6, more preferably 0.1 ≦ a ≦ 0.5

0.01≤b≤0.5, 보다 바람직하게는 0.01≤b≤0.40.01≤b≤0.5, more preferably 0.01≤b≤0.4

0.01≤c≤0.6, 보다 바람직하게는 0.05≤c≤0.50.01≤c≤0.6, more preferably 0.05≤c≤0.5

0.01≤d≤0.6, 보다 바람직하게는 0.05≤d≤0.50.01 ≦ d ≦ 0.6, more preferably 0.05 ≦ d ≦ 0.5

또한, a+b+c+d=1이란, 반복 단위 a, b, c, d를 포함하는 고분자 화합물에 있어서 반복 단위 a, b, c, d의 합계량이 전체 반복 단위의 합계량에 대하여 100 몰%인 것을 나타낸다.In addition, a + b + c + d = 1 means that the total amount of the repeating units a, b, c, d in the polymer compound containing the repeating units a, b, c, d is 100 mol based on the total amount of all the repeating units. It is%.

<화학식 2><Formula 2>

Figure 112007083610751-pat00011
Figure 112007083610751-pat00011

여기서, 식 중 R5, R6, R7은 각각 독립적으로 수소 원자, 또는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타내고, 헤테로 원자를 포함할 수도 있는 탄화수소기로서 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기, 에틸시클로펜틸기, 부틸시클로펜틸기, 에틸시클로헥실기, 부틸시클로헥실기, 아다만틸기, 에틸아다만틸기, 부틸아다만틸기, 및 이들 기의 임의의 탄소-탄소 결합 사이에 -O-, -S-, -SO-, -SO2-, -NH-, -C(=O)-, -C(=O)O-, -C(=O)NH- 등의 헤테로 원자단이 삽입된 기나, 임의의 수소 원자가 -OH, -NH2, -CHO, -CO2H 등의 관능기로 치환된 기를 예시할 수 있다. R8은 헤테로 원자를 포함할 수도 있는 탄소수 7 내지 30의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타내고, 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다. Herein, R 5 , R 6 , and R 7 each independently represent a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms which may include a hetero atom, and include a hetero atom. Specific examples of the hydrocarbon group which may be used are methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, Cyclopentyl group, cyclohexyl group, ethylcyclopentyl group, butylcyclopentyl group, ethylcyclohexyl group, butylcyclohexyl group, adamantyl group, ethyladamantyl group, butyladamantyl group, and any carbon of these groups -O-, -S-, -SO-, -SO 2- , -NH-, -C (= O)-, -C (= O) O-, -C (= O) NH- between carbon bonds group or a hetero-atom group, such as the insert, there can be mentioned groups substituted with any of functional groups such as hydrogen atoms are -OH, -NH 2, -CHO, -CO 2 H. R 8 represents a linear, branched or cyclic monovalent hydrocarbon group having 7 to 30 carbon atoms which may contain a hetero atom, and specific examples thereof may be exemplified, but the present invention is not limited thereto.

Figure 112007083610751-pat00012
Figure 112007083610751-pat00012

(식 중, 파선은 결합수를 나타낸다.) (In the formula, the broken line represents the number of bonds.)

수지 성분(A)에 있어서의 R2의 산불안정기는 바람직하게는 하기 화학식 1a 내지 1e로부터 선택되는 1종 또는 2종 이상이다.The acid labile group of R 2 in the resin component (A) is preferably one or two or more selected from the following general formulas (1a) to (1e).

<화학식 1a><Formula 1a>

Figure 112007083610751-pat00013
Figure 112007083610751-pat00013

<화학식 1b><Formula 1b>

Figure 112007083610751-pat00014
Figure 112007083610751-pat00014

<화학식 1c><Formula 1c>

Figure 112007083610751-pat00015
Figure 112007083610751-pat00015

<화학식 1d><Formula 1d>

Figure 112007083610751-pat00016
Figure 112007083610751-pat00016

<화학식 1e><Formula 1e>

Figure 112007083610751-pat00017
Figure 112007083610751-pat00017

여기서, 파선은 결합수를 나타낸다. R9, R10, R11, R12, R13은 각각 독립적으로 탄소수 1 내지 4의 직쇄상 또는 분지상 알킬기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기 등을 예시할 수 있다. o는 1 또는 2이다. p는 1 또는 2이다.Here, the broken line indicates the number of bonds. R 9 , R 10 , R 11 , R 12 , and R 13 each independently represent a linear or branched alkyl group having 1 to 4 carbon atoms, and specifically, methyl, ethyl, propyl, isopropyl, and n-butyl groups. , sec-butyl group, tert-butyl group and the like can be exemplified. o is 1 or 2. p is 1 or 2.

R2의 산불안정기로서, 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as an acid labile group of R <2> , It is not limited to this.

Figure 112007083610751-pat00018
Figure 112007083610751-pat00018

상기 화학식 1 중, 존재비 c 및 d로 도입되는 단위는 알칼리 현상액 친화성이 높고, 현상액에 온화하게 용해되기 때문에, 팽윤층 발생을 억제하고, 라인 엣지 조도를 감소시킬 수 있다. 그러나, 이들 단위는 산 확산 억제능이 낮고, 노광후 가열 처리(노광후 베이킹, PEB)시에 산을 확산시키기 때문에, 노광량 의존성, 소밀 의존성, 마스크 충실성이라는 성능을 악화시킨다는 결점을 갖는다. 본 발명자들은 이러한 문제를 해결하기 위해 예의 연구한 결과, 부피가 큰 부분 구조나 관능기를 도입한 1,1,3,3,3-펜타플루오로프로판술폰산 유도체가 매우 확산성이 낮은 산인 것, 이들을 발생 산으로 하는 상기 화학식 2로 표시되는 술포늄염 화합물이 산 발생제로서 매우 유용한 것, 및 이러한 술포늄염 화합물과 상기 화학식 1로 표시되는 반복 단위를 갖는 고분자 화합물을 조합함으로써 라인 엣지 조도와 해상 성능이 모두 우수한 레지스트 재료가 얻어지는 것을 발견하고, 본 발명을 완성하기에 이르렀다.In the above formula (1), the units introduced in the abundance ratios c and d have high alkali developer affinity and are mildly dissolved in the developer, so that swelling layer generation can be suppressed and line edge roughness can be reduced. However, these units have a disadvantage of low acid diffusion suppressing ability and deteriorating the performance of exposure dose dependence, roughness dependence, and mask fidelity since they diffuse acid during post-exposure heat treatment (post-exposure bake, PEB). The present inventors have diligently studied to solve these problems, and as a result, the 1,1,3,3,3-pentafluoropropanesulfonic acid derivative having a bulky partial structure or a functional group is an acid having a very low diffusivity. The sulfonium salt compound represented by the formula (2) as a generating acid is very useful as an acid generator, and the line edge roughness and the resolution performance can be improved by combining the sulfonium salt compound with a polymer compound having a repeating unit represented by the formula (1). In all, it was found that an excellent resist material was obtained, and the present invention was completed.

수지 성분(A)의 바람직한 구성으로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following are specifically mentioned as a preferable structure of a resin component (A), It is not limited to this.

Figure 112007083610751-pat00019
Figure 112007083610751-pat00019

Figure 112007083610751-pat00020
Figure 112007083610751-pat00020

Figure 112007083610751-pat00021
Figure 112007083610751-pat00021

본 발명에 따른 수지 성분(A)의 중량 평균 분자량은 겔 투과 크로마토그래피(GPC)에 의한 폴리스티렌 환산값으로 1,000 내지 50,000, 특히 2,000 내지 30,000인 것이 바람직하다.It is preferable that the weight average molecular weight of the resin component (A) which concerns on this invention is 1,000-50,000, especially 2,000-30,000 in polystyrene conversion value by gel permeation chromatography (GPC).

또한, 상기 수지 성분(A)는 각 반복 단위에 대응하는 (메트)아크릴산에스테르 유도체 단량체를 라디칼 중합법 등의 공지된 방법에 따라서 공중합시킴으로써 얻을 수 있고, 후술하는 실시예의 고분자 화합물은 모두 필요한 (메트)아크릴산에스테르 유도체 단량체를 라디칼 중합의 통상법에 따라서 중합하여 합성한 것이다.In addition, the said resin component (A) can be obtained by copolymerizing the (meth) acrylic acid ester derivative monomer corresponding to each repeating unit according to well-known methods, such as a radical polymerization method, and all the high molecular compounds of the Example mentioned later are required (meth ) Acrylic acid ester derivative monomer is superposed | polymerized and synthesize | combined according to the conventional method of radical polymerization.

활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(B)의 바람직한 구성으로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아 니다.Although the following can be illustrated specifically as a preferable structure of the compound (B) which generate | occur | produces an acid in response to actinic light or a radiation, It is not limited to this.

Figure 112007083610751-pat00022
Figure 112007083610751-pat00022

Figure 112007083610751-pat00023
Figure 112007083610751-pat00023

본 발명의 레지스트 재료에는, 상기 화학식 1로 표시되는 고분자 화합물로 이루어지는 수지 성분(A)에 부가적으로 다른 수지 성분을 첨가할 수도 있다.Another resin component may be added to the resist material of this invention in addition to the resin component (A) which consists of a high molecular compound represented by the said General formula (1).

여기서 수지 성분(A)와는 다른 수지 성분으로서는, 하기 화학식(R1) 및/또는 하기 화학식(R2)로 표시되는 중량 평균 분자량 1,000 내지 100,000, 바람직하게는 3,000 내지 30,000의 고분자 화합물을 들 수 있지만, 이것으로 한정되는 것은 아니다. 또한, 상기 중량 평균 분자량은 겔 투과 크로마토그래피(GPC)에 의한 폴리스티렌 환산값을 나타낸다.As a resin component different from a resin component (A) here, although the weight average molecular weight 1,000-100,000, Preferably 3,000-30,000 high molecular compound represented by following General formula (R1) and / or following General formula (R2) is mentioned, It is not limited to. In addition, the said weight average molecular weight shows the polystyrene conversion value by gel permeation chromatography (GPC).

Figure 112007083610751-pat00024
Figure 112007083610751-pat00024

여기서, R001은 수소 원자, 메틸기 또는 CH2CO2R003을 나타낸다. Here, R 001 represents a hydrogen atom, a methyl group or CH 2 CO 2 R 003 .

R002는 수소 원자, 메틸기 또는 CO2R003을 나타낸다.R 002 represents a hydrogen atom, a methyl group or CO 2 R 003 .

R003은 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기, 에틸시클로펜틸기, 부틸시클로펜틸기, 에틸시클로헥실기, 부틸시클로헥실기, 아다만틸기, 에틸아다만틸기, 부틸아다만틸기 등을 예시할 수 있다.R 003 represents a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert- Amyl, n-pentyl, n-hexyl, cyclopentyl, cyclohexyl, ethylcyclopentyl, butylcyclopentyl, ethylcyclohexyl, butylcyclohexyl, adamantyl, ethyladamantyl, A butyl adamantyl group etc. can be illustrated.

R004는 수소 원자, 또는 탄소수 1 내지 15의 불소 함유 치환기, 카르복시기, 수산기로부터 선택되는 1종 이상의 기를 함유하는 1가의 탄화수소기를 나타내고, 구체적으로는 수소 원자, 카르복시에틸, 카르복시부틸, 카르복시시클로펜틸, 카르복시시클로헥실, 카르복시노르보르닐, 카르복시아다만틸, 히드록시에틸, 히드록시부틸, 히드록시시클로펜틸, 히드록시시클로헥실, 히드록시노르보르닐, 히드록시아다만틸, [2,2,2-트리플루오로-1-히드록시-1-(트리플루오로메틸)에틸]시클로헥실, 비스[2,2,2-트리플루오로-1-히드록시-1-(트리플루오로메틸)에틸]시클로헥실 등을 예시할 수 있다. R 004 represents a monovalent hydrocarbon group containing a hydrogen atom or at least one group selected from a fluorine-containing substituent having 1 to 15 carbon atoms, a carboxyl group and a hydroxyl group, and specifically, a hydrogen atom, carboxyethyl, carboxybutyl, carboxycyclopentyl, Carboxycyclohexyl, Carboxynorbornyl, Carboxyadamantyl, Hydroxyethyl, Hydroxybutyl, Hydroxycyclopentyl, Hydroxycyclohexyl, Hydroxynorbornyl, Hydroxyadamantyl, [2,2,2 -Trifluoro-1-hydroxy-1- (trifluoromethyl) ethyl] cyclohexyl, bis [2,2,2-trifluoro-1-hydroxy-1- (trifluoromethyl) ethyl] Cyclohexyl etc. can be illustrated.

R005 내지 R008 중 1개 이상은 카르복시기, 또는 탄소수 1 내지 15의 불소 함유 치환기, 카르복시기, 수산기로부터 선택되는 1종 이상의 기를 함유하는 1가의 탄화수소기를 나타내고, 나머지는 각각 독립적으로 수소 원자, 또는 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기를 나타낸다. 탄소수 1 내지 15의 불소 함유 치환기, 카르복시기, 수산기로부터 선택되는 1종 이상의 기를 함유하는 1가의 탄화수소기로서는, 구체적으로는 카르복시메틸, 카르복시에틸, 카르복시부틸, 히드록시메틸, 히드록시에틸, 히드록시부틸, 2-카르복시에톡시카르보닐, 4-카르복시부톡시카르보닐, 2-히드록시에톡시카르보닐, 4-히드록시부톡시카르보닐, 카르복시시클로펜틸옥시카르보닐, 카르복시시클로헥실옥시카르보닐, 카르복시노르보르닐옥시카르보닐, 카르복시아다만틸옥시카르보닐, 히드록시시클로펜틸옥시카르보닐, 히드록시시클로헥실옥시카르보닐, 히드록시노르보르닐옥시카르보닐, 히드록시아다만틸 옥시카르보닐, [2,2,2-트리플루오로-1-히드록시-1-(트리플루오로메틸)에틸]시클로헥실옥시카르보닐, 비스[2,2,2-트리플루오로-1-히드록시-1-(트리플루오로메틸)에틸]시클로헥실옥시카르보닐 등을 예시할 수 있다.At least one of R 005 to R 008 represents a carboxyl group or a monovalent hydrocarbon group containing at least one group selected from a fluorine-containing substituent having 1 to 15 carbon atoms, a carboxyl group and a hydroxyl group, and the remaining ones each independently represent a hydrogen atom or carbon number 1 to 15 linear, branched or cyclic alkyl groups are represented. As a monovalent hydrocarbon group containing 1 or more types chosen from a C1-C15 fluorine-containing substituent, a carboxyl group, and a hydroxyl group, Specifically, carboxymethyl, carboxyethyl, carboxybutyl, hydroxymethyl, hydroxyethyl, hydroxybutyl 2-carboxyethoxycarbonyl, 4-carboxybutoxycarbonyl, 2-hydroxyethoxycarbonyl, 4-hydroxybutoxycarbonyl, carboxycyclopentyloxycarbonyl, carboxycyclohexyloxycarbonyl, Carboxynorbornyloxycarbonyl, carboxyadamantyloxycarbonyl, hydroxycyclopentyloxycarbonyl, hydroxycyclohexyloxycarbonyl, hydroxynorbornyloxycarbonyl, hydroxyadamantyl oxycarbonyl , [2,2,2-trifluoro-1-hydroxy-1- (trifluoromethyl) ethyl] cyclohexyloxycarbonyl, bis [2,2,2-trifluoro-1-hydroxy -1- (Triflu Romero naphthyl) ethyl] and the like can be given cyclohexyloxy Brassica Viterbo carbonyl.

탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기로서는, 구체적으로는 R003에서 예시된 것과 동일한 것을 예시할 수 있다.As a C1-C15 linear, branched or cyclic alkyl group, the thing similar to what was illustrated by R <003> can be illustrated specifically ,.

R005 내지 R008(이들 중 2종, 예를 들면 R005와 R006, R006과 R007, R007과 R008 등)은 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 그 경우에는 R005 내지 R008 중 1개 이상은 탄소수 1 내지 15의 불소 함유 치환기, 카르복시기, 수산기로부터 선택되는 1종 이상의 기를 함유하는 2가의 탄화수소기를 나타내고, 나머지는 각각 독립적으로 단결합, 수소 원자 또는 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기를 나타낸다. 탄소수 1 내지 15의 불소 함유 치환기, 카르복시기, 수산기로부터 선택되는 1종 이상의 기를 함유하는 2가의 탄화수소기로서는 구체적으로는 상기 불소 함유 치환기, 카르복시기, 수산기로부터 선택되는 1종 이상의 기를 함유하는 1가의 탄화수소기로 예시된 것으로부터 수소 원자를 1개 제거한 것 등을 예시할 수 있다. 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기로서는 구체적으로는 R003에서 예시된 것을 들 수 있다. R 005 to R 008 (two of these, for example R 005 and R 006 , R 006 and R 007 , R 007 and R 008, etc.) may combine with each other to form a ring with the carbon atoms to which they are bonded In this case, at least one of R 005 to R 008 represents a divalent hydrocarbon group containing at least one group selected from a fluorine-containing substituent having 1 to 15 carbon atoms, a carboxyl group and a hydroxyl group, and the rest are each independently a single bond or hydrogen. A straight or branched or cyclic alkyl group having 1 to 15 carbon atoms is represented. As a bivalent hydrocarbon group containing 1 or more types chosen from a C1-C15 fluorine-containing substituent, a carboxyl group, and a hydroxyl group, Specifically, the monovalent hydrocarbon group containing 1 or more types chosen from the said fluorine-containing substituent, a carboxyl group, and a hydroxyl group is mentioned. The thing etc. which removed one hydrogen atom from what was illustrated can be illustrated. Specific examples of the linear, branched or cyclic alkyl group having 1 to 15 carbon atoms include those exemplified for R 003 .

R009는 탄소수 3 내지 15의 -CO2- 부분 구조를 함유하는 1가의 탄화수소기를 나타내고, 구체적으로는 2-옥소옥솔란-3-일, 4,4-디메틸-2-옥소옥솔란-3-일, 4-메 틸-2-옥소옥산-4-일, 2-옥소-1,3-디옥솔란-4-일메틸, 5-메틸-2-옥소옥솔란-5-일 등을 예시할 수 있다.R 009 represents a monovalent hydrocarbon group containing a C3-C15 -CO 2 -partial structure, specifically 2-oxooxolan-3-yl, 4,4-dimethyl-2-oxooxolane-3- Il, 4-methyl-2-oxooxan-4-yl, 2-oxo-1,3-dioxolan-4-ylmethyl, 5-methyl-2-oxooxolan-5-yl, etc. can be illustrated. have.

R010 내지 R013 중 1개 이상은 탄소수 2 내지 15의 -CO2- 부분 구조를 함유하는 1가의 탄화수소기를 나타내고, 나머지는 각각 독립적으로 수소 원자 또는 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기를 나타낸다. 탄소수 2 내지 15의 -CO2- 부분 구조를 함유하는 1가의 탄화수소기로서는, 구체적으로는 2-옥소옥솔란-3-일옥시카르보닐, 4,4-디메틸-2-옥소옥솔란-3-일옥시카르보닐, 4-메틸-2-옥소옥산-4-일옥시카르보닐, 2-옥소-1,3-디옥솔란-4-일메틸옥시카르보닐, 5-메틸-2-옥소옥솔란-5-일옥시카르보닐 등을 예시할 수 있다. 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기로서는, 구체적으로는 R003에서 예시된 것과 동일한 것을 예시할 수 있다.At least one of R 010 to R 013 represents a monovalent hydrocarbon group containing a -CO 2 -substructure having 2 to 15 carbon atoms, and the others are each independently a hydrogen atom or a straight, branched or cyclic ring having 1 to 15 carbon atoms An alkyl group is shown. 2 to 15 carbon atoms of -CO 2 - Examples of the monovalent hydrocarbon group containing a partial structure, specifically, 2-oxo-3-yloxy oxide solran Brassica Viterbo carbonyl, 4,4-dimethyl-2-oxo-3-oxide solran Iloxycarbonyl, 4-methyl-2-oxooxan-4-yloxycarbonyl, 2-oxo-1,3-dioxolan-4-ylmethyloxycarbonyl, 5-methyl-2-oxooxolane- 5-yloxycarbonyl etc. can be illustrated. As a C1-C15 linear, branched or cyclic alkyl group, the thing similar to what was illustrated by R <003> can be illustrated specifically ,.

R010 내지 R013(이들 중 2종, 예를 들면 R010과 R011, R011과 R012, R012와 R013 등)은 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고, 그 경우에는 R010 내지 R013 중 1개 이상은 탄소수 1 내지 15의 -CO2- 부분 구조를 함유하는 2가의 탄화수소기를 나타내고, 나머지는 각각 독립적으로 단결합, 수소 원자 또는 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기를 나타낸다. 탄소수 1 내지 15의 -CO2- 부분 구조를 함유하는 2가의 탄화수소기로서는, 구체적으로는 1-옥 소-2-옥사프로판-1,3-디일, 1,3-디옥소-2-옥사프로판-1,3-디일, 1-옥소-2-옥사부탄-1,4-디일, 1,3-디옥소-2-옥사부탄-1,4-디일 등 외, 상기 -CO2- 부분 구조를 함유하는 1가의 탄화수소기로 예시된 것으로부터 수소 원자를 1개 제거한 것 등을 예시할 수 있다. 탄소수 1 내지 15의 직쇄상, 분지상 또는 환상 알킬기로서는, 구체적으로는 R003에서 예시된 것을 들 수 있다.R 010 to R 013 (two of these, for example R 010 and R 011 , R 011 and R 012 , R 012 and R 013, etc.) may combine with each other to form a ring with the carbon atoms to which they are bonded In that case, at least one of R 010 to R 013 represents a divalent hydrocarbon group containing a C 1 -C 15 -CO 2 -substructure, and the rest are each independently a single bond, a hydrogen atom or a C 1 -C 15 group. Linear, branched or cyclic alkyl groups. 1 to 15 carbon atoms of -CO 2 - Examples of the divalent hydrocarbon group containing a partial structure, specifically, 1-oxide-2-oxa-1,3-small-diyl, 1,3-dioxo-2-oxa-propane -1,3-diyl, 1-oxo-2-oxabutane-1,4-diyl, 1,3-dioxo-2-oxabutane-1,4-diyl and the like, the -CO 2 -partial structure The thing etc. which removed one hydrogen atom from what was illustrated by the containing monovalent hydrocarbon group can be illustrated. Specific examples of the linear, branched or cyclic alkyl group having 1 to 15 carbon atoms include those exemplified for R 003 .

R014는 탄소수 7 내지 15의 다환식 탄화수소기 또는 다환식 탄화수소기를 함유하는 알킬기를 나타내고, 구체적으로는 노르보르닐, 비시클로[3.3.1]노닐, 트리시클로[5.2.1.02,6]데실, 아다만틸, 노르보르닐메틸, 아다만틸메틸 및 이들의 알킬 또는 시클로알킬 치환체 등을 예시할 수 있다.R 014 represents a polycyclic hydrocarbon group having 7 to 15 carbon atoms or an alkyl group containing a polycyclic hydrocarbon group, and specifically, norbornyl, bicyclo [3.3.1] nonyl, tricyclo [5.2.1.0 2,6 ] decyl , Adamantyl, norbornylmethyl, adamantylmethyl and alkyl or cycloalkyl substituents thereof and the like can be exemplified.

R015는 산불안정기를 나타내고, 구체적인 예에 대해서는 후술한다. R 015 represents an acid labile group, and specific examples thereof will be described later.

R016은 수소 원자 또는 메틸기를 나타낸다. R 016 represents a hydrogen atom or a methyl group.

R017은 탄소수 1 내지 8의 직쇄상, 분지상 또는 환상 알킬기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기 등을 예시할 수 있다.R 017 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert- Amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, etc. can be illustrated.

X는 CH2 또는 산소 원자를 나타낸다. X represents CH 2 or an oxygen atom.

k는 0 또는 1이다.k is 0 or 1;

R015의 산불안정기로서는 여러 가지를 사용할 수 있지만, 후술하는 광산 발생제로부터 발생하는 산에 의해서 탈보호되는 기이고, 종래부터 레지스트 재료, 특히 화학 증폭 레지스트 재료에 있어서 사용되는 공지된 어느 산불안정기일 수도 있지만, 구체적으로는 하기 화학식(L1) 내지 (L4)로 표시되는 기, 탄소수 4 내지 20, 바람직하게는 4 내지 15의 3급 알킬기, 각 알킬기가 각각 탄소수 1 내지 6의 트리알킬실릴기, 탄소수 4 내지 20의 옥소알킬기 등을 들 수 있다. Various acid labile groups of R 015 may be used, but any of the known acid labile groups conventionally used in resist materials, particularly chemically amplified resist materials, are groups that are deprotected by an acid generated from a photoacid generator described below. Although specifically, the group represented by the following formulas (L1) to (L4), a C4-C20, preferably a C3-C15 tertiary alkyl group, each alkyl group each has a C1-C6 trialkylsilyl group, And an oxoalkyl group having 4 to 20 carbon atoms.

Figure 112007083610751-pat00025
Figure 112007083610751-pat00025

여기서, 파선은 결합수를 나타낸다. 화학식(L1)에 있어서 RL01, RL02는 수소 원자 또는 탄소수 1 내지 18, 바람직하게는 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기를 나타내고, 구체적으로는 수소 원자, 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, 시클로펜틸기, 시클로헥실기, 2-에틸헥실기, n-옥틸기, 아다만틸기 등을 예시할 수 있다. RL03은 탄소수 1 내지 18, 바람직하게는 탄소수 1 내지 10의 산소 원자 등의 헤테로 원자를 가질 수도 있는 1가의 탄화수소기를 나타내고, 직쇄상, 분지상 또는 환상 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 옥소기, 아미노기, 알킬아미노기 등으로 치환된 것을 들 수 있고, 구체적으로는 직쇄상, 분지상 또는 환상 알킬기로서는 상기 RL01, RL02와 동일한 것을 예시할 수 있고, 치환 알킬기로서는 하기의 기 등을 예시할 수 있다.Here, the broken line indicates the number of bonds. In formula (L1), R L01 and R L02 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, specifically, a hydrogen atom, a methyl group, an ethyl group, or a propyl group , Isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, n-octyl group, adamantyl group, etc. can be illustrated. R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and a linear, branched or cyclic alkyl group, and a part of these hydrogen atoms is hydroxyl, alkoxy The thing substituted by group, an oxo group, an amino group, an alkylamino group, etc. can be mentioned, Specifically, the same thing as said RL01 , RL02 can be illustrated as a linear, branched, or cyclic alkyl group, As a substituted alkyl group, Etc. can be illustrated.

Figure 112007083610751-pat00026
Figure 112007083610751-pat00026

RL01과 RL02, RL01과 RL03, RL02와 RL03은 서로 결합하여 이들이 결합하는 탄소 원자나 산소 원자와 함께 환을 형성할 수도 있고, 환을 형성하는 경우에는 RL01, RL02, RL03은 각각 탄소수 1 내지 18, 바람직하게는 탄소수 1 내지 10의 직쇄상 또는 분지상 알킬렌기를 나타낸다.R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with a carbon atom or an oxygen atom to which they are bonded, and in the case of forming a ring, R L01 , R L02 , R L03 represents a straight or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, respectively.

화학식(L2)에 있어서 RL04는 탄소수 4 내지 20, 바람직하게는 탄소수 4 내지 15의 3급 알킬기, 각 알킬기가 각각 탄소수 1 내지 6의 트리알킬실릴기, 탄소수 4 내지 20의 옥소알킬기 또는 상기 화학식(L1)로 표시되는 기를 나타내고, 3급 알킬기로서는 구체적으로는 tert-부틸기, tert-아밀기, 1,1-디에틸프로필기, 2-시클로펜틸프로판-2-일기, 2-시클로헥실프로판-2-일기, 2-(비시클로[2.2.1]헵탄-2-일)프로판-2-일기, 2-(아다만탄-1-일)프로판-2-일기, 2-(트리시클로[5.2.1.02,6]데칸-8-일)프로판-2-일기, 2-(테트라시클로[4.4.0.12,5.17,10]도데칸-3-일)프로판-2-일기, 1-에틸시클로펜틸기, 1-부틸시클로펜틸기, 1-에틸시클로헥실기, 1-부틸시클로헥실기, 1-에틸-2-시클로펜테닐기, 1-에틸-2-시클로헥세닐기, 2-메틸-2-아다만틸기, 2-에틸-2-아다만틸기, 8-메틸-8-트리시클로[5.2.1.02,6]데실, 8-에틸-8-트리시클로[5.2.1.02,6]데실, 3-메틸-3-테트라시클로[4.4.0.12,5.17,10]도데실, 3-에틸-3-테트라시클로[4.4.0.12,5.17,10]도데실 등을 예시할 수 있고, 트리알킬실릴기로서는 구체적으로는 트리메틸실릴기, 트리에틸실릴기, 디메틸-tert-부틸실릴기 등을 예시할 수 있고, 옥소알킬기로서는 구체적으로는 3-옥소시클로헥실기, 4-메틸-2-옥소옥산-4-일기, 5-메틸-2-옥소옥솔란-5-일기 등을 예시할 수 있다. y는 0 내지 6의 정수이다.In formula (L2), R L04 is a C4-C20, preferably a C4- C15 tertiary alkyl group, each alkyl group has a C1-C6 trialkylsilyl group, a C4-C20 oxoalkyl group or the above formula The group represented by (L1) is specifically represented as tert-butyl group, tert-amyl group, 1,1-diethylpropyl group, 2-cyclopentylpropan-2-yl group, 2-cyclohexyl propane -2-yl group, 2- (bicyclo [2.2.1] heptan-2-yl) propan-2-yl group, 2- (adamantan-1-yl) propan-2-yl group, 2- (tricyclo [ 5.2.1.0 2,6 ] decane-8-yl) propan-2-yl group, 2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodecane-3-yl) propan-2-yl group, 1-ethylcyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group, 1-ethyl-2-cyclohexenyl group, 2 -Methyl-2-adamantyl group, 2-ethyl-2-adamantyl group, 8-methyl-8-tricyclo [5.2.1.0 2,6 ] decyl, 8- Ethyl-8-tricyclo [5.2.1.0 2,6 ] decyl, 3-methyl-3-tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodecyl, 3-ethyl-3-tetracyclo [4.4 .0.1 2,5 .1 7,10 ] dodecyl and the like, and specific examples of the trialkylsilyl group include trimethylsilyl group, triethylsilyl group, dimethyl-tert-butylsilyl group and the like. Specific examples of the oxoalkyl group include 3-oxocyclohexyl group, 4-methyl-2-oxooxan-4-yl group, 5-methyl-2-oxooxolane-5-yl group and the like. y is an integer of 0-6.

화학식(L3)에 있어서 RL05는 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 치환될 수도 있는 알킬기로서는 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기, 비시클로[2.2.1]헵틸기 등의 직쇄상, 분지상 또는 환상 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 카르복시기, 알콕시카르보닐기, 옥소기, 아미노기, 알킬아미노기, 시아노기, 머캅토기, 알킬티오기, 술포기 등으로 치환된 것, 또는 이들 메틸렌기의 일부가 산소 원자 또는 황 원자로 치환된 것 등을 예시할 수 있고, 치환될 수도 있는 아릴기로서는 구체적으로는 페닐기, 메틸페닐기, 나프틸기, 안트릴기, 페난트릴기, 피레닐기 등을 예시할 수 있 다. m은 0 또는 1이고, n은 0, 1, 2, 3 중 어느 것이고, 2m+n=2 또는 3을 만족시키는 수이다.In formula (L3), R L05 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically, an alkyl group which may be substituted Methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, b Linear, branched or cyclic alkyl groups such as cyclo [2.2.1] heptyl group, and some of these hydrogen atoms are hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkyl A thio group, a sulfo group, or the like, or a part of these methylene groups are substituted with an oxygen atom or a sulfur atom, and the like. Examples of the aryl group which may be substituted include a phenyl group, a methylphenyl group and a naphthyl group. , Antril , It may be mentioned, such as phenanthryl group, a pyrenyl group. m is 0 or 1, n is any of 0, 1, 2, 3, and is a number which satisfy | fills 2m + n = 2 or 3.

화학식(L4)에 있어서 RL06은 탄소수 1 내지 10의 치환될 수도 있는 직쇄상, 분지상 또는 환상 알킬기, 또는 탄소수 6 내지 20의 치환될 수도 있는 아릴기를 나타내고, 구체적으로는 RL05와 동일한 것 등을 예시할 수 있다. RL07 내지 RL16은 각각 독립적으로 수소 원자 또는 탄소수 1 내지 15의 1가의 탄화수소기를 나타내고, 구체적으로는 수소 원자, 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, n-옥틸기, n-노닐기, n-데실기, 시클로펜틸기, 시클로헥실기, 시클로펜틸메틸기, 시클로펜틸에틸기, 시클로펜틸부틸기, 시클로헥실메틸기, 시클로헥실에틸기, 시클로헥실부틸기 등의 직쇄상, 분지상 또는 환상 알킬기, 이들 수소 원자의 일부가 수산기, 알콕시기, 카르복시기, 알콕시카르보닐기, 옥소기, 아미노기, 알킬아미노기, 시아노기, 머캅토기, 알킬티오기, 술포기 등으로 치환된 것 등을 예시할 수 있다. RL07 내지 RL16은 이들 중 2종이 서로 결합하여 이들이 결합하는 탄소 원자와 함께 환을 형성할 수도 있고(예를 들면 RL07과 RL08, RL07과 RL09, RL08과 RL10, RL09와 RL10, RL11과 RL12, RL13과 RL14 등), 그 경우에는 탄소수 1 내지 15의 2가 탄화수소기를 나타내고, 구체적으로는 상기 1가 탄화수소기로 예시된 것으로부터 수소 원자를 1개 제거한 것 등을 예시할 수 있다. 또한, RL07 내지 RL16은 인접하는 탄소에 결합하는 것끼리 아무 것도 개재 하지 않고 결합하고, 이중 결합을 형성할 수도 있다(예를 들면 RL07과 RL09, RL09와 RL15, RL13과 RL15 등).In formula (L4), R L06 represents a linear, branched or cyclic alkyl group which may be substituted with 1 to 10 carbon atoms, or an aryl group which may be substituted with 6 to 20 carbon atoms, and specifically the same as R L05 . Can be illustrated. R L07 to R L16 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, and specifically, a hydrogen atom, a methyl group, an ethyl group, a propyl group, an isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopentyl group, cyclohexyl group, cyclopentylmethyl group, cyclopentylethyl group Linear, branched or cyclic alkyl groups such as cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group and cyclohexylbutyl group, and some of these hydrogen atoms are hydroxyl group, alkoxy group, carboxyl group, alkoxycarbonyl group, oxo group, amino group, And the like substituted with an alkylamino group, cyano group, mercapto group, alkylthio group, sulfo group and the like. R L07 to R L16 may combine with each other to form a ring together with the carbon atom to which they are bonded (for example, R L07 and R L08 , R L07 and R L09 , R L08 and R L10 , R L09 And R L10 , R L11 and R L12 , R L13 and R L14 , and the like, in which case a divalent hydrocarbon group having 1 to 15 carbon atoms is shown, and specifically, one hydrogen atom is removed from the exemplified above monovalent hydrocarbon group. Thing etc. can be illustrated. In addition, R L07 to R L16 may be bonded to adjacent carbons without any intervening ones to form a double bond (for example, R L07 and R L09 , R L09 and R L15 and R L13 and R L15 Etc).

상기 화학식(L1)로 표시되는 산불안정기 중 직쇄상 또는 분지상의 것으로서는, 구체적으로는 하기의 기를 예시할 수 있다.Specific examples of the linear or branched group among the acid labile groups represented by the general formula (L1) include the following groups.

Figure 112007083610751-pat00027
Figure 112007083610751-pat00027

상기 화학식(L1)로 표시되는 산불안정기 중 환상의 것으로서는, 구체적으로는 테트라히드로푸란-2-일기, 2-메틸테트라히드로푸란-2-일기, 테트라히드로피란-2-일기, 2-메틸테트라히드로피란-2-일기 등을 예시할 수 있다.As an cyclic thing among the acid labile groups represented by the said general formula (L1), specifically, the tetrahydrofuran-2-yl group, 2-methyl tetrahydrofuran-2-yl group, the tetrahydropyran-2-yl group, and 2-methyl tetra Hydropyran-2-yl group etc. can be illustrated.

상기 화학식(L2)의 산불안정기로서는, 구체적으로는 tert-부톡시카르보닐기, tert-부톡시카르보닐메틸기, tert-아밀옥시카르보닐기, tert-아밀옥시카르보닐메틸기, 1,1-디에틸프로필옥시카르보닐기, 1,1-디에틸프로필옥시카르보닐메틸기, 1-에틸시클로펜틸옥시카르보닐기, 1-에틸시클로펜틸옥시카르보닐메틸기, 1-에틸-2-시클로펜테닐옥시카르보닐기, 1-에틸-2-시클로펜테닐옥시카르보닐메틸기, 1-에톡시에톡시카르보닐메틸기, 2-테트라히드로피라닐옥시카르보닐메틸기, 2-테트라히드로푸라닐옥시카르보닐메틸기 등을 예시할 수 있다.Specific examples of the acid labile group of the general formula (L2) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, and 1,1-diethylpropyloxycarbonyl group , 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl-2-cyclo A pentenyloxycarbonylmethyl group, a 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group, etc. can be illustrated.

상기 화학식(L3)의 산불안정기로서는, 구체적으로는 1-메틸시클로펜틸, 1-에틸시클로펜틸, 1-n-프로필시클로펜틸, 1-이소프로필시클로펜틸, 1-n-부틸시클로펜틸, 1-sec-부틸시클로펜틸, 1-시클로헥실시클로펜틸, 1-(4-메톡시부틸)시클로펜틸, 1-(비시클로[2.2.1]헵탄-2-일)시클로펜틸, 1-(7-옥사비시클로[2.2.1]헵탄-2-일)시클로펜틸, 1-메틸시클로헥실, 1-에틸시클로헥실, 1-메틸-2-시클로펜테닐, 1-에틸-2-시클로펜테닐, 1-메틸-2-시클로헥세닐, 1-에틸-2-시클로헥세닐 등을 예시할 수 있다.Specific examples of the acid labile group of formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl and 1- sec-butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxybutyl) cyclopentyl, 1- (bicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1- (7- Oxabicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 1-methyl-2-cyclopentenyl, 1-ethyl-2-cyclopentenyl, 1 -Methyl-2-cyclohexenyl, 1-ethyl-2-cyclohexenyl, etc. can be illustrated.

상기 화학식(L4)의 산불안정기로서는, 하기 화학식(L4-1) 내지 (L4-4)로 표시되는 기가 특히 바람직하다.As the acid labile group of the general formula (L4), groups represented by the following general formulas (L4-1) to (L4-4) are particularly preferable.

Figure 112007083610751-pat00028
Figure 112007083610751-pat00028

상기 화학식(L4-1) 내지 (L4-4) 중, 파선은 결합 위치 및 결합 방향을 나타낸다. RL41은 각각 독립적으로 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기 등의 1가 탄화수소기를 나타내고, 구체적으로는 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, sec-부틸기, tert-부틸기, tert-아밀기, n-펜틸기, n-헥실기, 시클로펜틸기, 시클로헥실기 등을 예시할 수 있다.In the formulas (L4-1) to (L4-4), the broken line indicates the bonding position and the bonding direction. R L41 each independently represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, and specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group , tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, etc. can be illustrated.

상기 화학식(L4-1) 내지 (L4-4)에는 에난티오 이성체(enantiomer)나 디아스테레오 이성체(diastereomer)가 존재할 수 있지만, 상기 화학식(L4-1) 내지 (L4-4)는 이들 입체 이성체 전부를 대표하여 나타낸다. 이들 입체 이성체는 단독으로 이 용할 수도 있고, 혼합물로서 이용할 수도 있다.Enantiomers or diastereomers may be present in the above formulas (L4-1) to (L4-4), but the above formulas (L4-1) to (L4-4) are all stereoisomers. Representatively. These stereoisomers may be used alone or as a mixture.

예를 들면, 상기 화학식(L4-3)은 하기 화학식(L4-3-1), (L4-3-2)로 표시되는 기로부터 선택되는 1종 또는 2종의 혼합물을 대표하여 나타내는 것으로 한다.For example, the said general formula (L4-3) shall represent and represent 1 type or 2 types of mixtures chosen from the group represented by the following general formula (L4-3-1) and (L4-3-2).

Figure 112007083610751-pat00029
Figure 112007083610751-pat00029

또한, 상기 화학식(L4-4)는 하기 화학식(L4-4-1) 내지 (L4-4-4)로 표시되는 기로부터 선택되는 1종 또는 2종 이상의 혼합물을 대표하여 나타내는 것으로 한다. In addition, the said general formula (L4-4) shall represent the 1 type, or 2 or more types of mixtures chosen from the group represented by the following general formula (L4-4-1)-(L4-4-4).

Figure 112007083610751-pat00030
Figure 112007083610751-pat00030

상기 화학식(L4-1) 내지 (L4-4), (L4-3-1), (L4-3-2) 및 화학식(L4-4-1) 내지 (L4-4-4)는 이들의 에난티오 이성체 및 에난티오 이성체 혼합물도 대표하여 나타내는 것으로 한다. Formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2) and (L4-4-1) to (L4-4-4) are enan Thio isomers and enantio isomer mixtures are also represented representatively.

또한, 화학식(L4-1) 내지 (L4-4), (L4-3-1), (L4-3-2) 및 화학식(L4-4-1) 내지 (L4-4-4)의 결합 방향이 각각 비시클로[2.2.1]헵탄환에 대하여 엑소측이기 때문에, 산 촉매 이탈 반응에서의 고반응성이 실현된다(일본 특허 공개 제2000-336121호 공보 참조). 이들 비시클로[2.2.1]헵탄 골격을 갖는 3급 엑소-알킬기를 치환기로 하는 단량체의 제조에 있어서, 하기 화학식(L4-1-엔도) 내지 (L4-4-엔도)로 표시되는 엔도-알킬기로 치환된 단량체를 포함하는 경우가 있지만, 양호한 반응성의 실현을 위해서는 엑소 비율이 50 몰% 이상인 것이 바람직하고, 엑소 비율이 80 몰 % 이상인 것이 더욱 바람직하다.Also, the bonding directions of the formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2) and (L4-4-1) to (L4-4-4) Since each is an exo side with respect to a bicyclo [2.2.1] heptane ring, high reactivity in an acid-catalyst removal reaction is implement | achieved (refer Unexamined-Japanese-Patent No. 2000-336121). In the preparation of monomers having tertiary exo-alkyl groups having these bicyclo [2.2.1] heptane skeletons as substituents, endo-alkyl groups represented by the following formulas (L4-1-endo) to (L4-4-endo) Although it may contain the monomer substituted by, in order to implement | achieve favorable reactivity, it is preferable that an exo ratio is 50 mol% or more, and it is more preferable that an exo ratio is 80 mol% or more.

Figure 112007083610751-pat00031
Figure 112007083610751-pat00031

상기 화학식(L4)의 산불안정기로서는, 구체적으로는 하기의 기를 예시할 수 있다.As an acid labile group of the said general formula (L4), the following group can be illustrated specifically ,.

Figure 112007083610751-pat00032
Figure 112007083610751-pat00032

또한, 탄소수 4 내지 20의 3급 알킬기, 각 알킬기가 각각 탄소수 1 내지 6의 트리알킬실릴기, 탄소수 4 내지 20의 옥소알킬기로서는, 구체적으로는 RL04에서 예시된 것과 동일한 것 등을 예시할 수 있다.Moreover, as a C4-C20 tertiary alkyl group, each alkyl group, a C1-C6 trialkylsilyl group, a C4-C20 oxoalkyl group, specifically, the same thing as what was illustrated by RL04 , etc. can be illustrated, for example. have.

R016은 수소 원자 또는 메틸기를 나타낸다. R017은 탄소수 1 내지 8의 직쇄상, 분지상 또는 환상 알킬기를 나타낸다.R 016 represents a hydrogen atom or a methyl group. R 017 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms.

a1', a2', a3', b1', b2', b3', c1', c2', c3', d1', d2', d3', e'는 0 이상 1 미만의 수이고, a1'+a2'+a3'+b1'+b2'+b3'+c1'+c2'+c3'+d1'+d2'+d3'+e'=1을 만족시킨다. f', g', h', i', j', o', p'는 0 이상 1 미만의 수이고, f'+g'+h'+i'+j'+o'+p'=1을 만족시킨다. x', y', z'는 0 내지 3의 정수이고, 1≤x'+y'+z'≤5, 1≤y'+z'≤3을 만족시킨다.a1 ', a2', a3 ', b1', b2 ', b3', c1 ', c2', c3 ', d1', d2 ', d3', e 'is a number greater than or equal to 1 and less than a1' + It satisfies a2 '+ a3' + b1 '+ b2' + b3 '+ c1' + c2 '+ c3' + d1 '+ d2' + d3 '+ e' = 1. f ', g', h ', i', j ', o', p 'are numbers of 0 or more and less than 1, and f' + g '+ h' + i '+ j' + o '+ p' = Satisfies 1 x ', y' and z 'are integers of 0 to 3 and satisfy 1≤x' + y '+ z'≤5 and 1≤y' + z'≤3.

화학식(R1), (R2)의 각 반복 단위는 2종 이상을 동시에 도입할 수도 있다. 각 반복 단위로서 복수개의 단위를 이용함으로써, 레지스트 재료로 만들었을 때의 성능을 조정할 수 있다.Each repeating unit of the formulas (R1) and (R2) may introduce two or more kinds at the same time. By using a some unit as each repeating unit, the performance at the time of making it into a resist material can be adjusted.

또한, 여기서 상기 각 단위의 합이 1이라는 것은, 각 반복 단위를 포함하는 고분자 화합물에 있어서 이들 반복 단위의 합계량이 전체 반복 단위의 합계량에 대하여 100 몰%인 것을 나타낸다.In addition, that the sum of each said unit here 1 shows that the total amount of these repeating units in a high molecular compound containing each repeating unit is 100 mol% with respect to the total amount of all the repeating units.

상기 화학식(R1)에 있어서 조성비 a1' 및 화학식(R2)에 있어서 조성비 f'로 도입되는 반복 단위로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a repeating unit introduce | transduced in composition ratio a1 'and the composition ratio f' in general formula (R2) in the said General formula (R1), It is not limited to this.

Figure 112007083610751-pat00033
Figure 112007083610751-pat00033

Figure 112007083610751-pat00034
Figure 112007083610751-pat00034

상기 화학식(R1)에 있어서 조성비 b1'로 도입되는 반복 단위로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a repeating unit introduce | transduced in composition ratio b1 'in the said General formula (R1), It is not limited to this.

Figure 112007083610751-pat00035
Figure 112007083610751-pat00035

Figure 112007083610751-pat00036
Figure 112007083610751-pat00036

Figure 112007083610751-pat00037
Figure 112007083610751-pat00037

상기 화학식(R1)에 있어서 조성비 d1' 및 (R2)에 있어서 조성비 g'로 도입되는 반복 단위로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a repeating unit introduce | transduced into composition ratio g 'in composition ratio d1' and (R2) in the said General formula (R1), It is not limited to this.

Figure 112007083610751-pat00038
Figure 112007083610751-pat00038

Figure 112007083610751-pat00039
Figure 112007083610751-pat00039

Figure 112007083610751-pat00040
Figure 112007083610751-pat00040

Figure 112007083610751-pat00041
Figure 112007083610751-pat00041

Figure 112007083610751-pat00042
Figure 112007083610751-pat00042

상기 화학식(R1)에 있어서 조성비 a1', b1', c1', d1'의 반복 단위로 구성되는 고분자 화합물로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a high molecular compound comprised by the repeating unit of composition ratio a1 ', b1', c1 ', and d1' in the said General formula (R1), It is not limited to this.

Figure 112007083610751-pat00043
Figure 112007083610751-pat00043

Figure 112007083610751-pat00044
Figure 112007083610751-pat00044

Figure 112007083610751-pat00045
Figure 112007083610751-pat00045

Figure 112007083610751-pat00046
Figure 112007083610751-pat00046

상기 화학식(R1)에 있어서 조성비 a2', b2', c2', d2', e'의 반복 단위로 구성되는 고분자 화합물로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a high molecular compound comprised by the repeating unit of composition ratio a2 ', b2', c2 ', d2', and e 'in the said General formula (R1), It is not limited to this.

Figure 112007083610751-pat00047
Figure 112007083610751-pat00047

Figure 112007083610751-pat00048
Figure 112007083610751-pat00048

Figure 112007083610751-pat00049
Figure 112007083610751-pat00049

상기 화학식(R1)에 있어서 조성비 a3', b3', c3', d3'의 반복 단위로 구성되는 고분자 화합물로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following can be illustrated specifically as a high molecular compound comprised by the repeating unit of composition ratio a3 ', b3', c3 ', and d3' in the said General formula (R1), It is not limited to this.

Figure 112007083610751-pat00050
Figure 112007083610751-pat00050

Figure 112007083610751-pat00051
Figure 112007083610751-pat00051

상기 화학식(R2)의 고분자 화합물로서 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Although the following are specifically mentioned as a high molecular compound of the said General formula (R2), It is not limited to this.

Figure 112007083610751-pat00052
Figure 112007083610751-pat00052

상기 (A)와는 다른 고분자 화합물의 배합량은 본 발명의 상기 수지 성분(A)와의 합계량을 100 질량부로 하였을 때에 바람직하게는 0 내지 80 질량부, 보다 바람직하게는 0 내지 60 질량부, 더욱 바람직하게는 0 내지 50 질량부이지만, 배합하는 경우는 20 질량부 이상, 특히 30 질량부 이상으로 하는 것이 바람직하다. 상기 다른 고분자 화합물의 배합량이 너무 많은 경우에는, 본 발명의 수지 성분(A)의 특징이 발휘되지 않아, 해상성의 저하나 패턴 형상의 열화를 초래하는 경우가 있다. 또한, 상기 다른 고분자 화합물은 1종으로 한정되지 않고 2종 이상을 첨가할 수 있다. 복수종의 고분자 화합물을 이용함으로써 레지스트 재료의 성능을 조정할 수 있다.The blending amount of the polymer compound different from the above (A) is preferably 0 to 80 parts by mass, more preferably 0 to 60 parts by mass, even more preferably when the total amount with the resin component (A) of the present invention is 100 parts by mass. Although is 0-50 mass parts, when mix | blending, it is preferable to set it as 20 mass parts or more, especially 30 mass parts or more. When the compounding quantity of the said other high molecular compound is too big | large, the characteristic of the resin component (A) of this invention may not be exhibited, and a fall of resolution and deterioration of a pattern shape may be caused. In addition, the said other high molecular compound is not limited to 1 type, It can add 2 or more types. By using a plurality of high molecular compounds, the performance of the resist material can be adjusted.

본 발명의 레지스트 재료는 활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(B)로서, 상기 화학식 2로 표시되는 술포늄 화합물 이외의 것(B')를 함유할 수도 있다. (B')의 성분으로서는, 고에너지선 조사에 의해 산을 발생하는 화합물이라면 어느 것일 수도 있고, 종래부터 레지스트 재료, 특히 화학 증폭 레지스트 재료로 이용되고 있는 공지된 어느 광산 발생제일 수도 있다. 바람직한 광산 발생제로서는 술포늄염, 요오도늄염, 술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트형 산 발생제 등이 있다. 이하에 상술하지만 이들은 단독으로 또는 2종 이상 혼합하여 사용할 수 있다. The resist material of this invention is a compound (B) which generate | occur | produces an acid in response to actinic light or a radiation, and may contain (B ') other than the sulfonium compound represented by the said General formula (2). As a component of (B '), any compound may generate | occur | produce an acid by high energy ray irradiation, and any well-known photo-acid generator conventionally used as a resist material, especially a chemically amplified resist material, may be sufficient. Preferred photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethanes, N-sulfonyloxyimide, oxime-O-sulfonate acid generators, and the like. Although detailed below, these can be used individually or in mixture of 2 or more types.

술포늄염은 술포늄 양이온과 술포네이트 또는 비스(치환 알킬 술포닐)이미드, 트리스(치환 알킬 술포닐)메티드의 염이고, 술포늄 양이온으로서 트리페닐술포늄, (4-tert-부톡시페닐)디페닐술포늄, 비스(4-tert-부톡시페닐)페닐술포늄, 트리스(4-tert-부톡시페닐)술포늄, (3-tert-부톡시페닐)디페닐술포늄, 비스(3-tert-부톡시페닐)페닐술포늄, 트리스(3-tert-부톡시페닐)술포늄, (3,4-디 tert-부톡시페닐)디페닐술포늄, 비스(3,4-디 tert-부톡시페닐)페닐술포늄, 트리스(3,4-디 tert-부톡시페닐)술포늄, 디페닐(4-티오페녹시페닐)술포늄, (4-tert-부톡시카르보닐메틸옥시페닐)디페닐술포늄, 트리스(4-tert-부톡시카르보닐메틸옥시페닐)술포늄, (4-tert-부톡시페닐)비스(4-디메틸아미노페닐)술포늄, 트리스(4-디메틸아미노페닐)술 포늄, 2-나프틸디페닐술포늄, 디메틸 2-나프틸술포늄, 4-히드록시페닐디메틸술포늄, 4-메톡시페닐디메틸술포늄, 트리메틸술포늄, 2-옥소시클로헥실시클로헥실메틸술포늄, 트리나프틸술포늄, 트리벤질술포늄, 디페닐메틸술포늄, 디메틸페닐술포늄, 2-옥소-2-페닐에틸티아시클로펜타늄, 4-n-부톡시나프틸-1-티아시클로펜타늄, 2-n-부톡시나프틸-1-티아시클로펜타늄 등을 들 수 있고, 술포네이트로서는 트리플루오로메탄술포네이트, 펜타플루오로에탄술포네이트, 노나플루오로부탄술포네이트, 도데카플루오로헥산술포네이트, 펜타플루오로에틸퍼플루오로시클로헥산술포네이트, 헵타데카플루오로옥탄술포네이트, 2,2,2-트리플루오로에탄술포네이트, 펜타플루오로벤젠술포네이트, 4-트리플루오로메틸벤젠술포네이트, 4-플루오로벤젠술포네이트, 메시틸렌술포네이트, 2,4,6-트리이소프로필벤젠술포네이트, 톨루엔술포네이트, 벤젠술포네이트, 4-(4'-톨루엔술포닐옥시)벤젠술포네이트, 나프탈렌술포네이트, 캄포술포네이트, 옥탄술포네이트, 도데실벤젠술포네이트, 부탄술포네이트, 메탄술포네이트, 2-벤조일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-(4-페닐벤조일옥시)프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-피발로일옥시프로판술포네이트, 2-시클로헥산카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-프로일옥시프로판술포네이트, 2-나프토일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-(4-tert-부틸벤조일옥시)-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아다만탄카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아세틸옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-히드록시프로판술포네이트, 1,1,3,3,3-펜타플루오로-2- 토실옥시프로판술포네이트, 1,1-디플루오로-2-나프틸-에탄술포네이트, 1,1,2,2-테트라플루오로-2-(노르보르난-2-일)에탄술포네이트, 1,1,2,2-테트라플루오로-2-(테트라시클로[4.4.0.12,5.17,10]도데카-3-엔-8-일)에탄술포네이트 등을 들 수 있고, 비스(치환 알킬 술포닐)이미드로서는 비스트리플루오로메틸술포닐이미드, 비스펜타플루오로에틸술포닐이미드, 비스헵타플루오로프로필술포닐이미드, 1,3-프로필렌비스술포닐이미드 등을 들 수 있고, 트리스(치환 알킬 술포닐)메티드로서는 트리스트리플루오로메틸술포닐메티드를 들 수 있고, 이들의 조합의 술포늄염을 들 수 있다. Sulfonium salts are salts of sulfonium cations with sulfonates or bis (substituted alkyl sulfonyl) imides, tris (substituted alkyl sulfonyl) methides, and as the sulfonium cations, triphenylsulfonium, (4-tert-butoxyphenyl ) Diphenylsulfonium, bis (4-tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, (3-tert-butoxyphenyl) diphenylsulfonium, bis (3 -tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxyphenyl) sulfonium, (3,4-di tert-butoxyphenyl) diphenylsulfonium, bis (3,4-di tert- Butoxyphenyl) phenylsulfonium, tris (3,4-ditert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl ) Diphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxyphenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl Sulfonium, 2-naphthyldiphenylsulfonium, dimethyl 2-naphthylsulfonium, 4 Hydroxyphenyldimethylsulfonium, 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonium, tribenzylsulfonium, diphenylmethylsulfonium, dimethyl Phenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1-thiacyclopentanium, etc. Examples of the sulfonate include trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate and heptadeca. Fluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2 , 4,6-triisopropylbenzenesulfonate, toluene Sulfonate, benzenesulfonate, 4- (4'-toluenesulfonyloxy) benzenesulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2- Benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1 , 3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1, 3,3,3-pentafluoro-2-proyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butyl Benzoyloxy) -1,1,3,3,3-pentafluoropropanesulfonate, 2-adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-acetyl Oxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxy Propanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2 -Tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] Dodeca-3-en-8-yl) ethanesulfonate, and the like. Examples of the bis (substituted alkyl sulfonyl) imide include bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, Bisheptafluoropropylsulfonylimide, 1,3-propylenebissulfonylimide, and the like. Examples of the tris (substituted alkyl sulfonyl) methide include tristrifluoromethylsulfonylmethide, and these And sulfonium salts in combination.

요오도늄염은 요오도늄 양이온과 술포네이트 또는 비스(치환 알킬 술포닐)이미드, 트리스(치환 알킬 술포닐)메티드의 염이고, 디페닐요오도늄, 비스(4-tert-부틸페닐)요오도늄, 4-tert-부톡시페닐페닐요오도늄, 4-메톡시페닐페닐요오도늄 등의 아릴요오도늄 양이온과 술포네이트로서 트리플루오로메탄술포네이트, 펜타플루오로에탄술포네이트, 노나플루오로부탄술포네이트, 도데카플루오로헥산술포네이트, 펜타플루오로에틸퍼플루오로시클로헥산술포네이트, 헵타데카플루오로옥탄술포네이트, 2,2,2-트리플루오로에탄술포네이트, 펜타플루오로벤젠술포네이트, 4-트리플루오로메틸벤젠술포네이트, 4-플루오로벤젠술포네이트, 메시틸렌술포네이트, 2,4,6-트리이소프로필벤젠술포네이트, 톨루엔술포네이트, 벤젠술포네이트, 4-(4-톨루엔술포닐옥시)벤젠술포네이트, 나프탈렌술포네이트, 캄포술포네이트, 옥탄술포네이트, 도데실벤젠술포네이트, 부탄술포네이트, 메탄술포네이트, 2-벤조일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-(4-페닐벤조일옥시)프로판술 포네이트, 1,1,3,3,3-펜타플루오로-2-피발로일옥시프로판술포네이트, 2-시클로헥산카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-프로일옥시프로판술포네이트, 2-나프토일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-(4-tert-부틸벤조일옥시)-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아다만탄카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아세틸옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-히드록시프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-토실옥시프로판술포네이트, 1,1-디플루오로-2-나프틸-에탄술포네이트, 1,1,2,2-테트라플루오로-2-(노르보르난-2-일)에탄술포네이트, 1,1,2,2-테트라플루오로-2-(테트라시클로[4.4.0.12,5.17,10]도데카-3-엔-8-일)에탄술포네이트 등을 들 수 있고, 비스(치환 알킬 술포닐)이미드로서는 비스트리플루오로메틸술포닐이미드, 비스펜타플루오로에틸술포닐이미드, 비스헵타플루오로프로필술포닐이미드, 1,3-프로필렌비스술포닐이미드 등을 들 수 있고, 트리스(치환 알킬 술포닐)메티드로서는 트리스트리플루오로메틸술포닐메티드를 들 수 있고, 이들의 조합의 요오도늄염을 들 수 있다.Iodonium salts are salts of iodonium cations with sulfonates or bis (substituted alkyl sulfonyl) imides, tris (substituted alkyl sulfonyl) methides, and diphenyliodonium, bis (4-tert-butylphenyl) Aryl iodonium cations such as iodonium, 4-tert-butoxyphenylphenyl iodonium, 4-methoxyphenylphenyl iodonium and sulfonates, trifluoromethanesulfonate, pentafluoroethanesulfonate, Nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluoro Robenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4 -(4-toluenesulfonyloxy) benzenesulfo Acetate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfo Acetate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-proyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropanesulfo Acetate, 2-adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane Fonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1 , 2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodeca-3-en-8-yl) ethanesulfonate, and the like, and bis (substituted) Examples of the alkyl sulfonyl) imide include bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide, 1,3-propylenebissulfonylimide, and the like. Examples of the tris (substituted alkyl sulfonyl) methide include tristrifluoromethylsulfonylmethide, and iodonium salts of a combination thereof can be given.

술포닐디아조메탄으로서는 비스(에틸술포닐)디아조메탄, 비스(1-메틸프로필술포닐)디아조메탄, 비스(2-메틸프로필술포닐)디아조메탄, 비스(1,1-디메틸에틸술포닐)디아조메탄, 비스(시클로헥실술포닐)디아조메탄, 비스(퍼플루오로이소프로필술포닐)디아조메탄, 비스(페닐술포닐)디아조메탄, 비스(4-메틸페닐술포닐)디아조메탄, 비스(2,4-디메틸페닐술포닐)디아조메탄, 비스(2-나프틸술포닐)디아조메탄, 비 스(4-아세틸옥시페닐술포닐)디아조메탄, 비스(4-메탄술포닐옥시페닐술포닐)디아조메탄, 비스(4-(4-톨루엔술포닐옥시)페닐술포닐)디아조메탄, 비스(4-n-헥실옥시)페닐술포닐)디아조메탄, 비스(2-메틸-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(2,5-디메틸-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(3,5-디메틸-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(2-메틸-5-이소프로필-4-(n-헥실옥시)페닐술포닐)디아조메탄, 4-메틸페닐술포닐벤조일디아조메탄, tert-부틸카르보닐-4-메틸페닐술포닐디아조메탄, 2-나프틸술포닐벤조일디아조메탄, 4-메틸페닐술포닐 2-나프토일디아조메탄, 메틸술포닐벤조일디아조메탄, tert-부톡시카르보닐-4-메틸페닐술포닐디아조메탄 등의 비스술포닐디아조메탄과 술포닐-카르보닐디아조메탄을 들 수 있다.Examples of sulfonyl diazomethane include bis (ethylsulfonyl) diazomethane, bis (1-methylpropylsulfonyl) diazomethane, bis (2-methylpropylsulfonyl) diazomethane and bis (1,1-dimethylethyl Sulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (perfluoroisopropylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (4-methylphenylsulfonyl) Diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (2-naphthylsulfonyl) diazomethane, bis (4-acetyloxyphenylsulfonyl) diazomethane, bis (4- Methanesulfonyloxyphenylsulfonyl) diazomethane, bis (4- (4-toluenesulfonyloxy) phenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, Bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis ( 3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2- Methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tert-butylcarbonyl-4-methylphenylsulfonyldiazomethane, 2 Bissulfonyl such as naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl 2-naphthoyldiazomethane, methylsulfonylbenzoyldiazomethane and tert-butoxycarbonyl-4-methylphenylsulfonyldiazomethane Diazomethane and sulfonyl-carbonyl diazomethane are mentioned.

N-술포닐옥시이미드형 광산 발생제로서는 숙신산이미드, 나프탈렌디카르복실산이미드, 프탈산이미드, 시클로헥실디카르복실산이미드, 5-노르보르넨-2,3-디카르복실산이미드, 7-옥사비시클로[2.2.1]-5-헵텐-2,3-디카르복실산이미드 등의 이미드 골격과 트리플루오로메탄술포네이트, 펜타플루오로에탄술포네이트, 노나플루오로부탄술포네이트, 도데카플루오로헥산술포네이트, 펜타플루오로에틸퍼플루오로시클로헥산술포네이트, 헵타데카플루오로옥탄술포네이트, 2,2,2-트리플루오로에탄술포네이트, 펜타플루오로벤젠술포네이트, 4-트리플루오로메틸벤젠술포네이트, 4-플루오로벤젠술포네이트, 메시틸렌술포네이트, 2,4,6-트리이소프로필벤젠술포네이트, 톨루엔술포네이트, 벤젠술포네이트, 나프탈렌술포네이트, 캄포술포네이트, 옥탄술포네이트, 도데실벤젠술포네이트, 부탄술포네이트, 메탄술포네이트, 2-벤조일옥시- 1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-(4-페닐벤조일옥시)프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-피발로일옥시프로판술포네이트, 2-시클로헥산카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-프로일옥시프로판술포네이트, 2-나프토일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-(4-tert-부틸벤조일옥시)-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아다만탄카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아세틸옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-히드록시프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-토실옥시프로판술포네이트, 1,1-디플루오로-2-나프틸-에탄술포네이트, 1,1,2,2-테트라플루오로-2-(노르보르난-2-일)에탄술포네이트, 1,1,2,2-테트라플루오로-2-(테트라시클로[4.4.0.12,5.17,10]도데카-3-엔-8-일)에탄술포네이트 등의 조합의 화합물을 들 수 있다.Examples of the N-sulfonyloxyimide type photoacid generator include succinimide, naphthalenedicarboxylic acid imide, phthalic acid imide, cyclohexyl dicarboxylic acid imide, 5-norbornene-2,3-dicarboxylic acid imide, Imide skeleton, such as 7-oxabicyclo [2.2.1] -5-heptene-2,3-dicarboxylic acid imide, trifluoromethanesulfonate, pentafluoroethanesulfonate, and nonafluorobutanesulfonate , Dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4 Trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphorsulfonate , Octanesulfonate, dodecyl Gensulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3 , 3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-proyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-penta Fluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropanesulfonate, 2-adamantanecarbonyloxy-1,1,3, 3,3-pentafluoropropanesulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydride Oxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2, 2-tetrafluor Rho-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodeca- And compounds of a combination such as 3-en-8-yl) ethanesulfonate.

벤조인술포네이트형 광산 발생제로서는 벤조인토실레이트, 벤조인메실레이트, 벤조인부탄술포네이트 등을 들 수 있다.Examples of the benzoin sulfonate type photoacid generator include benzointosylate, benzoin mesylate, benzoin butanesulfonate, and the like.

피로갈롤트리술포네이트형 광산 발생제로서는 피로갈롤, 플루오로글리시놀, 카테콜, 레조르시놀, 히드로퀴논의 히드록실기의 전부를 트리플루오로메탄술포네이트, 펜타플루오로에탄술포네이트, 노나플루오로부탄술포네이트, 도데카플루오로헥산술포네이트, 펜타플루오로에틸퍼플루오로시클로헥산술포네이트, 헵타데카플루오로옥탄술포네이트, 2,2,2-트리플루오로에탄술포네이트, 펜타플루오로벤젠술포네이 트, 4-트리플루오로메틸벤젠술포네이트, 4-플루오로벤젠술포네이트, 톨루엔술포네이트, 벤젠술포네이트, 나프탈렌술포네이트, 캄포술포네이트, 옥탄술포네이트, 도데실벤젠술포네이트, 부탄술포네이트, 메탄술포네이트, 2-벤조일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-(4-페닐벤조일옥시)프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-피발로일옥시프로판술포네이트, 2-시클로헥산카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-프로일옥시프로판술포네이트, 2-나프토일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-(4-tert-부틸벤조일옥시)-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아다만탄카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아세틸옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-히드록시프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-토실옥시프로판술포네이트, 1,1-디플루오로-2-나프틸-에탄술포네이트, 1,1,2,2-테트라플루오로-2-(노르보르난-2-일)에탄술포네이트, 1,1,2,2-테트라플루오로-2-(테트라시클로[4.4.0.12,5.17,10]도데카-3-엔-8-일)에탄술포네이트 등으로 치환한 화합물을 들 수 있다.As the pyrogallol trisulfonate type photoacid generator, all of the hydroxyl groups of pyrogallol, fluoroglycinol, catechol, resorcinol, and hydroquinone may be substituted with trifluoromethanesulfonate, pentafluoroethanesulfonate, and nonafluoro. Robutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzene Sulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfo , Methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy Propanesulfonate, 1,1,3 , 3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3, 3,3-pentafluoro-2-proyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy ) -1,1,3,3,3-pentafluoropropanesulfonate, 2-adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-acetyloxy- 1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-penta Fluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl ) Ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodeca-3-en-8-yl) ethanesulfonate, etc. The compound substituted by the above is mentioned.

니트로벤질술포네이트형 광산 발생제로서는 2,4-디니트로벤질술포네이트, 2-니트로벤질술포네이트, 2,6-디니트로벤질술포네이트를 들 수 있고, 술포네이트로서는 구체적으로 트리플루오로메탄술포네이트, 펜타플루오로에탄술포네이트, 노나플루오로부탄술포네이트, 도데카플루오로헥산술포네이트, 펜타플루오로에틸퍼플루오로시클로헥산술포네이트, 헵타데카플루오로옥탄술포네이트, 2,2,2-트리플루오로에 탄술포네이트, 펜타플루오로벤젠술포네이트, 4-트리플루오로메틸벤젠술포네이트, 4-플루오로벤젠술포네이트, 톨루엔술포네이트, 벤젠술포네이트, 나프탈렌술포네이트, 캄포술포네이트, 옥탄술포네이트, 도데실벤젠술포네이트, 부탄술포네이트, 메탄술포네이트, 2-벤조일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-(4-페닐벤조일옥시)프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-피발로일옥시프로판술포네이트, 2-시클로헥산카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-프로일옥시프로판술포네이트, 2-나프토일옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-(4-tert-부틸벤조일옥시)-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아다만탄카르보닐옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 2-아세틸옥시-1,1,3,3,3-펜타플루오로프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-히드록시프로판술포네이트, 1,1,3,3,3-펜타플루오로-2-토실옥시프로판술포네이트, 1,1-디플루오로-2-나프틸-에탄술포네이트, 1,1,2,2-테트라플루오로-2-(노르보르난-2-일)에탄술포네이트, 1,1,2,2-테트라플루오로-2-(테트라시클로[4.4.0.12,5.17,10]도데카-3-엔-8-일)에탄술포네이트 등을 들 수 있다. 또한 벤질측의 니트로기를 트리플루오로메틸기로 치환한 화합물도 동일하게 사용할 수 있다.Examples of the nitrobenzylsulfonate type photoacid generator include 2,4-dinitrobenzylsulfonate, 2-nitrobenzylsulfonate, and 2,6-dinitrobenzylsulfonate. Specific examples of the sulfonate include trifluoromethane sulfo , Pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2- Trifluoroethane tansulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphorsulfonate, octane Sulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-penta Fluoro-2- (4- Nylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3- Pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-proyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane Sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropanesulfonate, 2-adamantanecarbonyloxy-1,1,3,3,3 Pentafluoropropanesulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfo Nate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetra Fluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodeca -3-en-8-yl) ethanesulfonate, etc. Can be mentioned. Moreover, the compound which substituted the nitro group of the benzyl side by the trifluoromethyl group can also be used similarly.

술폰형 광산 발생제의 예로서는 비스(페닐술포닐)메탄, 비스(4-메틸페닐술포닐)메탄, 비스(2-나프틸술포닐)메탄, 2,2-비스(페닐술포닐)프로판, 2,2-비스(4-메틸페닐술포닐)프로판, 2,2-비스(2-나프틸술포닐)프로판, 2-메틸-2-(p-톨루엔술포 닐)프로피오페논, 2-시클로헥실카르보닐-2-(p-톨루엔술포닐)프로판, 2,4-디메틸-2-(p-톨루엔술포닐)펜탄-3-온 등을 들 수 있다.Examples of sulfone type photoacid generators include bis (phenylsulfonyl) methane, bis (4-methylphenylsulfonyl) methane, bis (2-naphthylsulfonyl) methane, 2,2-bis (phenylsulfonyl) propane, 2,2 -Bis (4-methylphenylsulfonyl) propane, 2,2-bis (2-naphthylsulfonyl) propane, 2-methyl-2- (p-toluenesulfonyl) propiophenone, 2-cyclohexylcarbonyl-2 -(p-toluenesulfonyl) propane, 2,4-dimethyl-2- (p-toluenesulfonyl) pentan-3-one, and the like.

글리옥심 유도체형 광산 발생제는 일본 특허 제2906999호 공보나 일본 특허 공개 (평)9-301948호 공보에 기재된 화합물을 들 수 있고, 구체적으로는 비스-O-(p-톨루엔술포닐)-α-디메틸글리옥심, 비스-O-(p-톨루엔술포닐)-α-디페닐글리옥심, 비스-O-(p-톨루엔술포닐)-α-디시클로헥실글리옥심, 비스-O-(p-톨루엔술포닐)-2,3-펜탄디온글리옥심, 비스-O-(n-부탄술포닐)-α-디메틸글리옥심, 비스-O-(n-부탄술포닐)-α-디페닐글리옥심, 비스-O-(n-부탄술포닐)-α-디시클로헥실글리옥심, 비스-O-(메탄술포닐)-α-디메틸글리옥심, 비스-O-(트리플루오로메탄술포닐)-α-디메틸글리옥심, 비스-O-(2,2,2-트리플루오로에탄술포닐)-α-디메틸글리옥심, 비스-O-(10-캄포술포닐)-α-디메틸글리옥심, 비스-O-(벤젠술포닐)-α-디메틸글리옥심, 비스-O-(p-플루오로벤젠술포닐)-α-디메틸글리옥심, 비스-O-(p-트리플루오로메틸벤젠술포닐)-α-디메틸글리옥심, 비스-O-(크실렌술포닐)-α-디메틸글리옥심, 비스-O-(트리플루오로메탄술포닐)-니옥심, 비스-O-(2,2,2-트리플루오로에탄술포닐)-니옥심, 비스-O-(10-캄포술포닐)-니옥심, 비스-O-(벤젠술포닐)-니옥심, 비스-O-(p-플루오로벤젠술포닐)-니옥심, 비스-O-(p-트리플루오로메틸벤젠술포닐)-니옥심, 비스-O-(크실렌술포닐)-니옥심 등을 들 수 있다.The glyoxime derivative type photoacid generator can mention the compound of Unexamined-Japanese-Patent No. 2906999 and Unexamined-Japanese-Patent No. 9-301948, Specifically, bis-O- (p-toluenesulfonyl) -alpha. -Dimethylglyoxime, bis-O- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-O- (p-toluenesulfonyl) -α-dicyclohexylglyoxime, bis-O- (p -Toluenesulfonyl) -2,3-pentanedioneglyoxime, bis-O- (n-butanesulfonyl) -α-dimethylglyoxime, bis-O- (n-butanesulfonyl) -α-diphenylgly Oxime, bis-O- (n-butanesulfonyl) -α-dicyclohexylglyoxime, bis-O- (methanesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-O- (10-camphorsulfonyl) -α-dimethylglyoxime, Bis-O- (benzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-O- (p- Lifluoromethylbenzenesulfonyl) -α-dimethylglyoxime, bis-O- (xylenesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -nioxime, bis-O -(2,2,2-trifluoroethanesulfonyl) -nioxime, bis-O- (10-camphorsulfonyl) -nioxime, bis-O- (benzenesulfonyl) -nioxime, bis-O -(p-fluorobenzenesulfonyl) -nioxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -nioxime, bis-O- (xylenesulfonyl) -nioxime, etc. are mentioned. .

또한, 미국 특허 제6004724호 명세서에 기재된 옥심술포네이트, 특히 (5-(4-톨루엔술포닐)옥시이미노-5H-티오펜-2-일리덴)페닐아세토니트릴, (5-(10-캄포술포닐)옥시이미노-5H-티오펜-2-일리덴)페닐아세토니트릴, (5-n-옥탄술포닐옥시이미노- 5H-티오펜-2-일리덴)페닐아세토니트릴, (5-(4-톨루엔술포닐)옥시이미노-5H-티오펜-2-일리덴)(2-메틸페닐)아세토니트릴, (5-(10-캄포술포닐)옥시이미노-5H-티오펜-2-일리덴)(2-메틸페닐)아세토니트릴, (5-n-옥탄술포닐옥시이미노-5H-티오펜-2-일리덴)(2-메틸페닐)아세토니트릴 등을 들 수 있고, 또한 미국 특허 제6916591호 명세서에 기재된 (5-(4-(4-톨루엔술포닐옥시)벤젠술포닐)옥시이미노-5H-티오펜-2-일리덴)페닐아세토니트릴, (5-(2,5-비스(4-톨루엔술포닐옥시)벤젠술포닐)옥시이미노-5H-티오펜-2-일리덴)페닐아세토니트릴 등을 들 수 있다.In addition, the oxime sulfonates described in US Pat. No. 6004724, in particular (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (10-campo) Sulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (4 -Toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, (5- (10-camposulfonyl) oxyimino-5H-thiophen-2-ylidene) ( 2-methylphenyl) acetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, and the like, and also described in US Pat. (5- (4- (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (2,5-bis (4-toluenesulfonyl Oxy) benzenesulfonyl) oxyimino-5H-thiophene 2-ylidene) phenyl acetonitrile, etc. are mentioned. .

미국 특허 제6261738호 명세서, 일본 특허 공개 제2000-314956호 공보에 기재된 옥심술포네이트, 특히 2,2,2-트리플루오로-1-페닐-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-페닐-에타논옥심-O-(10-캄포릴술포네이트), 2,2,2-트리플루오로-1-페닐-에타논옥심-O-(4-메톡시페닐술포네이트), 2,2,2-트리플루오로-1-페닐-에타논옥심-O-(1-나프틸술포네이트), 2,2,2-트리플루오로-1-페닐-에타논옥심-O-(2-나프틸술포네이트), 2,2,2-트리플루오로-1-페닐-에타논옥심-O-(2,4,6-트리메틸페닐술포네이트), 2,2,2-트리플루오로-1-(4-메틸페닐)-에타논옥심-O-(10-캄포릴술포네이트), 2,2,2-트리플루오로-1-(4-메틸페닐)-에타논옥심-O-(메틸술포네이트), 2,2,2-트리플루오로-1-(2-메틸페닐)-에타논옥심-O-(10-캄포릴술포네이트), 2,2,2-트리플루오로-1-(2,4-디메틸페닐)-에타논옥심-O-(10-캄포릴술포네이트), 2,2,2-트리플루오로-1-(2,4-디메틸페닐)-에타논옥심-O-(1-나프틸술포네이트), 2,2,2-트리플루오로-1-(2,4-디메틸페닐)-에타논옥심-O-(2-나프틸술포네이트), 2,2,2-트리플루오로-1-(2,4,6-트리메틸페닐)-에타논옥심-O-(10-캄포릴술포네이트), 2,2,2-트리플루 오로-1-(2,4,6-트리메틸페닐)-에타논옥심-O-(1-나프틸술포네이트), 2,2,2-트리플루오로-1-(2,4,6-트리메틸페닐)-에타논옥심-O-(2-나프틸술포네이트), 2,2,2-트리플루오로-1-(4-메톡시페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(4-메틸티오페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(3,4-디메톡시페닐)-에타논옥심-O-메틸술포네이트, 2,2,3,3,4,4,4-헵타플루오로-1-페닐-부타논옥심-O-(10-캄포릴술포네이트), 2,2,2-트리플루오로-1-(페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(페닐)-에타논옥심-O-10-캄포릴술포네이트, 2,2,2-트리플루오로-1-(페닐)-에타논옥심-O-(4-메톡시페닐)술포네이트, 2,2,2-트리플루오로-1-(페닐)-에타논옥심-O-(1-나프틸)술포네이트, 2,2,2-트리플루오로-1-(페닐)-에타논옥심-O-(2-나프틸)술포네이트, 2,2,2-트리플루오로-1-(페닐)-에타논옥심-O-(2,4,6-트리메틸페닐)술포네이트, 2,2,2-트리플루오로-1-(4-메틸페닐)-에타논옥심-O-(10-캄포릴)술포네이트, 2,2,2-트리플루오로-1-(4-메틸페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(2-메틸페닐)-에타논옥심-O-(10-캄포릴)술포네이트, 2,2,2-트리플루오로-1-(2,4-디메틸페닐)-에타논옥심-O-(1-나프틸)술포네이트, 2,2,2-트리플루오로-1-(2,4-디메틸페닐)-에타논옥심-O-(2-나프틸)술포네이트, 2,2,2-트리플루오로-1-(2,4,6-트리메틸페닐)-에타논옥심-O-(10-캄포릴)술포네이트, 2,2,2-트리플루오로-1-(2,4,6-트리메틸페닐)-에타논옥심-O-(1-나프틸)술포네이트, 2,2,2-트리플루오로-1-(2,4,6-트리메틸페닐)-에타논옥심-O-(2-나프틸)술포네이트, 2,2,2-트리플루오로-1-(4-메톡시페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(4-티오메틸페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1- (3,4-디메톡시페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(4-메톡시페닐)-에타논옥심-O-(4-메틸페닐)술포네이트, 2,2,2-트리플루오로-1-(4-메톡시페닐)-에타논옥심-O-(4-메톡시페닐)술포네이트, 2,2,2-트리플루오로-1-(4-메톡시페닐)-에타논옥심-O-(4-도데실페닐)술포네이트, 2,2,2-트리플루오로-1-(4-메톡시페닐)-에타논옥심-O-옥틸술포네이트, 2,2,2-트리플루오로-1-(4-티오메틸페닐)-에타논옥심-O-(4-메톡시페닐)술포네이트, 2,2,2-트리플루오로-1-(4-티오메틸페닐)-에타논옥심-O-(4-도데실페닐)술포네이트, 2,2,2-트리플루오로-1-(4-티오메틸페닐)-에타논옥심-O-옥틸술포네이트, 2,2,2-트리플루오로-1-(4-티오메틸페닐)-에타논옥심-O-(2-나프틸)술포네이트, 2,2,2-트리플루오로-1-(2-메틸페닐)-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-(4-메틸페닐)-에타논옥심-O-페닐술포네이트, 2,2,2-트리플루오로-1-(4-클로로페닐)-에타논옥심-O-페닐술포네이트, 2,2,3,3,4,4,4-헵타플루오로-1-(페닐)-부타논옥심-O-(10-캄포릴)술포네이트, 2,2,2-트리플루오로-1-나프틸-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-2-나프틸-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-[4-벤질페닐]-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-[4-(페닐-1,4-디옥사-부트-1-일)페닐]-에타논옥심-O-메틸술포네이트, 2,2,2-트리플루오로-1-나프틸-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-2-나프틸-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[4-벤질페닐]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[4-메틸술포닐페닐]-에타논옥심-O-프로필술포네이트, 1,3-비스[1-(4-페녹시페닐)-2,2,2-트리플루오로에타논옥심-O-술포닐]페닐, 2,2,2-트리플루오로-1-[4-메틸술포닐옥시페닐]-에타 논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[4-메틸카르보닐옥시페닐]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[6H,7H-5,8-디옥소나프토-2-일]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[4-메톡시카르보닐메톡시페닐]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[4-(메톡시카르보닐)-(4-아미노-1-옥사-펜타-1-일)-페닐]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[3,5-디메틸-4-에톡시페닐]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[4-벤질옥시페닐]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-[2-티오페닐]-에타논옥심-O-프로필술포네이트 및 2,2,2-트리플루오로-1-[1-디옥사-티오펜-2-일]-에타논옥심-O-프로필술포네이트, 2,2,2-트리플루오로-1-(4-(3-(4-(2,2,2-트리플루오로-1-(트리플루오로메탄술포닐옥시이미노)-에틸)-페녹시)-프로폭시)-페닐)에타논옥심(트리플루오로메탄술포네이트), 2,2,2-트리플루오로-1-(4-(3-(4-(2,2,2-트리플루오로-1-(1-프로판술포닐옥시이미노)-에틸)-페녹시)-프로폭시)-페닐)에타논옥심(1-프로판술포네이트), 2,2,2-트리플루오로-1-(4-(3-(4-(2,2,2-트리플루오로-1-(1-부탄술포닐옥시이미노)-에틸)-페녹시)-프로폭시)-페닐)에타논옥심(1-부탄술포네이트) 등을 들 수 있고, 또한 미국 특허 제6916591호 명세서에 기재된 2,2,2-트리플루오로-1-(4-(3-(4-(2,2,2-트리플루오로-1-(4-(4-메틸페닐술포닐옥시)페닐술포닐옥시이미노)-에틸)-페녹시)-프로폭시)-페닐)에타논옥심(4-(4-메틸페닐술포닐옥시)페닐술포네이트), 2,2,2-트리플루오로-1-(4-(3-(4-(2,2,2-트리플루오로-1-(2,5-비스(4-메틸페닐술포닐옥시)벤젠술포닐옥시)페닐술포닐옥시이미노)-에틸)-페녹시)-프로폭시)-페닐)에타논옥심(2,5-비스(4-메틸페닐술포닐옥시) 벤젠술포닐옥시)페닐술포네이트) 등을 들 수 있다.The oxime sulfonates described in US Pat. No. 6,627,38, Japanese Patent Application Laid-Open No. 2000-314956, in particular 2,2,2-trifluoro-1-phenyl-ethanone oxime-O-methylsulfonate, 2, 2,2-trifluoro-1-phenyl-ethanone oxime-O- (10-camphorylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (4- Methoxyphenylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl- Ethanone oxime-O- (2-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2,4,6-trimethylphenylsulfonate), 2, 2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphorylsulfonate), 2,2,2-trifluoro-1- (4-methylphenyl) -eta Nonoxime-O- (methylsulfonate), 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphorylsulfonate), 2,2,2- Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (10-camphorylsulfonei ), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime -O- (10-camphorylsulfonate), 2,2,2-trifluuro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2-naphthylsulfonate), 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methylthiophenyl) -ethanone oxime-O-methylsulfonate, 2, 2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,3,3,4,4,4-heptafluoro-1- Phenyl-butanone oxime-O- (10-camphorylsulfonate), 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-tri Fluoro-1- (phenyl) -ethanone oxime-O- 10-camphorylsulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1 -(Phenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2-naphthyl) sulfonate , 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2,4,6-trimethylphenyl) sulfonate, 2,2,2-trifluoro-1- (4 -Methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-methylsulfonate, 2,2 , 2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) Ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfo Nate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro- 1- (2,4,6-trimethyl Yl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2- Naphthyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4 -Thiomethylphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2 , 2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -Ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-dodecylphenyl) Sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl ) -Ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (4-dode Phenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2-trifluoro-1- (4-thio Methylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O-methylsulfonate, 2,2, 2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-phenylsulfonate, 2,2,2-trifluoro-1- (4-chlorophenyl) -ethanone oxime-O-phenyl Sulfonate, 2,2,3,3,4,4,4-heptafluoro-1- (phenyl) -butanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro Rho-1-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro Rho-1- [4-benzylphenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- [4- (phenyl-1,4-dioxa-but-1- Yl) phenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O- Propylsulfonate, 2,2,2-trifluoro-1- [4-methylsulfonylphenyl] -ethanone oxime-O-propylsulfonate, 1,3-bis [1- (4-phenoxyphenyl) -2,2,2-trifluoroethanone oxime-O-sulfonyl] phenyl, 2,2,2-trifluoro-1- [4-methylsulfonyloxyphenyl] -ethanone oxime-O-propyl Sulfonate, 2,2,2-trifluoro-1- [4-methylcarbonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [6H, 7H-5,8-dioxonaphtho-2-yl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-methoxycarbonylmethoxyphenyl]- Ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4- (methoxycarbonyl)-(4-amino-1-oxa-penta-1-yl) -phenyl] Ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [3,5-dimethyl-4-ethoxyphenyl] -eta Oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-benzyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [2-thiophenyl] -ethanone oxime-O-propylsulfonate and 2,2,2-trifluoro-1- [1-dioxa-thiophen-2-yl] -ethanone oxime-O-propyl Sulfonate, 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (trifluoromethanesulfonyloxyimino) -ethyl) -Phenoxy) -propoxy) -phenyl) ethanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2,2) -Trifluoro-1- (1-propanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-propanesulfonate), 2,2,2-trifluoro -1- (4- (3- (4- (2,2,2-trifluoro-1- (1-butanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone Oxime (1-butanesulfonate) and the like, and also 2,2,2-triples described in the specification of US Pat. Oro-1- (4- (3- (4- (2,2,2-trifluoro-1- (4- (4-methylphenylsulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -Propoxy) -phenyl) ethanone oxime (4- (4-methylphenylsulfonyloxy) phenylsulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2, 2,2-trifluoro-1- (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) eta Nonoxime (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonate) and the like.

일본 특허 공개 (평)9-95479호 공보, 일본 특허 공개 (평)9-230588호 공보 또는 본문 중의 종래 기술로서 기재된 옥심술포네이트 α-(p-톨루엔술포닐옥시이미노)-페닐아세토니트릴, α-(p-클로로벤젠술포닐옥시이미노)-페닐아세토니트릴, α-(4-니트로벤젠술포닐옥시이미노)-페닐아세토니트릴, α-(4-니트로-2-트리플루오로메틸벤젠술포닐옥시이미노)-페닐아세토니트릴, α-(벤젠술포닐옥시이미노)-4-클로로페닐아세토니트릴, α-(벤젠술포닐옥시이미노)-2,4-디클로로페닐아세토니트릴, α-(벤젠술포닐옥시이미노)-2,6-디클로로페닐아세토니트릴, α-(벤젠술포닐옥시이미노)-4-메톡시페닐아세토니트릴, α-(2-클로로벤젠술포닐옥시이미노)-4-메톡시페닐아세토니트릴, α-(벤젠술포닐옥시이미노)-2-티에닐아세토니트릴, α-(4-도데실벤젠술포닐옥시이미노)-페닐아세토니트릴, α-[(4-톨루엔술포닐옥시이미노)-4-메톡시페닐]아세토니트릴, α-[(도데실벤젠술포닐옥시이미노)-4-메톡시페닐]아세토니트릴, α-(토실옥시이미노)-3-티에닐아세토니트릴, α-(메틸술포닐옥시이미노)-1-시클로펜테닐아세토니트릴, α-(에틸술포닐옥시이미노)-1-시클로펜테닐아세토니트릴, α-(이소프로필술포닐옥시이미노)-1-시클로펜테닐아세토니트릴, α-(n-부틸술포닐옥시이미노)-1-시클로펜테닐아세토니트릴, α-(에틸술포닐옥시이미노)-1-시클로헥세닐아세토니트릴, α-(이소프로필술포닐옥시이미노)-1-시클로헥세닐아세토니트릴, α-(n-부틸술포닐옥시이미노)-1-시클로헥세닐아세토니트릴 등을 들 수 있다.Oximesulfonate α- (p-toluenesulfonyloxyimino) -phenylacetonitrile, which is described in Japanese Patent Laid-Open No. 9-95479, Japanese Patent Laid-Open No. 9-230588, or as a prior art in the text; α- (p-chlorobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitrobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitro-2-trifluoromethylbenzenesulfonyl Oxyimino) -phenylacetonitrile, α- (benzenesulfonyloxyimino) -4-chlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,4-dichlorophenylacetonitrile, α- (benzenesulfonyl Oxyimino) -2,6-dichlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (2-chlorobenzenesulfonyloxyimino) -4-methoxyphenylaceto Nitrile, α- (benzenesulfonyloxyimino) -2-thienylacetonitrile, α- (4-dodecylbenzenesulfonyloxyimino) -phenylacetonitrile , α-[(4-toluenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α-[(dodecylbenzenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α- (tosyloxy Mino) -3-thienylacetonitrile, α- (methylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (iso Propylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclohexenyl Acetonitrile, (alpha)-(isopropylsulfonyloxyimino) -1-cyclohexenyl acetonitrile, (alpha)-(n-butylsulfonyloxyimino) -1-cyclohexenyl acetonitrile, etc. are mentioned.

하기 화학식으로 표시되는 옥심술포네이트(예를 들면 WO2004/074242에 구체적인 예가 기재됨)를 들 수 있다.An oxime sulfonate represented by the following formula (for example, specific examples are described in WO2004 / 074242).

Figure 112007083610751-pat00053
Figure 112007083610751-pat00053

(상기 식 중, RS1은 치환 또는 비치환된 탄소수 1 내지 10의 할로알킬술포닐 또는 할로벤젠술포닐기를 나타낸다. RS2는 탄소수 1 내지 11의 할로알킬기를 나타낸다. ArS1은 치환 또는 비치환된 방향족기 또는 헤테로 방향족기를 나타낸다.)( Wherein R S1 represents a substituted or unsubstituted haloalkylsulfonyl or halobenzenesulfonyl group. R S2 represents a C1-C11 haloalkyl group. Ar S1 represents a substituted or unsubstituted. Aromatic groups or heteroaromatic groups.)

구체적으로는 2-[2,2,3,3,4,4,5,5-옥타플루오로-1-(노나플루오로부틸술포닐옥시이미노)-펜틸]-플루오렌, 2-[2,2,3,3,4,4-펜타플루오로-1-(노나플루오로부틸술포닐옥시이미노)-부틸]-플루오렌, 2-[2,2,3,3,4,4,5,5,6,6-데카플루오로-1-(노나플루오로부틸술포닐옥시이미노)-헥실]-플루오렌, 2-[2,2,3,3,4,4,5,5-옥타플루오로-1-(노나플루오로부틸술포닐옥시이미노)-펜틸]-4-비페닐, 2-[2,2,3,3,4,4-펜타플루오로-1-(노나플루오로부틸술포닐옥시이미노)-부틸]-4-비페닐, 2-[2,2,3,3,4,4,5,5,6,6-데카플루오로-1-(노나플루오로부틸술포닐옥시이미노)-헥실]-4-비페닐 등을 들 수 있다.Specifically 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2, 2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5, 5,6,6-Decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene, 2- [2,2,3,3,4,4,5,5-octafluoro Rho-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -4-biphenyl, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulphur) Ponyloxyimino) -butyl] -4-biphenyl, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonnafluorobutylsulfonyloxyi Mino) -hexyl] -4-biphenyl etc. are mentioned.

또한, 비스옥심술포네이트로서 일본 특허 공개 (평)9-208554호 공보에 기재된 화합물, 특히 비스(α-(4-톨루엔술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(벤젠술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(메탄술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(부탄술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(10-캄포술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(4-톨루엔술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(트리플루오 로메탄술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(4-메톡시벤젠술포닐옥시)이미노)-p-페닐렌디아세토니트릴, 비스(α-(4-톨루엔술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(벤젠술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(메탄술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(부탄술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(10-캄포술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(4-톨루엔술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(트리플루오로메탄술포닐옥시)이미노)-m-페닐렌디아세토니트릴, 비스(α-(4-메톡시벤젠술포닐옥시)이미노)-m-페닐렌디아세토니트릴 등을 들 수 있다. Moreover, as bisoxime sulfonate, the compound of Unexamined-Japanese-Patent No. 9-208554, especially bis ((alpha)-(4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis ( α- (benzenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (butanesulfonyl Oxy) imino) -p-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) Imino) -p-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-methoxybenzenesulfonyloxy) Imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino)- m-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -m-phenyl Diacetonitrile, bis (α- (butanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) imino) -m-phenylenediacetonitrile, Bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis ((alpha)-(4-methoxybenzenesulfonyloxy) imino) -m-phenylenediacetonitrile etc. are mentioned.

그 중에서도 바람직하게 사용되는 광산 발생제로서는 술포늄염, 비스술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트, 글리옥심 유도체이다. 보다 바람직하게 사용되는 광산 발생제로서는 술포늄염, 비스술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트이다. 구체적으로는 트리페닐술포늄 p-톨루엔술포네이트, 트리페닐술포늄 캄포술포네이트, 트리페닐술포늄 펜타플루오로벤젠술포네이트, 트리페닐술포늄 노나플루오로부탄술포네이트, 트리페닐술포늄 4-(4'-톨루엔술포닐옥시)벤젠술포네이트, 트리페닐술포늄-2,4,6-트리이소프로필벤젠술포네이트, 4-tert-부톡시페닐디페닐술포늄 p-톨루엔술포네이트, 4-tert-부톡시페닐디페닐술포늄 캄포술포네이트, 4-tert-부톡시페닐디페닐술포늄 4-(4'-톨루엔술포닐옥시)벤젠술포네이트, 트리스(4-메틸페닐)술포늄 캄포술포네이트, 트리스(4-tert 부틸페닐)술포늄 캄포술포네이트, 4-tert-부틸페닐디페닐술포늄 캄포술포네이트, 4-tert-부틸페닐디페닐술포늄 노나플루오로-1-부탄술포네이트, 4-tert-부틸페닐디페닐술포 늄 펜타플루오로에틸퍼플루오로시클로헥산술포네이트, 4-tert-부틸페닐디페닐술포늄 퍼플루오로-1-옥탄술포네이트, 트리페닐술포늄 1,1-디플루오로-2-나프틸-에탄술포네이트, 트리페닐술포늄 1,1,2,2-테트라플루오로-2-(노르보르난-2-일)에탄술포네이트, 비스(tert-부틸술포닐)디아조메탄, 비스(시클로헥실술포닐)디아조메탄, 비스(2,4-디메틸페닐술포닐)디아조메탄, 비스(4-n-헥실옥시)페닐술포닐)디아조메탄, 비스(2-메틸-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(2,5-디메틸-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(3,5-디메틸-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(2-메틸-5-이소프로필-4-(n-헥실옥시)페닐술포닐)디아조메탄, 비스(4-tert-부틸페닐술포닐)디아조메탄, N-캄포술포닐옥시-5-노르보르넨-2,3-디카르복실산이미드, N-p-톨루엔술포닐옥시-5-노르보르넨-2,3-디카르복실산이미드, 2-[2,2,3,3,4,4,5,5-옥타플루오로-1-(노나플루오로부틸술포닐옥시이미노)-펜틸]-플루오렌, 2-[2,2,3,3,4,4-펜타플루오로-1-(노나플루오로부틸술포닐옥시이미노)-부틸]-플루오렌, 2-[2,2,3,3,4,4,5,5,6,6-데카플루오로-1-(노나플루오로부틸술포닐옥시이미노)-헥실]-플루오렌 등을 들 수 있다.Among them, preferred photoacid generators include sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimide, oxime-O-sulfonates, and glyoxime derivatives. More preferable photoacid generators are sulfonium salt, bissulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate. Specifically, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4- ( 4'-toluenesulfonyloxy) benzenesulfonate, triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, 4-tert -Butoxyphenyldiphenylsulfonium camphorsulfonate, 4-tert-butoxyphenyldiphenylsulfonium 4- (4'-toluenesulfonyloxy) benzenesulfonate, tris (4-methylphenyl) sulfonium camphorsulfonate, Tris (4-tert butylphenyl) sulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium nonafluoro-1-butanesulfonate, 4- tert-Butylphenyldiphenylsulfonium pentafluoroethylperfluorocyclohexanesulfonate, 4-tert-part Tylphenyldiphenylsulfonium perfluoro-1-octanesulfonate, triphenylsulfonium 1,1-difluoro-2-naphthyl-ethanesulfonate, triphenylsulfonium 1,1,2,2-tetra Fluoro-2- (norbornan-2-yl) ethanesulfonate, bis (tert-butylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (2,4-dimethylphenylsul Ponyyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2 , 5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2 -Methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (4-tert-butylphenylsulfonyl) diazomethane, N-camphorsulfonyloxy-5-nor Bornen-2,3-dicarboxylic acidimide, Np-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic acidimide, 2- [2,2,3,3,4,4 , 5,5-octafluoro-1- (no Fluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl]- Fluorene, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene Can be mentioned.

본 발명의 레지스트 재료에서의 광산 발생제(B) 및 (B')의 첨가량은 얼마이어도 좋지만, 레지스트 재료 중 기재 중합체(본 발명의 수지 성분(A) 및 필요에 따라서 그 밖의 수지 성분) 100 질량부에 대하여 0.1 내지 40 질량부, 바람직하게는 0.1 내지 20 질량부이다. 광산 발생제의 비율이 너무 많은 경우에는 해상성의 열화나, 현상/레지스트 박리시 이물질의 문제가 발생할 가능성이 있다. (B)와 (B')의 배합 비율에 대해서는, 각각의 첨가량을 [B] 및 [B']라 한 경우, 바람직하게는 0.1≤[B]/([B]+[B'])≤1이고, 보다 바람직하게는 0.3≤[B]/([B]+[B'])≤1이고, 더욱 바람직하게는 0.5≤[B]/([B]+[B'])≤1이다. 광산 발생제(B)의 배합 비율이 너무 낮으면, 노광량 의존성, 소밀 의존성, 마스크 충실성이 열화되는 경우가 있다. 또한, 상기 광산 발생제(B) 및 (B')는 단독으로 또는 2종 이상 혼합하여 사용할 수도 있다. 또한, 노광 파장에 있어서의 투과율이 낮은 광산 발생제를 이용하여, 그의 첨가량으로 레지스트막 중의 투과율을 제어할 수도 있다. Although the addition amount of the photo-acid generator (B) and (B ') in the resist material of this invention may be sufficient, 100 mass of base polymers (resin component (A) of this invention, and other resin components as needed) in a resist material. 0.1-40 mass parts with respect to a part, Preferably it is 0.1-20 mass parts. If the ratio of the photo-acid generator is too high, there is a possibility of deterioration of resolution and problems of foreign matters during development / resist stripping. About the compounding ratio of (B) and (B '), when each addition amount is set to [B] and [B'], Preferably 0.1 <= [B] / ([B] + [B ']) <= 1, more preferably 0.3 ≦ [B] / ([B] + [B ']) ≦ 1, and still more preferably 0.5 ≦ [B] / ([B] + [B']) ≦ 1. . If the blending ratio of the photoacid generator (B) is too low, the exposure dose dependence, roughness dependence and mask fidelity may be deteriorated. In addition, the said photo-acid generator (B) and (B ') can also be used individually or in mixture of 2 or more types. Moreover, the transmittance | permeability in a resist film can also be controlled with the addition amount using the photo-acid generator with low transmittance | permeability in an exposure wavelength.

또한, 본 발명의 레지스트 재료에, 산에 의해 분해하여 산을 발생하는 화합물(산 증식 화합물)을 첨가할 수도 있다. 이들 화합물에 대해서는 문헌[J. Photopolym. Sci. and Tech., 8. 43-44, 45-46(1995)], [J. Photopolym. Sci. and Tech., 9. 29-30(1996)]에 기재되어 있다.Moreover, the compound (acid propagation compound) which decomposes | dissolves with an acid and produces | generates an acid can also be added to the resist material of this invention. For these compounds, see J. Photopolym. Sci. and Tech., 8. 43-44, 45-46 (1995)], [J. Photopolym. Sci. and Tech., 9. 29-30 (1996).

산 증식 화합물의 예로서는 tert-부틸-2-메틸-2-토실옥시메틸아세토아세테이트, 2-페닐-2-(2-토실옥시에틸)-1,3-디옥솔란 등을 들 수 있지만, 이것으로 한정되는 것은 아니다. 공지된 광산 발생제 중에서 안정성, 특히 열 안정성이 열악한 화합물은 산 증식 화합물적인 성질을 나타내는 경우가 많다.Examples of the acid propagation compound include tert-butyl-2-methyl-2-tosyloxymethylacetoacetate, 2-phenyl-2- (2-tosyloxyethyl) -1,3-dioxolane, and the like. It doesn't happen. Among known photoacid generators, compounds having poor stability, particularly thermal stability, often exhibit acid propagation compounding properties.

본 발명의 레지스트 재료에서의 산 증식 화합물의 첨가량으로서는, 레지스트 재료 중 기재 중합체 100 질량부에 대하여 0 내지 2 질량부, 바람직하게는 0 내지 1 질량부이다. 첨가량이 너무 많은 경우에는 확산의 제어가 어려워 해상성의 열화, 패턴 형상의 열화가 발생한다.As addition amount of the acid propagation compound in the resist material of this invention, it is 0-2 mass parts with respect to 100 mass parts of base polymers in a resist material, Preferably it is 0-1 mass part. When the addition amount is too large, it is difficult to control diffusion, resulting in deterioration of resolution and deterioration of pattern shape.

본 발명의 레지스트 재료는 상기 (A) 및 (B) 성분에 부가적으로 (C) 유기 용제를 함유하고, 또한 필요에 따라서 (D) 질소 함유 유기 화합물, (E) 계면활성제, (F) 그 밖의 성분을 함유할 수 있다.The resist material of this invention contains (C) organic solvent in addition to the said (A) and (B) component, and if necessary, (D) nitrogen-containing organic compound, (E) surfactant, (F) It may contain an external component.

본 발명에서 사용되는 (C) 성분인 유기 용제로서는, 기재 수지, 산 발생제, 그 밖의 첨가제 등이 용해 가능한 유기 용제라면 어느 것일 수도 있다. 이러한 유기 용제로서는, 예를 들면 시클로헥사논, 메틸아밀케톤 등의 케톤류, 3-메톡시부탄올, 3-메틸-3-메톡시부탄올, 1-메톡시-2-프로판올, 1-에톡시-2-프로판올 등의 알코올류, 프로필렌글리콜 모노메틸에테르, 에틸렌글리콜 모노메틸에테르, 프로필렌글리콜 모노에틸에테르, 에틸렌글리콜 모노에틸에테르, 프로필렌글리콜 디메틸에테르, 디에틸렌글리콜 디메틸에테르 등의 에테르류, 프로필렌글리콜 모노메틸에테르 아세테이트, 프로필렌글리콜 모노에틸에테르 아세테이트, 락트산에틸, 피루브산에틸, 아세트산부틸, 3-메톡시프로피온산메틸, 3-에톡시프로피온산에틸, 아세트산 tert-부틸, 프로피온산 tert-부틸, 프로필렌글리콜 모노 tert-부틸에테르 아세테이트 등의 에스테르류, γ-부티로락톤 등의 락톤류를 들 수 있고, 이들 1종을 단독으로 또는 2종 이상을 혼합하여 사용할 수 있지만, 이것으로 한정되는 것은 아니다. 본 발명에서는 이들 유기 용제 중에서도 레지스트 성분 중의 산 발생제의 용해성이 가장 우수한 디에틸렌글리콜 디메틸에테르나 1-에톡시-2-프로판올, 프로필렌글리콜 모노메틸에테르 아세테이트 및 그의 혼합 용제가 바람직하게 사용된다.As an organic solvent which is (C) component used by this invention, any may be sufficient as it is an organic solvent in which base resin, an acid generator, another additive, etc. can melt | dissolve. As such an organic solvent, for example, ketones such as cyclohexanone and methyl amyl ketone, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2 Alcohols such as propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether and the like, propylene glycol monomethyl Ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether Esters, such as acetate, and lactones, such as (gamma) -butyrolactone, These can be mentioned individually or 2 types It can be used by mixing phase, but is not limited to this. In the present invention, among these organic solvents, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate and the mixed solvent having the highest solubility of the acid generator in the resist component are preferably used.

유기 용제의 사용량은 기재 중합체 100 질량부에 대하여 200 내지 3,000 질량부, 특히 400 내지 2,500 질량부가 바람직하다.As for the usage-amount of the organic solvent, 200-3,000 mass parts, especially 400-2,500 mass parts are preferable with respect to 100 mass parts of base polymers.

또한, 본 발명의 레지스트 재료에는 (D) 성분으로서 질소 함유 유기 화합물을 1종 또는 2종 이상 배합할 수 있다. Moreover, 1 type (s) or 2 or more types can be mix | blended with the resist material of this invention as (D) component.

질소 함유 유기 화합물로서는, 산 발생제로부터 발생하는 산이 레지스트막 중에 확산될 때의 확산 속도를 억제할 수 있는 화합물이 적합하다. 질소 함유 유기 화합물의 배합에 의해 레지스트막 중에서의 산의 확산 속도가 억제되어 해상도가 향상되고, 노광 후의 감도 변화를 억제하거나 기판이나 환경 의존성을 적게 하며, 노광 여유도나 패턴 프로파일 등을 향상시킬 수 있다.As the nitrogen-containing organic compound, a compound capable of suppressing the diffusion rate when the acid generated from the acid generator is diffused in the resist film is suitable. By blending the nitrogen-containing organic compound, the diffusion rate of the acid in the resist film is suppressed and the resolution is improved, the sensitivity change after exposure can be suppressed, or the substrate and the environmental dependence can be reduced, and the exposure margin and pattern profile can be improved. .

이러한 질소 함유 유기 화합물로서는, 종래부터 레지스트 재료, 특히 화학 증폭 레지스트 재료에서 종래부터 이용되고 있는 공지된 어느 질소 함유 유기 화합물이어도 좋고, 예시하면 1급, 2급, 3급 지방족 아민류, 혼성 아민류, 방향족 아민류, 복소환 아민류, 카르복시기를 갖는 질소 함유 화합물, 술포닐기를 갖는 질소 함유 화합물, 수산기를 갖는 질소 함유 화합물, 히드록시페닐기를 갖는 질소 함유 화합물, 알코올성 질소 함유 화합물, 아미드류, 이미드류, 카바메이트류 등을 들 수 있다.As such a nitrogen-containing organic compound, any known nitrogen-containing organic compound conventionally used conventionally in a resist material, especially a chemically amplified resist material may be used, for example primary, secondary, tertiary aliphatic amines, hybrid amines, and aromatics. Amines, heterocyclic amines, nitrogen-containing compounds having a carboxyl group, nitrogen-containing compounds having a sulfonyl group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamate And the like.

구체적으로는 1급 지방족 아민류로서 암모니아, 메틸아민, 에틸아민, n-프로필아민, 이소프로필아민, n-부틸아민, 이소부틸아민, sec-부틸아민, tert-부틸아민, 펜틸아민, tert-아밀아민, 시클로펜틸아민, 헥실아민, 시클로헥실아민, 헵틸아민, 옥틸아민, 노닐아민, 데실아민, 도데실아민, 세틸아민, 메틸렌디아민, 에틸렌디아민, 테트라에틸렌펜타민 등이 예시되고, 2급 지방족 아민류로서 디메틸아민, 디에틸아민, 디-n-프로필아민, 디이소프로필아민, 디-n-부틸아민, 디이소부틸아민, 디-sec-부틸아민, 디펜틸아민, 디시클로펜틸아민, 디헥실아민, 디시클로헥실아민, 디헵틸아민, 디옥틸아민, 디노닐아민, 디데실아민, 디도데실아민, 디세틸아민, N,N-디메틸메틸렌디아민, N,N-디메틸에틸렌디아민, N,N-디메틸테트라에틸렌펜타민 등이 예시되고, 3급 지방족 아민류로서 트리메틸아민, 트리에틸아민, 트리-n-프로필아민, 트리이소프로필아민, 트리-n-부틸아민, 트리이소부틸아민, 트리-sec-부틸아민, 트리펜틸아민, 트리시클로펜틸아민, 트리헥실아민, 트리시클로헥실아민, 트리헵틸아민, 트리옥틸아민, 트리노닐아민, 트리데실아민, 트리도데실아민, 트리세틸아민, N,N,N',N'-테트라메틸메틸렌디아민, N,N,N',N'-테트라메틸에틸렌디아민, N,N,N',N'-테트라메틸테트라에틸렌펜타민 등이 예시된다.Specifically, as the primary aliphatic amines, ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert-amyl Amine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, tetraethylenepentamine and the like are exemplified, and secondary aliphatic As amines, dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, dicyclopentylamine, di Hexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N, N-dimethylmethylenediamine, N, N-dimethylethylenediamine, N, N-dimethyl tetraethylene pentamine etc. are illustrated, and tertiary aliphatic amines are mentioned. West trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, tripentylamine, tricyclopentylamine, trihexyl Amines, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, tricetylamine, N, N, N ', N'-tetramethylmethylenediamine, N, N , N ', N'-tetramethylethylenediamine, N, N, N', N'-tetramethyltetraethylenepentamine and the like are exemplified.

또한, 혼성 아민류로서는, 예를 들면 디메틸에틸아민, 메틸에틸프로필아민, 벤질아민, 페네틸아민, 벤질디메틸아민 등이 예시된다. 방향족 아민류 및 복소환 아민류의 구체적인 예로서는, 아닐린 유도체(예를 들면 아닐린, N-메틸아닐린, N-에틸아닐린, N-프로필아닐린, N,N-디메틸아닐린, 2-메틸아닐린, 3-메틸아닐린, 4-메틸아닐린, 에틸아닐린, 프로필아닐린, 트리메틸아닐린, 2-니트로아닐린, 3-니트로아닐린, 4-니트로아닐린, 2,4-디니트로아닐린, 2,6-디니트로아닐린, 3,5-디니트로아닐린, N,N-디메틸톨루이딘 등), 디페닐(p-톨릴)아민, 메틸디페닐아민, 트리페닐아민, 페닐렌디아민, 나프틸아민, 디아미노나프탈렌, 피롤 유도체(예를 들면 피롤, 2H-피롤, 1-메틸피롤, 2,4-디메틸피롤, 2,5-디메틸피롤, N-메틸피롤 등), 옥사졸 유도체(예를 들면 옥사졸, 이소옥사졸 등), 티아졸 유도체(예를 들면 티아졸, 이소티아졸 등), 이미다졸 유도체(예를 들면 이미다졸, 4-메틸이미다졸, 4-메틸-2-페닐이미다졸 등), 피라졸 유도체, 푸라잔 유도체, 피롤린 유도체(예를 들면 피롤린, 2-메틸-1-피롤린 등), 피롤리딘 유도체(예를 들면 피롤리딘, N-메틸피롤리딘, 피롤리디논, N-메틸피롤리돈 등), 이미다졸린 유도체, 이미다졸리딘 유도체, 피리딘 유도체(예를 들면 피리딘, 메틸피리딘, 에틸피리딘, 프로필피리딘, 부틸피리딘, 4-(1-부틸펜틸)피리딘, 디메틸피리딘, 트리메틸피리딘, 트리에틸피리딘, 페닐피리딘, 3-메틸-2-페닐피리딘, 4-tert-부틸피리딘, 디페닐피리딘, 벤질피리딘, 메톡시피리딘, 부톡시피리딘, 디메톡시피리딘, 4-피롤리디노피리딘, 2-(1-에틸프로필)피리딘, 아미노피리딘, 디메틸아미노피리딘 등), 피리다진 유도체, 피리미딘 유도체, 피라진 유도체, 피라졸린 유도체, 피라졸리딘 유도체, 피페리딘 유도체, 피페라진 유도체, 모르폴린 유도체, 인돌 유도체, 이소인돌 유도체, 1H-인다졸 유도체, 인돌린 유도체, 퀴놀린 유도체(예를 들면 퀴놀린, 3-퀴놀린카르보니트릴 등), 이소퀴놀린 유도체, 신놀린 유도체, 퀴나졸린 유도체, 퀴녹살린 유도체, 프탈라진 유도체, 푸린 유도체, 프테리딘 유도체, 카르바졸 유도체, 페난트리딘 유도체, 아크리딘 유도체, 페나진 유도체, 1,10-페난트롤린 유도체, 아데닌 유도체, 아데노신 유도체, 구아닌 유도체, 구아노신 유도체, 우라실 유도체, 우리딘 유도체 등이 예시된다.In addition, examples of the mixed amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, benzyldimethylamine, and the like. Specific examples of aromatic amines and heterocyclic amines include aniline derivatives (for example, aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3-methylaniline, 4-methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5-di Nitroaniline, N, N-dimethyltoluidine, etc.), diphenyl (p-tolyl) amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (e.g. pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dimethylpyrrole, 2,5-dimethylpyrrole, N-methylpyrrole and the like), oxazole derivatives (e.g. oxazole, isoxazole, etc.), thiazole derivatives ( For example, thiazole, isothiazole, etc., imidazole derivatives (for example, imidazole, 4-methylimidazole, 4-methyl-2-phenylimidazole) ), Pyrazole derivatives, furazane derivatives, pyrroline derivatives (e.g. pyrroline, 2-methyl-1-pyrroline, etc.), pyrrolidine derivatives (e.g. pyrrolidine, N-methylpyrrolidine, Pyrrolidinone, N-methylpyrrolidone, etc.), imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (e.g. pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4- (1-butyl) Pentyl) pyridine, dimethylpyridine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxy Pyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine and the like), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperi Dean derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, Smallindole derivatives, 1H-indazole derivatives, indolin derivatives, quinoline derivatives (e.g., quinoline, 3-quinolinecarbonitrile, etc.), isoquinoline derivatives, cinnoline derivatives, quinazoline derivatives, quinoxaline derivatives, phthalazine derivatives , Purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil Derivatives, uridine derivatives and the like.

또한, 카르복시기를 갖는 질소 함유 화합물로서는, 예를 들면 아미노벤조산, 인돌카르복실산, 아미노산 유도체(예를 들면 니코틴산, 알라닌, 아르기닌, 아스파라긴산, 글루탐산, 글리신, 히스티딘, 이소로이신, 글리실로이신, 로이신, 메티오닌, 페닐알라닌, 트레오닌, 리신, 3-아미노피라진-2-카르복실산, 메톡시알라닌) 등이 예시되고, 술포닐기를 갖는 질소 함유 화합물로서 3-피리딘술폰산, p-톨루엔술폰산피리디늄 등이 예시되고, 수산기를 갖는 질소 함유 화합물, 히드록시페닐기를 갖는 질소 함유 화합물, 알코올성 질소 함유 화합물로서는 2-히드록시피리딘, 아미 노크레졸, 2,4-퀴놀린디올, 3-인돌메탄올히드레이트, 모노에탄올아민, 디에탄올아민, 트리에탄올아민, N-에틸디에탄올아민, N,N-디에틸에탄올아민, 트리이소프로판올아민, 2,2'-이미노디에탄올, 2-아미노에탄올, 3-아미노-1-프로판올, 4-아미노-1-부탄올, 4-(2-히드록시에틸)모르폴린, 2-(2-히드록시에틸)피리딘, 1-(2-히드록시에틸)피페라진, 1-[2-(2-히드록시에톡시)에틸]피페라진, 피페리딘에탄올, 1-(2-히드록시에틸)피롤리딘, 1-(2-히드록시에틸)-2-피롤리디논, 3-피페리디노-1,2-프로판디올, 3-피롤리디노-1,2-프로판디올, 8-히드록시유롤리딘, 3-퀴누클리딘올, 3-트로판올, 1-메틸-2-피롤리딘에탄올, 1-아지리딘에탄올, N-(2-히드록시에틸)프탈이미드, N-(2-히드록시에틸)이소니코틴아미드 등이 예시된다. 아미드류로서는 포름아미드, N-메틸포름아미드, N,N-디메틸포름아미드, 아세트아미드, N-메틸아세트아미드, N,N-디메틸아세트아미드, 프로피온아미드, 벤즈아미드, 1-시클로헥실피롤리돈 등이 예시된다. 이미드류로서는 프탈이미드, 숙신이미드, 말레이미드 등이 예시된다. 카바메이트류로서는 N-t-부톡시카르보닐-N,N-디시클로헥실아민, N-t-부톡시카르보닐벤즈이미다졸, 옥사졸리디논 등이 예시된다. As the nitrogen-containing compound having a carboxyl group, for example, aminobenzoic acid, indolecarboxylic acid, amino acid derivatives (for example, nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylosin, leucine, Methionine, phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxyalanine), and the like, and examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridine sulfonic acid, p-toluene sulfonic acid pyridinium, and the like. As the nitrogen-containing compound having a hydroxyl group, the nitrogen-containing compound having a hydroxyphenyl group, and the alcoholic nitrogen-containing compound, 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, 3-indolmethanol hydrate, monoethanolamine , Diethanolamine, triethanolamine, N-ethyl diethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-imino diethan , 2-aminoethanol, 3-amino-1-propanol, 4-amino-1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2- Hydroxyethyl) piperazine, 1- [2- (2-hydroxyethoxy) ethyl] piperazine, piperidineethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- (2-hydroxy Ethyl) -2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propanediol, 8-hydroxyurolidine, 3-quinuclidinol, 3 -Tropanol, 1-methyl-2-pyrrolidineethanol, 1-aziridineethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide, etc. are illustrated. . As the amides, formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, 1-cyclohexylpyrrolidone Etc. are illustrated. Phthalimide, succinimide, maleimide, etc. are illustrated as imides. Examples of carbamates include N-t-butoxycarbonyl-N, N-dicyclohexylamine, N-t-butoxycarbonylbenzimidazole, oxazolidinone and the like.

또한, 하기 화학식(B)-1로 표시되는 질소 함유 유기 화합물이 예시된다. Moreover, the nitrogen containing organic compound represented by following General formula (B) -1 is illustrated.

Figure 112007083610751-pat00054
Figure 112007083610751-pat00054

(상기 식 중, n=1, 2 또는 3이다. 측쇄 X는 동일하거나 상이할 수도 있고, 하기 화학식(X1) 내지 (X3)으로 표시할 수 있다. (In the formula, n = 1, 2 or 3. The side chain X may be the same or different and may be represented by the following formulas (X1) to (X3).

Figure 112007083610751-pat00055
Figure 112007083610751-pat00055

측쇄 Y는 동일하거나 또는 상이한, 수소 원자, 또는 직쇄상, 분지상 또는 환상의 탄소수 1 내지 20의 알킬기를 나타내고, 에테르기 또는 히드록실기를 포함할 수도 있다. 또한, X끼리 결합하여 환을 형성할 수도 있다.)The side chain Y represents the same or different hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may include an ether group or a hydroxyl group. In addition, Xs may be bonded to each other to form a ring.)

여기서, R300, R302, R305는 탄소수 1 내지 4의 직쇄상 또는 분지상 알킬렌기이고, R301, R304는 수소 원자, 또는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상 알킬기이고, 히드록시기, 에테르기, 에스테르기, 락톤환을 1개 또는 복수개 포함할 수도 있다. Here, R 300 , R 302 and R 305 are linear or branched alkylene groups having 1 to 4 carbon atoms, R 301 and R 304 are hydrogen atoms or linear, branched or cyclic alkyl groups having 1 to 20 carbon atoms, One or more hydroxy group, ether group, ester group, and lactone ring may be included.

R303은 단일 결합, 또는 탄소수 1 내지 4의 직쇄상 또는 분지상 알킬렌기이고, R306은 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상 알킬기이고, 히드록시기, 에테르기, 에스테르기, 락톤환을 1개 또는 복수개 포함할 수도 있다.R 303 is a single bond or a straight or branched alkylene group having 1 to 4 carbon atoms, R 306 is a straight, branched or cyclic alkyl group having 1 to 20 carbon atoms, and a hydroxy group, ether group, ester group, or lactone ring One or more may be included.

화학식(B)-1로 표시되는 화합물로서 구체적으로는, 트리스(2-메톡시메톡시에틸)아민, 트리스{2-(2-메톡시에톡시)에틸}아민, 트리스{2-(2-메톡시에톡시메톡시)에틸}아민, 트리스{2-(1-메톡시에톡시)에틸}아민, 트리스{2-(1-에톡시에톡시)에틸}아민, 트리스{2-(1-에톡시프로폭시)에틸}아민, 트리스[2-{2-(2-히드록시에톡시)에톡시}에틸]아민, 4,7,13,16,21,24-헥사옥사-1,10-디아자비시클로[8.8.8]헥사코산, 4,7,13,18-테트라옥사-1,10-디아자비시클로[8.5.5]에이코산, 1,4,10,13-테트라옥사-7,16-디아자비시클로옥타데칸, 1-아자-12-크라운-4, 1-아자-15-크라운-5, 1-아자-18-크라운-6, 트리스(2-포르밀옥시에틸)아민, 트리스(2-아세톡시에틸)아민, 트리스(2-프로피오닐옥시에틸)아민, 트리스(2-부티릴옥시에틸)아민, 트리스(2-이소부티릴옥시에틸)아민, 트리스(2-발레릴옥시에틸)아민, 트리스(2-피발로일옥시옥시에틸)아민, N,N-비스(2-아세톡시에틸) 2-(아세톡시아세톡시)에틸아민, 트리스(2-메톡시카르보닐옥시에틸)아민, 트리스(2-tert-부톡시카르보닐옥시에틸)아민, 트리스[2-(2-옥소프로폭시)에틸]아민, 트리스[2-(메톡시카르보닐메틸)옥시에틸]아민, 트리스[2-(tert-부톡시카르보닐메틸옥시)에틸]아민, 트리스[2-(시클로헥실옥시카르보닐메틸옥시)에틸]아민, 트리스(2-메톡시카르보닐에틸)아민, 트리스(2-에톡시카르보닐에틸)아민, N,N-비스(2-히드록시에틸) 2-(메톡시카르보닐)에틸아민, N,N-비스(2-아세톡시에틸) 2-(메톡시카르보닐)에틸아민, N,N-비스(2-히드록시에틸) 2-(에톡시카르보닐)에틸아민, N,N-비스(2-아세톡시에틸) 2-(에톡시카르보닐)에틸아민, N,N-비스(2-히드록시에틸) 2-(2-메톡시에톡시카르보닐)에틸아민, N,N-비스(2-아세톡시에틸) 2-(2-메톡시에톡시카르보닐)에틸아민, N,N-비스(2-히드록시에틸) 2-(2-히드록시에톡시카르보닐)에틸아민, N,N-비스(2-아세톡시에틸) 2-(2-아세톡시에톡시카르보닐)에틸아민, N,N-비스(2-히드록시에틸) 2-[(메톡시카르보닐)메톡시카르보닐]에틸아민, N,N-비스(2-아세톡시에틸) 2-[(메톡시카르보닐)메톡시카르보닐]에틸아민, N,N-비스(2-히드록시에틸) 2-(2-옥소프로폭시카르보닐)에틸아민, N,N-비스(2-아세톡시에틸) 2-(2-옥소프로폭시카르보닐)에틸아민, N,N-비스(2-히드록시에틸) 2-(테 트라히드로푸르푸릴옥시카르보닐)에틸아민, N,N-비스(2-아세톡시에틸) 2-(테트라히드로푸르푸릴옥시카르보닐)에틸아민, N,N-비스(2-히드록시에틸) 2-[(2-옥소테트라히드로푸란-3-일)옥시카르보닐]에틸아민, N,N-비스(2-아세톡시에틸) 2-[(2-옥소테트라히드로푸란-3-일)옥시카르보닐]에틸아민, N,N-비스(2-히드록시에틸) 2-(4-히드록시부톡시카르보닐)에틸아민, N,N-비스(2-포르밀옥시에틸) 2-(4-포르밀옥시부톡시카르보닐)에틸아민, N,N-비스(2-포르밀옥시에틸) 2-(2-포르밀옥시에톡시카르보닐)에틸아민, N,N-비스(2-메톡시에틸) 2-(메톡시카르보닐)에틸아민, N-(2-히드록시에틸)비스[2-(메톡시카르보닐)에틸]아민, N-(2-아세톡시에틸)비스[2-(메톡시카르보닐)에틸]아민, N-(2-히드록시에틸)비스[2-(에톡시카르보닐)에틸]아민, N-(2-아세톡시에틸)비스[2-(에톡시카르보닐)에틸]아민, N-(3-히드록시-1-프로필)비스[2-(메톡시카르보닐)에틸]아민, N-(3-아세톡시-1-프로필)비스[2-(메톡시카르보닐)에틸]아민, N-(2-메톡시에틸)비스[2-(메톡시카르보닐)에틸]아민, N-부틸비스[2-(메톡시카르보닐)에틸]아민, N-부틸비스[2-(2-메톡시에톡시카르보닐)에틸]아민, N-메틸비스(2-아세톡시에틸)아민, N-에틸비스(2-아세톡시에틸)아민, N-메틸비스(2-피발로일옥시에틸)아민, N-에틸비스[2-(메톡시카르보닐옥시)에틸]아민, N-에틸비스[2-(tert-부톡시카르보닐옥시)에틸]아민, 트리스(메톡시카르보닐메틸)아민, 트리스(에톡시카르보닐메틸)아민, N-부틸비스(메톡시카르보닐메틸)아민, N-헥실비스(메톡시카르보닐메틸)아민, β-(디에틸아미노)-δ-발레로락톤이 예시된다. Specific examples of the compound represented by the formula (B) -1 include tris (2-methoxymethoxyethyl) amine, tris {2- (2-methoxyethoxy) ethyl} amine, and tris {2- (2- Methoxyethoxymethoxy) ethyl} amine, tris {2- (1-methoxyethoxy) ethyl} amine, tris {2- (1-ethoxyethoxy) ethyl} amine, tris {2- (1- Ethoxypropoxy) ethyl} amine, tris [2- {2- (2-hydroxyethoxy) ethoxy} ethyl] amine, 4,7,13,16,21,24-hexaoxa-1,10- Diazabicyclo [8.8.8] hexacoic acid, 4,7,13,18-tetraoxa-1,10-diazabicyclo [8.5.5] eichoic acid, 1,4,10,13-tetraoxa-7, 16-diazabicyclooctadecane, 1-aza-12-crown-4, 1-aza-15-crown-5, 1-aza-18-crown-6, tris (2-formyloxyethyl) amine, tris (2-acetoxyethyl) amine, tris (2-propionyloxyethyl) amine, tris (2-butyryloxyethyl) amine, tris (2-isobutyryloxyethyl) amine, tris (2- valeryloxy Ethyl) amine, tris (2- Valoyloxyoxyethyl) amine, N, N-bis (2-acetoxyethyl) 2- (acetoxyacetoxy) ethylamine, tris (2-methoxycarbonyloxyethyl) amine, tris (2-tert- Butoxycarbonyloxyethyl) amine, tris [2- (2-oxopropoxy) ethyl] amine, tris [2- (methoxycarbonylmethyl) oxyethyl] amine, tris [2- (tert-butoxycar Carbonylmethyloxy) ethyl] amine, tris [2- (cyclohexyloxycarbonylmethyloxy) ethyl] amine, tris (2-methoxycarbonylethyl) amine, tris (2-ethoxycarbonylethyl) amine, N, N-bis (2-hydroxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (methoxycarbonyl) ethylamine, N, N- Bis (2-hydroxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2- Hydroxyethyl) 2- (2-methoxyethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-methoxyethoxy Carbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-hydroxyethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (2- Acetoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl ) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis ( 2-acetoxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N -Bis (2-acetoxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) Oxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-hydrate Roxie Tyl) 2- (4-hydroxybutoxycarbonyl) ethylamine, N, N-bis (2-formyloxyethyl) 2- (4-formyloxybutoxycarbonyl) ethylamine, N, N- Bis (2-formyloxyethyl) 2- (2-formyloxyethoxycarbonyl) ethylamine, N, N-bis (2-methoxyethyl) 2- (methoxycarbonyl) ethylamine, N- (2-hydroxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-hydroxy Hydroxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (3-hydroxy-1- Propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (3-acetoxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-methoxyethyl ) Bis [2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (2-methoxyethoxycarbonyl) ethyl ] Amine, N-methylbis (2-acetoxyethyl) amine, N-ethylbis (2- Cetoxyethyl) amine, N-methylbis (2-pivaloyloxyethyl) amine, N-ethylbis [2- (methoxycarbonyloxy) ethyl] amine, N-ethylbis [2- (tert-part Methoxycarbonyloxy) ethyl] amine, tris (methoxycarbonylmethyl) amine, tris (ethoxycarbonylmethyl) amine, N-butylbis (methoxycarbonylmethyl) amine, N-hexylbis (methoxy Carbonylmethyl) amine, β- (diethylamino) -δ-valerolactone are exemplified.

또한, 하기 화학식(B)-2로 표시되는 환상 구조를 갖는 질소 함유 유기 화합물이 예시된다. Moreover, the nitrogen containing organic compound which has a cyclic structure represented by following General formula (B) -2 is illustrated.

Figure 112007083610751-pat00056
Figure 112007083610751-pat00056

(상기 식 중, X는 상술한 바와 같고, R307은 탄소수 2 내지 20의 직쇄상 또는 분지상 알킬렌기이고, 카르보닐기, 에테르기, 에스테르기 또는 술피드기를 1개 또는 복수개 포함할 수도 있다.)(Wherein, X is as described above, R 307 is a linear or branched alkylene group having 2 to 20 carbon atoms, and may include one or a plurality of carbonyl, ether, ester or sulfide groups.)

화학식(B)-2로서 구체적으로는 1-[2-(메톡시메톡시)에틸]피롤리딘, 1-[2-(메톡시메톡시)에틸]피페리딘, 4-[2-(메톡시메톡시)에틸]모르폴린, 1-[2-[(2-메톡시에톡시)메톡시]에틸]피롤리딘, 1-[2-[(2-메톡시에톡시)메톡시]에틸]피페리딘, 4-[2-[(2-메톡시에톡시)메톡시]에틸]모르폴린, 아세트산 2-(1-피롤리디닐)에틸, 아세트산 2-피페리디노에틸, 아세트산 2-모르폴리노에틸, 포름산 2-(1-피롤리디닐)에틸, 프로피온산 2-피페리디노에틸, 아세톡시아세트산 2-모르폴리노에틸, 메톡시아세트산 2-(1-피롤리디닐)에틸, 4-[2-(메톡시카르보닐옥시)에틸]모르폴린, 1-[2-(t-부톡시카르보닐옥시)에틸]피페리딘, 4-[2-(2-메톡시에톡시카르보닐옥시)에틸]모르폴린, 3-(1-피롤리디닐)프로피온산메틸, 3-피페리디노프로피온산메틸, 3-모르폴리노프로피온산메틸, 3-(티오모르폴리노)프로피온산메틸, 2-메틸-3-(1-피롤리디닐)프로피온산메틸, 3-모르폴리노프로피온산에틸, 3-피페리디노프로피온산 메톡시카르보닐메틸, 3-(1-피롤리디닐)프로피온산 2-히드록시에틸, 3-모르폴리노프로피온산 2-아세톡시에틸, 3-(1-피롤리디닐)프로피온산 2-옥소테트라히드로푸란-3-일, 3-모르폴리노프로피온산 테트라히드로푸르푸릴, 3-피페리디노프로피온산 글리시딜, 3-모르폴리노프로피온산 2-메톡시에틸, 3-(1-피롤리디닐)프로피온산 2-(2-메톡시에톡시)에 틸, 3-모르폴리노프로피온산부틸, 3-피페리디노프로피온산 시클로헥실, α-(1-피롤리디닐)메틸-γ-부티로락톤, β-피페리디노-γ-부티로락톤, β-모르폴리노-δ-발레로락톤, 1-피롤리디닐아세트산메틸, 피페리디노아세트산메틸, 모르폴리노아세트산메틸, 티오모르폴리노아세트산메틸, 1-피롤리디닐아세트산에틸, 모르폴리노아세트산 2-메톡시에틸, 2-메톡시아세트산 2-모르폴리노에틸, 2-(2-메톡시에톡시)아세트산 2-모르폴리노에틸, 2-[2-(2-메톡시에톡시)에톡시]아세트산 2-모르폴리노에틸, 헥산산 2-모르폴리노에틸, 옥탄산 2-모르폴리노에틸, 데칸산 2-모르폴리노에틸, 라우르산 2-모르폴리노에틸, 미리스트산 2-모르폴리노에틸, 팔미트산 2-모르폴리노에틸, 스테아르산 2-모르폴리노에틸이 예시된다. Specific examples of the formula (B) -2 include 1- [2- (methoxymethoxy) ethyl] pyrrolidine, 1- [2- (methoxymethoxy) ethyl] piperidine, 4- [2- ( Methoxymethoxy) ethyl] morpholine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] pyrrolidine, 1- [2-[(2-methoxyethoxy) methoxy] Ethyl] piperidine, 4- [2-[(2-methoxyethoxy) methoxy] ethyl] morpholine, 2- (1-pyrrolidinyl) ethyl acetate, 2-piperidinoethyl acetate, acetic acid 2 Morpholinoethyl, 2- (1-pyrrolidinyl) ethyl formic acid, 2-piperidinoethyl propionic acid, 2-morpholinoethyl, methoxyacetic acid 2- (1-pyrrolidinyl) ethyl, 4- [2- (methoxycarbonyloxy) ethyl] morpholine, 1- [2- (t-butoxycarbonyloxy) ethyl] piperidine, 4- [2- (2-methoxyethoxycar Bonyloxy) ethyl] morpholine, 3- (1-pyrrolidinyl) methyl propionate, 3-piperidinopropionate methyl, 3-morpholinopropionate methyl, 3- (thiomorpholino) propionate , 2-methyl-3- (1-pyrrolidinyl) methyl propionate, ethyl 3-morpholinopropionate, 3-piperidinopropionic acid methoxycarbonylmethyl, 3- (1-pyrrolidinyl) propionic acid 2-hydride Oxyethyl, 3-morpholinopropionic acid 2-acetoxyethyl, 3- (1-pyrrolidinyl) propionic acid 2-oxotetrahydrofuran-3-yl, 3-morpholinopropionic acid tetrahydrofurfuryl, 3-py Ferridinopropionic acid glycidyl, 3-morpholinopropionic acid 2-methoxyethyl, 3- (1-pyrrolidinyl) propionic acid 2- (2-methoxyethoxy) butyl, 3-morpholinopropionate butyl, 3-piperidinopropionic acid cyclohexyl, α- (1-pyrrolidinyl) methyl-γ-butyrolactone, β-piperidino-γ-butyrolactone, β-morpholino-δ-valerolactone, 1-pyrrolidinyl acetate, methyl piperidinoacetic acid, methyl morpholino acetate, methyl thiomorpholinoacetic acid, ethyl 1-pyrrolidinyl acetate, morpholi 2-methoxyethyl acetate, 2-methoxyacetic acid 2-morpholinoethyl, 2- (2-methoxyethoxy) acetic acid 2-morpholinoethyl, 2- [2- (2-methoxyethoxy) Ethoxy] acetic acid 2-morpholinoethyl, hexanoic acid 2-morpholinoethyl, octanoic acid 2-morpholinoethyl, decanoic acid 2-morpholinoethyl, lauric acid 2-morpholinoethyl, myristic Acid 2-morpholinoethyl, palmitic acid 2-morpholinoethyl, stearic acid 2-morpholinoethyl are illustrated.

또한, 하기 화학식(B)-3 내지 (B)-6으로 표시되는 시아노기를 포함하는 질소 함유 유기 화합물이 예시된다. Moreover, the nitrogen containing organic compound containing the cyano group represented by the following general formula (B) -3-(B) -6 is illustrated.

Figure 112007083610751-pat00057
Figure 112007083610751-pat00057

(상기 식 중, X, R307, n은 상술한 바와 같고, R308, R309는 동일하거나 상이한 탄소수 1 내지 4의 직쇄상 또는 분지상 알킬렌기이다.) ( Wherein X, R 307 and n are as described above, and R 308 and R 309 are the same or different linear or branched alkylene groups having 1 to 4 carbon atoms.)

화학식(B)-3 내지 (B)-6으로 표시되는 시아노기를 포함하는 질소 함유 유기 화합물로서 구체적으로는 3-(디에틸아미노)프로피오노니트릴, N,N-비스(2-히드록시에틸)-3-아미노프로피오노니트릴, N,N-비스(2-아세톡시에틸)-3-아미노프로피오노니트릴, N,N-비스(2-포르밀옥시에틸)-3-아미노프로피오노니트릴, N,N-비스(2-메톡시에틸)-3-아미노프로피오노니트릴, N,N-비스[2-(메톡시메톡시)에틸]-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-(2-메톡시에틸)-3-아미노프로피온산메틸, N-(2-시아노에틸)-N-(2-히드록시에틸)-3-아미노프로피온산메틸, N-(2-아세톡시에틸)-N-(2-시아노에틸)-3-아미노프로피온산메틸, N-(2-시아노에틸)-N-에틸-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-(2-히드록시에틸)-3-아미노프로피오노니트릴, N-(2-아세톡시에틸)-N-(2-시아노에틸)-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-(2-포르밀옥시에틸)-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-(2-메톡시에틸)-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-[2-(메톡시메톡시)에틸]-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-(3-히드록시-1-프로필)-3-아미노프로피오노니트릴, N-(3-아세톡시-1-프로필)-N-(2-시아노에틸)-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-(3-포르밀옥시-1-프로필)-3-아미노프로피오노니트릴, N-(2-시아노에틸)-N-테트라히드로푸르푸릴-3-아미노프로피오노니트릴, N,N-비스(2-시아노에틸)-3-아미노프로피오노니트릴, 디에틸아미노아세토니트릴, N,N-비스(2-히드 록시에틸)아미노아세토니트릴, N,N-비스(2-아세톡시에틸)아미노아세토니트릴, N,N-비스(2-포르밀옥시에틸)아미노아세토니트릴, N,N-비스(2-메톡시에틸)아미노아세토니트릴, N,N-비스[2-(메톡시메톡시)에틸]아미노아세토니트릴, N-시아노메틸-N-(2-메톡시에틸)-3-아미노프로피온산메틸, N-시아노메틸-N-(2-히드록시에틸)-3-아미노프로피온산메틸, N-(2-아세톡시에틸)-N-시아노메틸-3-아미노프로피온산메틸, N-시아노메틸-N-(2-히드록시에틸)아미노아세토니트릴, N-(2-아세톡시에틸)-N-(시아노메틸)아미노아세토니트릴, N-시아노메틸-N-(2-포르밀옥시에틸)아미노아세토니트릴, N-시아노메틸-N-(2-메톡시에틸)아미노아세토니트릴, N-시아노메틸-N-[2-(메톡시메톡시)에틸]아미노아세토니트릴, N-(시아노메틸)-N-(3-히드록시-1-프로필)아미노아세토니트릴, N-(3-아세톡시-1-프로필)-N-(시아노메틸)아미노아세토니트릴, N-시아노메틸-N-(3-포르밀옥시-1-프로필)아미노아세토니트릴, N,N-비스(시아노메틸)아미노아세토니트릴, 1-피롤리딘프로피오노니트릴, 1-피페리딘프로피오노니트릴, 4-모르폴린프로피오노니트릴, 1-피롤리딘아세토니트릴, 1-피페리딘아세토니트릴, 4-모르폴린아세토니트릴, 3-디에틸아미노프로피온산시아노메틸, N,N-비스(2-히드록시에틸)-3-아미노프로피온산시아노메틸, N,N-비스(2-아세톡시에틸)-3-아미노프로피온산시아노메틸, N,N-비스(2-포르밀옥시에틸)-3-아미노프로피온산시아노메틸, N,N-비스(2-메톡시에틸)-3-아미노프로피온산시아노메틸, N,N-비스[2-(메톡시메톡시)에틸]-3-아미노프로피온산시아노메틸, 3-디에틸아미노프로피온산(2-시아노에틸), N,N-비스(2-히드록시에틸)-3-아미노프로피온산(2-시아노에틸), N,N-비스(2-아세톡시에틸)-3-아미노프로피온산(2-시아노에틸), N,N-비스(2-포르밀옥시에틸)-3-아미노 프로피온산(2-시아노에틸), N,N-비스(2-메톡시에틸)-3-아미노프로피온산(2-시아노에틸), N,N-비스[2-(메톡시메톡시)에틸]-3-아미노프로피온산(2-시아노에틸), 1-피롤리딘프로피온산시아노메틸, 1-피페리딘프로피온산시아노메틸, 4-모르폴린프로피온산시아노메틸, 1-피롤리딘프로피온산(2-시아노에틸), 1-피페리딘프로피온산(2-시아노에틸), 4-모르폴린프로피온산(2-시아노에틸) 등이 예시된다. As the nitrogen-containing organic compound containing the cyano group represented by the formulas (B) -3 to (B) -6, specifically 3- (diethylamino) propiononitrile, N, N-bis (2-hydroxyethyl ) -3-aminopropiononitrile, N, N-bis (2-acetoxyethyl) -3-aminopropiononitrile, N, N-bis (2-formyloxyethyl) -3-aminopropiononitrile, N, N-bis (2-methoxyethyl) -3-aminopropiononitrile, N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-sia Noethyl) -N- (2-methoxyethyl) -3-aminopropionate, N- (2-cyanoethyl) -N- (2-hydroxyethyl) -3-aminopropionate, N- (2 -Acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropionate, N- (2-cyanoethyl) -N-ethyl-3-aminopropiononitrile, N- (2-cyano Ethyl) -N- (2-hydroxyethyl) -3-aminopropiononitrile, N- (2-acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropio Nonnitrile, N- (2-cyanoethyl) -N- (2-formyloxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-methoxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N -(3-hydroxy-1-propyl) -3-aminopropiononitrile, N- (3-acetoxy-1-propyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N -(2-cyanoethyl) -N- (3-formyloxy-1-propyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N-tetrahydrofurfuryl-3-amino Propiononitrile, N, N-bis (2-cyanoethyl) -3-aminopropiononitrile, diethylaminoacetonitrile, N, N-bis (2-hydroxyethyl) aminoacetonitrile, N, N- Bis (2-acetoxyethyl) aminoacetonitrile, N, N-bis (2-formyloxyethyl) aminoacetonitrile, N, N-bis (2-methoxyethyl) aminoacetonitrile, N, N-bis [ 2- (methoxymethoxy) ethyl] aminoacetonitrile, N-cyanomethyl-N- (2-methoxyethyl) -3-aminopropionate, N-cyanomethyl-N- (2-hydroxyethyl ) -3-Aminopropionate, N- (2-acetoxyethyl) -N-cyanomethyl-3-aminopropionate, N-cyanomethyl-N- (2-hydroxyethyl) aminoacetonitrile, N -(2-acetoxyethyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (2-formyloxyethyl) aminoacetonitrile, N-cyanomethyl-N- (2 -Methoxyethyl) aminoacetonitrile, N-cyanomethyl-N- [2- (methoxymethoxy) ethyl] aminoacetonitrile, N- (cyanomethyl) -N- (3-hydroxy-1- Propyl) aminoacetonitrile, N- (3-acetoxy-1-propyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (3-formyloxy-1-propyl) amino Acetonitrile, N, N-bis (cyanomethyl) aminoacetonitrile, 1-pyrrolidinepropiononitrile, 1-piperidine Lopiononitrile, 4-morpholine propiononitrile, 1-pyrrolidine acetonitrile, 1-piperidine acetonitrile, 4-morpholine acetonitrile, 3-diethylamino propionate cyanomethyl, N, N-bis (2-hydroxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-acetoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-formyloxyethyl) 3-aminoaminopropionate cyanomethyl, N, N-bis (2-methoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis [2- (methoxymethoxy) ethyl] -3-amino Cyanomethyl propionate, 3-diethylaminopropionic acid (2-cyanoethyl), N, N-bis (2-hydroxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis ( 2-acetoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-formyloxyethyl) -3-amino propionic acid (2-cyanoethyl), N, N-bis (2-methoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis [2- ( Methoxymethoxy) ethyl] -3-aminopropionic acid (2-cyanoethyl), 1-pyrrolidine propanoic acid cyanomethyl, 1-piperidine propionate cyanomethyl, 4-morpholine propionate cyanomethyl, 1- Pyrrolidine propionic acid (2-cyanoethyl), 1-piperidine propionic acid (2-cyanoethyl), 4-morpholine propionic acid (2-cyanoethyl), etc. are illustrated.

또한, 하기 화학식(B)-7로 표시되는 이미다졸 골격 및 극성 관능기를 갖는 질소 함유 유기 화합물이 예시된다.Moreover, the nitrogen containing organic compound which has an imidazole skeleton and a polar functional group represented by following General formula (B) -7 is illustrated.

Figure 112007083610751-pat00058
Figure 112007083610751-pat00058

(상기 식 중, R310은 탄소수 2 내지 20의 직쇄상, 분지상 또는 환상의 극성 관능기를 갖는 알킬기이고, 극성 관능기로서는 수산기, 카르보닐기, 에스테르기, 에테르기, 술피드기, 카르보네이트기, 시아노기, 아세탈기 중 어느 것을 1개 또는 복수개 포함한다. R311, R312, R313은 수소 원자, 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기, 아릴기 또는 아랄킬기이다.)(In the formula, R 310 is an alkyl group having a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms. As the polar functional group, a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, Any one or a plurality of cyano groups and acetal groups, wherein R 311 , R 312 , and R 313 are hydrogen atoms, linear, branched or cyclic alkyl groups having 1 to 10 carbon atoms, aryl groups or aralkyl groups.)

또한, 하기 화학식(B)-8로 표시되는 벤즈이미다졸 골격 및 극성 관능기를 갖는 질소 함유 유기 화합물이 예시된다. Moreover, the nitrogen containing organic compound which has the benzimidazole skeleton represented by the following general formula (B) -8 and a polar functional group is illustrated.

Figure 112007083610751-pat00059
Figure 112007083610751-pat00059

(상기 식 중, R314는 수소 원자, 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기, 아릴기 또는 아랄킬기이다. R315는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 극성 관능기를 갖는 알킬기이며, 극성 관능기로서 에스테르기, 아세탈기, 시아노기 중 어느 것을 1개 이상 포함하고, 그 이외에 수산기, 카르보닐기, 에테르기, 술피드기, 카르보네이트기 중 어느 것을 1개 이상 포함할 수도 있다.) (Wherein, R 314 is a hydrogen atom, a linear, branched or cyclic alkyl group, an aryl group or an aralkyl group having 1 to 10 carbon atoms. R 315 is a linear, branched or cyclic polar functional group having 1 to 20 carbon atoms. An alkyl group having at least one of ester, acetal and cyano, and at least one of hydroxyl, carbonyl, ether, sulfide and carbonate groups. May be.)

또한, 하기 화학식(B)-9 및 (B)-10으로 표시되는 극성 관능기를 갖는 질소 함유 복소환 화합물이 예시된다. Moreover, the nitrogen-containing heterocyclic compound which has a polar functional group represented by following formula (B) -9 and (B) -10 is illustrated.

Figure 112007083610751-pat00060
Figure 112007083610751-pat00060

(상기 식 중, A는 질소 원자 또는 ≡C-R322이다. B는 질소 원자 또는 ≡C-R323이다. R316은 탄소수 2 내지 20의 직쇄상, 분지상 또는 환상의 극성 관능기를 갖는 알킬기이고, 극성 관능기로서는 수산기, 카르보닐기, 에스테르기, 에테르기, 술피드기, 카르보네이트기, 시아노기 또는 아세탈기를 1개 이상 포함한다. R317, R318, R319, R320은 수소 원자, 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기, 또는 아릴기이거나, 또는 R317과 R318, R319와 R320은 각각 결합하여 벤젠환, 나프탈렌환 또 는 피리딘환을 형성할 수도 있다. R321은 수소 원자, 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기, 또는 아릴기이다. R322, R323은 수소 원자, 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기, 또는 아릴기이다. R321과 R323은 결합하여 벤젠환 또는 나프탈렌환을 형성할 수도 있다.) (Wherein A is a nitrogen atom or —CR 322. B is a nitrogen atom or —CR 323. R 316 is an alkyl group having a linear, branched, or cyclic, polar functional group having 2 to 20 carbon atoms, and a polar functional group) Examples thereof include a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, a cyano group or an acetal group, and R 317 , R 318 , R 319 , and R 320 each represent a hydrogen atom or 1 to 10 carbon atoms. straight, branched or cyclic alkyl group, or an aryl group, or is R 317 and R 318, R 319 and R 320 may form a benzene ring, naphthalene ring or pyridine ring by combining each. R 321 is hydrogen An atom, a straight, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, R 322 , R 323 are a hydrogen atom, a straight, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group. 321 and R 323 are bonded to a benzene ring or a naphthyl It may form a renhwan.)

또한, 하기 화학식(B)-11 내지 (B)-14로 표시되는 방향족 카르복실산에스테르 구조를 갖는 질소 함유 유기 화합물이 예시된다. Moreover, the nitrogen containing organic compound which has an aromatic carboxylic acid ester structure represented by following General formula (B) -11-(B) -14 is illustrated.

Figure 112007083610751-pat00061
Figure 112007083610751-pat00061

(상기 식 중, R324는 탄소수 6 내지 20의 아릴기 또는 탄소수 4 내지 20의 헤테로 방향족기이며, 수소 원자의 일부 또는 전부가 할로겐 원자, 탄소수 1 내지 20 의 직쇄상, 분지상 또는 환상 알킬기, 탄소수 6 내지 20의 아릴기, 탄소수 7 내지 20의 아랄킬기, 탄소수 1 내지 10의 알콕시기, 탄소수 1 내지 10의 아실옥시기, 또는 탄소수 1 내지 10의 알킬티오기로 치환될 수도 있다. R325는 CO2R326, OR327 또는 시아노기이다. R326은 일부 메틸렌기가 산소 원자로 치환될 수도 있는 탄소수 1 내지 10의 알킬기이다. R327은 일부 메틸렌기가 산소 원자로 치환될 수도 있는 탄소수 1 내지 10의 알킬기 또는 아실기이다. R328은 단일 결합, 메틸렌기, 에틸렌기, 황 원자 또는 -O(CH2CH2O)n-기이다. n은 0, 1, 2, 3 또는 4이다. R329는 수소 원자, 메틸기, 에틸기 또는 페닐기이다. X는 질소 원자 또는 CR330이다. Y는 질소 원자 또는 CR331이다. Z는 질소 원자 또는 CR332이다. R330, R331, R332는 각각 독립적으로 수소 원자, 메틸기 또는 페닐기이거나, 또는 R330과 R331 또는 R331과 R332가 결합하여 탄소수 6 내지 20의 방향환 또는 탄소수 2 내지 20의 헤테로 방향환을 형성할 수도 있다.)(Wherein, R 324 is an aryl group having 6 to 20 carbon atoms or a heteroaromatic group having 4 to 20 carbon atoms, and part or all of the hydrogen atoms are halogen atoms, straight, branched or cyclic alkyl groups having 1 to 20 carbon atoms, carbon atoms is 6 to 20 aryl group, an alkoxy group having a carbon number of 7 to 20, an aralkyl group, having 1 to 10 carbon atoms, an acyloxy group having 1 to 10 carbon atoms, or may be substituted with an alkylthio group having 1 to 10 carbon atoms. R 325 is CO 2 R 326 , OR 327 or a cyano group R 326 is an alkyl group having 1 to 10 carbon atoms in which some methylene groups may be substituted with oxygen atoms R 327 is an alkyl group having 1 to 10 carbon atoms in which some methylene groups may be substituted with oxygen atoms R 328 is a single bond, methylene group, ethylene group, sulfur atom or —O (CH 2 CH 2 O) n — group n is 0, 1, 2, 3 or 4. R 329 is A hydrogen atom, a methyl group, an ethyl group or a phenyl group. Is a nitrogen atom or CR 330. Y is a nitrogen atom or CR 331. Z is a nitrogen atom or CR 332. R 330 , R 331 , R 332 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 330 and R 331 or R 331 and R 332 may combine to form an aromatic ring having 6 to 20 carbon atoms or a heteroaromatic ring having 2 to 20 carbon atoms.)

또한, 하기 화학식(B)-15로 표시되는 7-옥사노르보르난-2-카르복실산에스테르 구조를 갖는 질소 함유 유기 화합물이 예시된다. Moreover, the nitrogen containing organic compound which has a 7-oxanorbornan 2-carboxylic acid ester structure represented by following General formula (B) -15 is illustrated.

Figure 112007083610751-pat00062
Figure 112007083610751-pat00062

(상기 식 중, R333은 수소, 또는 탄소수 1 내지 10의 직쇄상, 분지상 또는 환상 알킬기이다. R334 및 R335는 각각 독립적으로 에테르, 카르보닐, 에스테르, 알코올, 술피드, 니트릴, 아민, 이민, 아미드 등의 극성 관능기를 하나 또는 복수개 포함할 수 있는 탄소수 1 내지 20의 알킬기, 탄소수 6 내지 20의 아릴기, 또는 탄소수 7 내지 20의 아랄킬기이며, 수소 원자의 일부가 할로겐 원자로 치환될 수도 있다. R334와 R335는 서로 결합하여 탄소수 2 내지 20의 헤테로환 또는 헤테로 방향환을 형성할 수도 있다.)(Wherein, R 333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 334 and R 335 are each independently ether, carbonyl, ester, alcohol, sulfide, nitrile, amine , An alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, which may contain one or a plurality of polar functional groups such as imine, amide, and the like. R 334 and R 335 may be bonded to each other to form a hetero ring or hetero aromatic ring having 2 to 20 carbon atoms.)

또한, 질소 함유 유기 화합물의 배합량은 기재 수지 100 질량부에 대하여 0.001 내지 4 질량부, 특히 0.01 내지 2 질량부인 것이 바람직하다. 배합량이 0.001 질량부보다 적으면 배합 효과가 없고, 4 질량부를 초과하면 감도가 너무 저하되는 경우가 있다. Moreover, it is preferable that the compounding quantity of a nitrogen-containing organic compound is 0.001-4 mass parts, especially 0.01-2 mass parts with respect to 100 mass parts of base resins. If the blending amount is less than 0.001 part by mass, there is no blending effect. If the blending amount exceeds 4 parts by mass, the sensitivity may be too low.

본 발명의 레지스트 재료에, 상기 성분 이외에 임의 성분으로서 도포성을 향상시키기 위해서 관용되는 계면활성제를 첨가할 수 있다. 또한, 임의 성분의 첨가량은 본 발명의 효과를 방해하지 않는 범위에서 통상적인 양으로 할 수 있다. In addition to the above components, a commonly used surfactant can be added to the resist material of the present invention in order to improve applicability. In addition, the addition amount of an arbitrary component can be used as a normal amount in the range which does not prevent the effect of this invention.

여기서, 계면활성제로서는 비이온성의 것이 바람직하고, 퍼플루오로알킬폴리옥시에틸렌에탄올, 불소화 알킬에스테르, 퍼플루오로알킬아민옥시드, 퍼플루오로알킬 EO 부가물, 불소 함유 오르가노실록산계 화합물 등을 들 수 있다. 예를 들면 플로라드「FC-430」, 「FC-431」(모두 스미또모 쓰리엠(주) 제조), 사프론「S-141」, 「S-145」, 「KH-10」, 「KH-20」, 「KH-30」, 「KH-40」(모두 아사히 글래스 (주) 제조), 유니다인「DS-401」, 「DS-403」, 「DS-451」(모두 다이킨 고교(주) 제조), 메가팩「F-8151」(다이닛본 잉크 고교(주) 제조), 「X-70-092」, 「X-70-093」(모두 신에쓰 가가꾸 고교(주) 제조) 등을 들 수 있다. 바람직하게는 플로라드「FC-430」(스미또모 쓰리엠(주) 제조), 「KH-20」, 「KH-30」(모두 아사히 글래스(주) 제조), 「X-70-093」(신에쓰 가가꾸 고교(주) 제조)를 들 수 있다. As the surfactant, nonionic ones are preferable, and perfluoroalkyl polyoxyethylene ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl EO adduct, fluorine-containing organosiloxane compound and the like Can be mentioned. For example, Florade "FC-430", "FC-431" (all are manufactured by Sumitomo 3M Corporation), Saffron "S-141", "S-145", "KH-10", "KH-" 20 "," KH-30 "," KH-40 "(all are manufactured by Asahi Glass Co., Ltd.), United" DS-401 "," DS-403 "," DS-451 "(all Daikin high school (note) ) Manufacture), mega pack "F-8151" (made by Dainippon Ink Industries Co., Ltd.), "X-70-092", "X-70-093" (all Shin-Etsu Chemical Co., Ltd. make) Can be mentioned. Preferably, Flora "FC-430" (made by Sumitomo 3M Co., Ltd.), "KH-20", "KH-30" (all are manufactured by Asahi Glass Co., Ltd.), "X-70-093" (New) Saga Chemical Co., Ltd. product) is mentioned.

본 발명의 레지스트 재료에는 상기 성분 이외에 임의 성분으로서, 도포막 상부에 편재하며 표면의 친수성ㆍ소수성 균형을 조정하거나 발수성을 높이거나, 또는 도포막이 물이나 그 밖의 액체와 접촉하였을 때에 저분자 성분의 유출이나 유입을 방해하는 기능을 갖는 고분자 화합물을 첨가할 수도 있다. 또한, 상기 고분자 화합물의 첨가량은 본 발명의 효과를 방해하지 않는 범위에서 통상적인 양으로 할 수 있다.In the resist material of the present invention, any component other than the above components is ubiquitous on the top of the coating film, and the hydrophilic and hydrophobic balance of the surface is adjusted or the water repellency is increased, or when the coating film is in contact with water or other liquid, It is also possible to add a polymer compound having a function of preventing inflow. In addition, the addition amount of the said high molecular compound can be used as a normal amount in the range which does not prevent the effect of this invention.

여기서, 도포막 상부에 편재하는 고분자 화합물로서는, 1종 또는 2종 이상의 불소 함유 단위를 포함하는 중합체, 공중합체 및 불소 함유 단위와 그 밖의 단위를 포함하는 공중합체가 바람직하다. 불소 함유 단위 및 그 밖의 단위로서는 구체적으로는 이하의 것을 예시할 수 있지만, 이것으로 한정되는 것은 아니다.Here, as a high molecular compound ubiquitous on a coating film, the polymer containing a 1 type, or 2 or more types of fluorine-containing unit, the copolymer, and the copolymer containing a fluorine-containing unit and other units are preferable. Although the following can be illustrated specifically as a fluorine-containing unit and other units, It is not limited to this.

Figure 112007083610751-pat00063
Figure 112007083610751-pat00063

상기 도포막 상부에 편재하는 고분자 화합물의 중량 평균 분자량은 바람직하게는 1,000 내지 50,000, 보다 바람직하게는 2,000 내지 20,000이다. 이 범위에서 벗어나는 경우에는, 표면 개질 효과가 충분하지 않거나 현상 결함을 발생시키거나 하는 경우가 있다. 또한, 상기 중량 평균 분자량은 겔 투과 크로마토그래피(GPC)에 의한 폴리스티렌 환산값을 나타낸다.The weight average molecular weight of the high molecular compound ubiquitous on the coating film is preferably 1,000 to 50,000, more preferably 2,000 to 20,000. If it deviates from this range, surface modification effect may not be enough or a development defect may arise. In addition, the said weight average molecular weight shows the polystyrene conversion value by gel permeation chromatography (GPC).

본 발명의 레지스트 재료에는 필요에 따라서 임의 성분으로서, 용해 제어제, 카르복실산 화합물, 아세틸렌알코올 유도체 등의 다른 성분을 더 첨가할 수도 있다. 또한, 임의 성분의 첨가량은 본 발명의 효과를 방해하지 않는 범위에서 통상적인 양으로 할 수 있다.Other components, such as a dissolution control agent, a carboxylic acid compound, an acetylene alcohol derivative, can also be further added to the resist material of this invention as an optional component as needed. In addition, the addition amount of an arbitrary component can be used as a normal amount in the range which does not prevent the effect of this invention.

본 발명의 레지스트 재료에 첨가할 수 있는 용해 제어제로서는, 중량 평균 분자량이 100 내지 1,000, 바람직하게는 150 내지 800이며, 또한 분자내에 페놀성 수산기를 2개 이상 갖는 화합물의 상기 페놀성 수산기의 수소 원자를 산불안정기에 의해 전체로서 평균 0 내지 100 몰%의 비율로 치환한 화합물 또는 분자내에 카르복시기를 갖는 화합물의 상기 카르복시기의 수소 원자를 산불안정기에 의해 전체로서 평균 50 내지 100 몰%의 비율로 치환한 화합물을 배합할 수 있다.As a dissolution control agent which can be added to the resist material of the present invention, the weight average molecular weight is 100 to 1,000, preferably 150 to 800, and the hydrogen of the phenolic hydroxyl group of the compound having two or more phenolic hydroxyl groups in the molecule. Substituting an atom with an acid labile group at an average of 0 to 100 mol% as a whole or replacing a hydrogen atom of the carboxyl group of a compound having a carboxyl group with an acid labile group at an average of 50 to 100 mol% as a whole with an acid labile group One compound may be blended.

또한, 페놀성 수산기의 수소 원자의 산불안정기에 의한 치환율은 평균으로 페놀성 수산기 전체의 0 몰% 이상, 바람직하게는 30 몰% 이상이고, 그의 상한은 100 몰%, 보다 바람직하게는 80 몰%이다. 카르복시기의 수소 원자의 산불안정기에 의한 치환율은 평균으로 카르복시기 전체의 50 몰% 이상, 바람직하게는 70 몰% 이상이고, 그의 상한은 100 몰%이다.In addition, the substitution rate of the hydrogen atom of the phenolic hydroxyl group by the acid labile group is 0 mol% or more, preferably 30 mol% or more of the whole phenolic hydroxyl group on average, The upper limit is 100 mol%, More preferably, 80 mol% to be. The substitution rate by the acid labile group of the hydrogen atom of a carboxy group is 50 mol% or more of the whole carboxy group on average, Preferably it is 70 mol% or more, and the upper limit is 100 mol%.

이 경우, 이러한 페놀성 수산기를 2개 이상 갖는 화합물 또는 카르복시기를 갖는 화합물로서는, 하기 화학식(D1) 내지 (D14)로 표시되는 것이 바람직하다.In this case, as a compound which has two or more such phenolic hydroxyl groups, or a compound which has a carboxyl group, what is represented by following General formula (D1)-(D14) is preferable.

Figure 112007083610751-pat00064
Figure 112007083610751-pat00064

상기 식 중, R201과 R202는 각각 수소 원자, 또는 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기 또는 알케닐기를 나타내고, 예를 들면 수소 원자, 메틸기, 에틸기, 부틸기, 프로필기, 에티닐기, 시클로헥실기를 들 수 있다.In said formula, R <201> and R <202> represent a hydrogen atom or a C1-C8 linear or branched alkyl group or alkenyl group, respectively, For example, a hydrogen atom, a methyl group, an ethyl group, a butyl group, a propyl group, an eti A silyl group and a cyclohexyl group are mentioned.

R203은 수소 원자, 또는 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기 또는 알케닐기, 또는 -(R207)hCOOH(식 중, R207은 탄소수 1 내지 10의 직쇄상 또는 분지상 알킬렌기를 나타냄)를 나타내고, 예를 들면 R201, R202와 동일한 것 또는 -COOH, -CH2COOH를 들 수 있다.R 203 is a hydrogen atom or a linear or branched alkyl or alkenyl group having 1 to 8 carbon atoms, or-(R 207 ) h COOH (wherein R 207 is a straight or branched alkylene having 1 to 10 carbon atoms) Group), and the same as R 201 , R 202 , or -COOH, -CH 2 COOH.

R204는 -(CH2)i-(i=2 내지 10), 탄소수 6 내지 10의 아릴렌기, 카르보닐기, 술포닐기, 산소 원자 또는 황 원자를 나타내고, 예를 들면 에틸렌기, 페닐렌기, 카르보닐기, 술포닐기, 산소 원자, 황 원자 등을 들 수 있다.R 204 represents-(CH 2 ) i- (i = 2 to 10), an arylene group, carbonyl group, sulfonyl group, oxygen atom or sulfur atom having 6 to 10 carbon atoms, for example, an ethylene group, a phenylene group, a carbonyl group, Sulfonyl group, an oxygen atom, a sulfur atom, etc. are mentioned.

R205는 탄소수 1 내지 10의 알킬렌기, 탄소수 6 내지 10의 아릴렌기, 카르보닐기, 술포닐기, 산소 원자 또는 황 원자를 나타내고, 예를 들면 메틸렌기, 또는 R204와 동일한 것을 들 수 있다.R 205 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom, or a sulfur atom, and examples thereof include the same as the methylene group or R 204 .

R206은 수소 원자, 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기, 알케닐기, 또는 각각의 수소 원자 중 1개 이상이 수산기로 치환된 페닐기 또는 나프틸기를 나타내고, 예를 들면 수소 원자, 메틸기, 에틸기, 부틸기, 프로필기, 에티닐기, 시클로헥실기, 각각의 수소 원자 중 1개 이상이 수산기로 치환된 페닐기, 나프틸기 등을 들 수 있다.R 206 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group in which at least one of each hydrogen atom is substituted with a hydroxyl group, for example, a hydrogen atom, a methyl group And an ethyl group, a butyl group, a propyl group, an ethynyl group, a cyclohexyl group, a phenyl group in which at least one of each hydrogen atom is substituted with a hydroxyl group, and a naphthyl group.

R208은 수소 원자 또는 수산기를 나타낸다.R 208 represents a hydrogen atom or a hydroxyl group.

j는 0 내지 5의 정수이다. u, h는 0 또는 1이다. s, t, s', t', s'', t''는 각각 s+t=8, s'+t'=5, s''+t''=4를 만족시키면서 또한 각 페닐 골격 중에 1개 이상의 수산기를 갖는 수이다. α는 화학식(D8), (D9)의 화합물의 중량 평균 분자량을 100 내지 1,000으로 하는 수이다.j is an integer of 0-5. u, h is 0 or 1; s, t, s ', t', s '', t '' satisfy s + t = 8, s '+ t' = 5 and s '' + t '' = 4, respectively, It is a number which has one or more hydroxyl groups. (alpha) is a number which makes the weight average molecular weight of the compound of general formula (D8) and (D9) 100-1,000.

용해 제어제의 산불안정기로서는 여러 가지를 사용할 수 있지만, 구체적으로는 상기 화학식(L1) 내지 (L4)로 표시되는 기, 탄소수 4 내지 20의 3급 알킬기, 각 알킬기의 탄소수가 각각 1 내지 6인 트리알킬실릴기, 탄소수 4 내지 20의 옥소알킬기 등을 들 수 있다. 또한, 각각의 기의 구체적인 예에 대해서는 앞선 설명과 동일하다.As the acid labile group of the dissolution control agent, various kinds can be used. Specifically, the groups represented by the above formulas (L1) to (L4), a tertiary alkyl group having 4 to 20 carbon atoms, and each alkyl group having 1 to 6 carbon atoms Trialkyl silyl group, C4-C20 oxoalkyl group, etc. are mentioned. In addition, the specific example of each group is the same as that of the above description.

상기 용해 제어제의 배합량은 레지스트 재료 중 기재 중합체 100 질량부에 대하여 0 내지 50 질량부, 바람직하게는 0 내지 40 질량부, 보다 바람직하게는 0 내지 30 질량부이고, 단독 또는 2종 이상을 혼합하여 사용할 수 있다. 배합량이 50 질량부를 초과하면 패턴의 막 감소가 발생하고, 해상도가 저하되는 경우가 있다.The compounding quantity of the said dissolution control agent is 0-50 mass parts with respect to 100 mass parts of base polymers in a resist material, Preferably it is 0-40 mass parts, More preferably, it is 0-30 mass parts, and mixes single or 2 types or more Can be used. When the compounding amount exceeds 50 parts by mass, the film decreases in the pattern, and the resolution may decrease.

또한, 상기와 같은 용해 제어제는 페놀성 수산기 또는 카르복시기를 갖는 화합물에 대하여 유기 화학적 처방을 이용하여 산불안정기를 도입함으로써 합성된다.In addition, such dissolution control agents are synthesized by introducing an acid labile group using an organic chemical formulation to a compound having a phenolic hydroxyl group or a carboxyl group.

본 발명의 레지스트 재료에 첨가할 수 있는 카르복실산 화합물로서는, 예를 들면 하기 [I 군] 및 [II 군]으로부터 선택되는 1종 또는 2종 이상의 화합물을 사용할 수 있지만, 이것으로 한정되는 것은 아니다. 본 성분의 배합에 의해 레지스트의 PED 안정성이 향상되고, 질화막 기판 상에서의 엣지 조도가 개선되는 것이다.As the carboxylic acid compound which can be added to the resist material of the present invention, for example, one or two or more compounds selected from the following [I group] and [II group] can be used, but not limited thereto. . By combining this component, the PED stability of the resist is improved, and the edge roughness on the nitride film substrate is improved.

[I 군][Group I]

하기 화학식(A1) 내지 (A10)으로 표시되는 화합물의 페놀성 수산기의 수소 원자의 일부 또는 전부를 -R401-COOH(R401은 탄소수 1 내지 10의 직쇄상 또는 분지상 알킬렌기)에 의해 치환하여 이루어지고, 또한 분자 중의 페놀성 수산기(C)와 ≡C-COOH로 표시되는 기(D)와의 몰 비율이 C/(C+D)=0.1 내지 1.0인 화합물.Some or all of the hydrogen atoms of the phenolic hydroxyl groups of the compounds represented by the formulas (A1) to (A10) are substituted by -R 401 -COOH (R 401 is a linear or branched alkylene group having 1 to 10 carbon atoms). And a molar ratio between the phenolic hydroxyl group (C) in the molecule and the group (D) represented by ≡C-COOH is C / (C + D) = 0.1 to 1.0.

[II 군][Group II]

하기 화학식(A11) 내지 (A15)로 표시되는 화합물.Compounds represented by the following formulas (A11) to (A15).

Figure 112007083610751-pat00065
Figure 112007083610751-pat00065

Figure 112007083610751-pat00066
Figure 112007083610751-pat00066

상기 식 중, R402, R403은 각각 수소 원자, 또는 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기 또는 알케닐기를 나타낸다. R404는 수소 원자, 또는 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기 또는 알케닐기, 또는 -(R409)h1-COOR'기(R'는 수소 원자 또는 -R409-COOH)를 나타낸다.In the formula, R 402 and R 403 each represent a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms. R 404 represents a hydrogen atom or a linear or branched alkyl or alkenyl group having 1 to 8 carbon atoms, or a-(R 409 ) h 1 -COOR 'group (R' represents a hydrogen atom or -R 409 -COOH).

R405는 -(CH2)i-(i=2 내지 10), 탄소수 6 내지 10의 아릴렌기, 카르보닐기, 술포닐기, 산소 원자 또는 황 원자를 나타낸다.R 405 represents-(CH 2 ) i- (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.

R406은 탄소수 1 내지 10의 알킬렌기, 탄소수 6 내지 10의 아릴렌기, 카르보닐기, 술포닐기, 산소 원자 또는 황 원자를 나타낸다.R 406 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.

R407은 수소 원자, 또는 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기, 알 케닐기, 각각 수산기로 치환된 페닐기 또는 나프틸기를 나타낸다.R 407 represents a hydrogen atom or a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, a phenyl group or a naphthyl group each substituted with a hydroxyl group.

R408은 수소 원자 또는 메틸기를 나타낸다.R 408 represents a hydrogen atom or a methyl group.

R409는 탄소수 1 내지 10의 직쇄상 또는 분지상 알킬렌기를 나타낸다.R 409 represents a straight or branched alkylene group having 1 to 10 carbon atoms.

R410은 수소 원자, 또는 탄소수 1 내지 8의 직쇄상 또는 분지상의 알킬기 또는 알케닐기, 또는 -R411-COOH기(식 중, R411은 탄소수 1 내지 10의 직쇄상 또는 분지상 알킬렌기를 나타냄)를 나타낸다.R 410 is a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or -R 411 -COOH group (wherein R 411 is a straight or branched alkylene group having 1 to 10 carbon atoms) Indicated).

R412는 수소 원자 또는 수산기를 나타낸다. R 412 represents a hydrogen atom or a hydroxyl group.

j는 0 내지 3의 수이고, s1, t1, s2, t2, s3, t3, s4, t4는 각각 s1+t1=8, s2+t2=5, s3+t3=4, s4+t4=6을 만족시키면서 또한 각 페닐 골격 중에 1개 이상의 수산기를 갖는 수이다.j is a number from 0 to 3, and s1, t1, s2, t2, s3, t3, s4, t4 represent s1 + t1 = 8, s2 + t2 = 5, s3 + t3 = 4, and s4 + t4 = 6, respectively. It is a number which has one or more hydroxyl groups in each phenyl skeleton while satisfying.

s5, t5는 s5≥0, t5≥0이며, s5+t5=5를 만족시키는 수이다.s5 and t5 are s5≥0 and t5≥0, and are the numbers which satisfy s5 + t5 = 5.

u1은 1≤u1≤4를 만족시키는 수이고, h1은 0≤h1≤4를 만족시키는 수이다.u1 is a number satisfying 1 ≦ u1 ≦ 4, and h1 is a number satisfying 0 ≦ h1 ≦ 4.

κ는 화학식(A6)의 화합물을 중량 평균 분자량 1,000 내지 5,000으로 하는 수이다.κ is a number having a compound of formula (A6) having a weight average molecular weight of 1,000 to 5,000.

λ은 화학식(A7)의 화합물을 중량 평균 분자량 1,000 내지 10,000으로 하는 수이다. λ is a number having a compound of formula (A7) having a weight average molecular weight of 1,000 to 10,000.

본 성분으로서, 구체적으로는 하기 화학식(AI-1) 내지 (AI-14) 및 (AII-1) 내지 (AII-10)으로 표시되는 화합물을 들 수 있지만, 이것으로 한정되는 것은 아니 다.Specific examples of the present component include, but are not limited to, compounds represented by the following formulas (AI-1) to (AI-14) and (AII-1) to (AII-10).

Figure 112007083610751-pat00067
Figure 112007083610751-pat00067

Figure 112007083610751-pat00068
Figure 112007083610751-pat00068

(상기 식 중, R''는 수소 원자 또는 CH2COOH기를 나타내고, 각 화합물에 있어서 R''의 10 내지 100 몰%는 CH2COOH기이다. κ과 λ은 상기와 동일한 의미를 나타낸다.)(Wherein, R '' represents a hydrogen atom or a CH 2 COOH group, and in each compound, 10 to 100 mol% of R '' is a CH 2 COOH group. Κ and λ represent the same meaning as above.)

또한, 상기 분자내에 ≡C-COOH로 표시되는 기를 갖는 화합물의 첨가량은 기재 중합체 100 질량부에 대하여 0 내지 5 질량부, 바람직하게는 0.1 내지 5 질량부, 보다 바람직하게는 0.1 내지 3 질량부, 더욱 바람직하게는 0.1 내지 2 질량부이다. 5 질량부보다 많으면 레지스트 재료의 해상도가 저하되는 경우가 있다.Further, the amount of the compound having a group represented by ≡C-COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, more preferably 0.1 to 3 parts by mass, based on 100 parts by mass of the base polymer, More preferably, it is 0.1-2 mass parts. When more than 5 mass parts, the resolution of a resist material may fall.

본 발명의 레지스트 재료에 첨가할 수 있는 아세틸렌알코올 유도체로서는, 하기 화학식(S1), (S2)로 표시되는 것을 바람직하게 사용할 수 있다.As an acetylene alcohol derivative which can be added to the resist material of this invention, what is represented by following General formula (S1), (S2) can be used preferably.

Figure 112007083610751-pat00069
Figure 112007083610751-pat00069

(상기 식 중, R501, R502, R503, R504, R505는 각각 수소 원자, 또는 탄소수 1 내지 8의 직쇄상, 분지상 또는 환상 알킬기이고, X, Y는 0 또는 양수를 나타내고, 하기 값을 만족시킨다. 0≤X≤30, 0≤Y≤30, 0≤X+Y≤40이다.)(In the formula, R 501 , R 502 , R 503 , R 504 , R 505 are each a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, X, Y represents 0 or positive number, The following values are satisfied: 0≤X≤30, 0≤Y≤30, and 0≤X + Y≤40.)

아세틸렌알코올 유도체로서 바람직하게는 사피놀 61, 사피놀 82, 사피놀 104, 사피놀 104E, 사피놀 104H, 사피놀 104A, 사피놀 TG, 사피놀 PC, 사피놀 440, 사피놀 465, 사피놀 485(에어 프로덕츠 앤드 케미컬즈 인크.(Air Products and Chemicals Inc.) 제조), 사피놀 E1004 (닛신 가가꾸 고교(주) 제조) 등을 들 수 있다. As the acetylene alcohol derivative, preferably sapinol 61, safinol 82, safinol 104, safinol 104E, safinol 104H, safinol 104A, safinol TG, safinol PC, safinol 440, safinol 465, safinol 485 (Made by Air Products and Chemicals Inc.), Safinol E1004 (made by Nissin Chemical Industries, Ltd.), etc. are mentioned.

상기 아세틸렌알코올 유도체의 첨가량은 레지스트 재료의 기재 중합체 100 질량부에 대하여 0 내지 2 질량부, 보다 바람직하게는 0.01 내지 2 질량부, 더욱 바람직하게는 0.02 내지 1 질량부이다. 2 질량부보다 많으면 레지스트 재료의 해상성이 저하되는 경우가 있다.The amount of the acetylene alcohol derivative added is 0 to 2 parts by mass, more preferably 0.01 to 2 parts by mass, still more preferably 0.02 to 1 part by mass with respect to 100 parts by mass of the base polymer of the resist material. When more than 2 mass parts, the resolution of a resist material may fall.

본 발명의 레지스트 재료를 사용한 패턴 형성은 공지된 리소그래피 기술을 이용하여 행할 수 있고, 도포, 가열 처리(예비 베이킹), 노광, 가열 처리(노광후 베이킹, PEB), 현상의 각 공정을 거쳐 달성된다. 필요에 따라서 몇몇 공정을 더 추가할 수도 있다.Pattern formation using the resist material of this invention can be performed using a well-known lithography technique, and is achieved through each process of application | coating, heat processing (prebaking), exposure, heat processing (post-exposure baking, PEB), and image development. . You can add some more processes as needed.

패턴 형성을 행할 때는, 우선 본 발명의 레지스트 재료를 집적 회로 제조용의 기판(Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, 유기 반사 방지막, Cr, CrO, CrON, MoSi 등) 상에 스핀 코팅, 롤 코팅, 플로우 코팅, 침지 코팅, 분무 코팅, 닥터 코팅 등의 적당한 도포 방법에 의해, 도포막 두께가 0.01 내지 2.0 ㎛가 되도록 도포하여 핫 플레이트 상에서 60 내지 150 ℃, 1 내지 10 분간, 바람직하게는 80 내지 140 ℃, 1 내지 5 분간 예비 베이킹한다. 레지스트막의 박막화와 동시에 피가공 기판의 에칭 선택비의 관계로부터 가공이 엄격해졌고, 레지스트막의 하층에 규소 함유 중간막, 그 아래에 탄소 밀도가 높으며 에칭 내성이 높은 하층막, 그 아래에 피가공 기판을 적층하는 3층 공정이 검토되었다. 산소 가스나 수소 가스, 암모니아 가스 등을 이용하는 규소 함유 중간막과 하층막과의 에칭 선택비는 높고, 규소 함유 중간막은 박막화가 가능하다. 단층 레지스트막과 규소 함유 중간층의 에칭 선택비도 비교적 높아, 단층 레지스트막의 박막화가 가능해지는 것이다. 이 경우, 하층막의 형성 방법으로서는 도포와 베이킹에 의한 방법과 CVD에 의한 방법을 들 수 있다. 도포형의 경우에는, 노볼락 수지나 축합환 등을 갖는 올레핀을 중합한 수지가 사용되고, CVD막 제조에는 부탄, 에탄, 프로판, 에틸렌, 아세틸렌 등의 가스가 사용된다. 규소 함유 중간층의 경우에도 도포형과 CVD형을 들 수 있고, 도포형으로서는 실세스퀴옥산, 바구니형 올리고실세스퀴옥산(POSS) 등을 들 수 있고, CVD용으로서는 각종 실란 가스를 원료로서 들 수 있다. 규소 함유 중간층은 광 흡수를 갖는 반사 방지 기능을 가질 수도 있고, 페닐기 등의 흡광기나 SiON막일 수도 있다. 규소 함유 중간막과 포토레지스트막 사이에 유기막을 형성할 수도 있고, 이 경우의 유기막은 유기 반사 방지막일 수도 있다. 포토레지스트막 형성 후에, 순수한 물 린스(포스트 소크)를 행함으로써 막 표면으로부터의 산 발생제 등의 추출, 또는 파티클을 씻어낼 수도 있으며 보호막을 도포할 수도 있다.When performing pattern formation, first, the resist material of the present invention is placed on a substrate (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, Cr, CrO, CrON, MoSi, etc.) for integrated circuit manufacturing. By a suitable coating method such as spin coating, roll coating, flow coating, immersion coating, spray coating, doctor coating, etc., so that the coating film thickness is 0.01 to 2.0 μm, and then applied on a hot plate at 60 to 150 ° C. for 1 to 10 minutes. Prebaking is preferably performed at 80 to 140 ° C. for 1 to 5 minutes. Due to the thinning of the resist film and the processing of the etching selectivity of the substrate to be processed, the processing becomes more stringent, and a silicon-containing intermediate film is formed on the lower layer of the resist film, a lower layer film having high carbon density and high etching resistance under the resist film, and a substrate to be processed thereon A three-layer process was reviewed. The etching selectivity between the silicon-containing intermediate film and the underlayer film using oxygen gas, hydrogen gas, ammonia gas, etc. is high, and the silicon-containing intermediate film can be thinned. The etching selectivity between the single layer resist film and the silicon-containing intermediate layer is also relatively high, and the thin film of the single layer resist film can be formed. In this case, as a method of forming an underlayer film, the method by coating and baking and the method by CVD are mentioned. In the case of an application | coating type | mold, resin which superposed | polymerized the olefin which has novolak resin, condensed ring, etc. is used, and gas, such as butane, ethane, propane, ethylene, acetylene, is used for CVD film manufacture. Also in the case of the silicon-containing intermediate layer, a coating type and a CVD type can be cited. Examples of the coating type include silsesquioxane and cage oligosilsesquioxane (POSS), and the like. Can be. The silicon-containing intermediate layer may have an antireflection function having light absorption, or may be a light absorber such as a phenyl group or a SiON film. An organic film may be formed between the silicon-containing intermediate film and the photoresist film, and the organic film in this case may be an organic antireflection film. After the photoresist film is formed, pure water rinsing (post soaking) may be performed to extract the acid generator or the like from the surface of the film, or to wash off the particles, or to apply a protective film.

이어서, 자외선, 원자외선, 전자선, X선, 엑시머 레이저, γ선, 싱크로트론 방사선 등으로부터 선택되는 광원을 이용하여, 목적하는 패턴을 형성하기 위한 소정의 마스크를 통해 노광을 행한다. 노광량은 1 내지 200 mJ/cm2 정도인 것이 바람직하고, 특히 10 내지 100 mJ/cm2 정도인 것이 보다 바람직하다. 다음에, 핫 플레이트 상에서 60 내지 150 ℃, 1 내지 5 분간, 바람직하게는 80 내지 120 ℃, 1 내지 3 분간 노광후 베이킹(PEB)한다. 또한, 0.1 내지 5 질량%, 바람직하게는 2 내지 3 질량% 테트라메틸암모늄히드록시드(TMAH) 등의 알칼리 수용액의 현상액을 이용하여 0.1 내지 3 분간, 바람직하게는 0.5 내지 2 분간, 침지(dip)법, 퍼들(puddle)법, 분무(spray)법 등의 통상법을 이용하여 현상함으로써, 기판 상에 목적하는 패턴이 형성된다. 또한, 본 발명의 레지스트 재료는 바람직하게는 파장 254 내지 193 nm의 원자외선, 파장 157 nm의 진공 자외선, 전자선, 연(軟) X선, X선, 엑시머 레이저, γ선, 싱크로트론 방사선, 보다 바람직하게는 파장 180 내지 200 nm 범위의 고에너지선에 의한 미세 패터닝에 최적이다.Subsequently, exposure is performed through a predetermined mask for forming a desired pattern using a light source selected from ultraviolet rays, far ultraviolet rays, electron beams, X-rays, excimer lasers, gamma rays, synchrotron radiation and the like. Exposure dose is preferably about 1 to 200 mJ / cm 2, more preferably in particular from 10 to 100 mJ / cm 2 or so. Next, post-exposure baking (PEB) is carried out on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably at 80 to 120 ° C. for 1 to 3 minutes. Further, 0.1 to 5% by mass, preferably 2 to 3% by mass using a developing solution of an aqueous alkali solution such as tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes, dipping By developing using a conventional method such as), puddle, spray, etc., a desired pattern is formed on the substrate. Further, the resist material of the present invention is preferably far ultraviolet rays having a wavelength of 254 to 193 nm, vacuum ultraviolet rays having a wavelength of 157 nm, electron beams, soft X-rays, X-rays, excimer lasers, gamma rays, synchrotron radiation, and more preferably. Preferably fine patterning by high energy radiation in the wavelength range of 180 to 200 nm.

또한, 본 발명의 레지스트 재료는 액침 리소그래피에 적용하는 것도 가능하다. ArF 액침 리소그래피에 있어서는 액침 용매로서 순수한 물, 또는 알칸 등의 굴절률이 1 이상이며 노광 광의 흡수가 적은 액체가 사용된다. 액침 리소그래피에서는 예비 베이킹 후의 레지스트막과 투영 렌즈 사이에 순수한 물이나 그 밖의 액체를 삽입한다. 이에 의해 NA가 1.0 이상인 렌즈 설계가 가능해지고, 보다 미세한 패턴 형성이 가능해진다. 액침 리소그래피는 ArF 리소그래피를 45 nm 노드까지 연명시키기 위한 중요한 기술이고, 개발이 가속되고 있다. 액침 노광의 경우에는, 레지스트막 상에 남은 잔여 물방울을 제거하기 위한 노광 후의 순수한 물 린스(포스트 소크)를 행할 수도 있고, 레지스트로부터의 용출물을 방지하며, 막 표면의 골수성(滑水性)을 높이기 위해서, 예비 베이킹 후의 레지스트막 상에 보호막을 형성시킬 수도 있다. 액침 리소그래피에 사용되는 레지스트 보호막으로서는, 예를 들면 물에 불용이며 알칼리 현상액에 용해되는 1,1,1,3,3,3-헥사플루오로-2-프로판올 잔기를 갖는 고분자 화합물을 기재로 하여, 탄소수 4 이상의 알코올계 용제, 탄소수 8 내지 12의 에테르계 용제, 및 이들의 혼합 용매에 용해시킨 재료가 바람직하다.It is also possible to apply the resist material of the present invention to immersion lithography. In ArF immersion lithography, a liquid having a refractive index of at least 1 and low absorption of exposure light, such as pure water or alkanes, is used as the immersion solvent. In immersion lithography, pure water or other liquid is inserted between the resist film after the prebaking and the projection lens. This enables lens design with NA of 1.0 or more, and enables finer pattern formation. Immersion lithography is an important technique for extending ArF lithography to 45 nm nodes, and development is accelerating. In the case of immersion exposure, pure water rinse (post soak) after exposure for removing residual water droplets remaining on the resist film may be performed, to prevent eluate from the resist, and to increase the myeloid property of the film surface. For this purpose, a protective film may be formed on the resist film after preliminary baking. As a resist protective film used for immersion lithography, for example, based on a high molecular compound insoluble in water and having a 1,1,1,3,3,3-hexafluoro-2-propanol residue dissolved in an alkaline developer, The material dissolved in a C4 or more alcohol solvent, a C8-C12 ether solvent, and these mixed solvents is preferable.

또한, ArF 리소그래피의 32 nm까지의 연명 기술로서, 더블 패터닝법을 들 수 있다. 더블 패터닝법으로서는, 1회째의 노광과 에칭으로 1:3 트렌치 패턴의 바탕(下地)을 가공하고, 위치를 변이시켜 2회째의 노광에 의해 1:3 트렌치 패턴을 형성하여 1:1의 패턴을 형성하는 트렌치법, 1회째의 노광과 에칭으로 1:3 고립 패턴의 제1 바탕을 가공하고, 위치를 변이시켜 2회째의 노광에 의해 1:3 고립 패턴을 제1 바탕의 아래에 형성된 제2 바탕을 가공하여, 피치가 반(半分)인 1:1의 패턴을 형성하는 라인법을 들 수 있다.Moreover, the double patterning method can be mentioned as an extension technique up to 32 nm of ArF lithography. In the double patterning method, the base of the 1: 3 trench pattern is processed by the first exposure and etching, the position is changed, and the 1: 3 trench pattern is formed by the second exposure to form a 1: 1 pattern. A second process in which the first substrate of the 1: 3 isolation pattern is processed by the trench method to be formed, the first exposure and the etching, the position is shifted, and the 1: 3 isolation pattern is formed below the first substrate by the second exposure. The line method which processes a ground and forms the pattern of 1: 1 with a half pitch is mentioned.

<실시예><Examples>

이하, 실시예 및 비교예를 나타내어 본 발명을 구체적으로 설명하지만, 본 발명은 하기 실시예로 제한되지 않는다.Hereinafter, although an Example and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited to a following example.

레지스트 재료의 제조Preparation of Resist Materials

[실시예][Example]

하기 표 1에 나타낸 조성으로 고분자 화합물, 산 발생제, 염기성 화합물 및 용제를 혼합, 용해 후에 이들을 테플론(등록 상표)제 필터(공경 0.2 ㎛)로 여과하여 레지스트 재료로 하였다. 또한, 용제는 전부 계면활성제로서 KH-20(아사히 글래스(주) 제조)를 0.005 질량% 포함하는 것을 사용하였다. After mixing and dissolving the polymer compound, the acid generator, the basic compound and the solvent in the composition shown in Table 1 below, these were filtered through a Teflon (registered trademark) filter (pore size of 0.2 µm) to obtain a resist material. In addition, all the solvents used were 0.005 mass% of KH-20 (Asahi Glass Co., Ltd. product) as surfactant.

Figure 112007083610751-pat00070
Figure 112007083610751-pat00070

괄호 안은 배합비(질량부)를 나타낸다.The parenthesis shows a compounding ratio (mass part).

[비교예][Comparative Example]

하기 표 2에 나타낸 조성으로 실시예와 동일한 절차에 따라서 비교용 레지스트 재료를 제조하였다. A comparative resist material was prepared according to the same procedure as in Example with the composition shown in Table 2 below.

Figure 112007083610751-pat00071
Figure 112007083610751-pat00071

괄호 안은 배합비(질량부)를 나타낸다.The parenthesis shows a compounding ratio (mass part).

표 1, 2 중, 괄호 안의 수치는 질량부를 나타낸다. 약호로 나타낸 염기성 화합물 및 용제는 각각 하기와 같다.In Tables 1 and 2, numerical values in parentheses indicate mass parts. The basic compound and solvent shown by the symbol are as follows.

Base-1: 트리(2-메톡시메톡시에틸)아민 Base-1: tri (2-methoxymethoxyethyl) amine

PGMEA: 아세트산 1-메톡시이소프로필 PGMEA: acetic acid 1-methoxyisopropyl

CyHO: 시클로헥사논CyHO: cyclohexanone

또한, 표 1, 2 중 약호로 나타낸 수지는 각각 표 3 내지 8로 표시되는 고분자 화합물이다. In addition, resin shown by the symbol in Table 1, 2 is a high molecular compound shown to Tables 3-8, respectively.

Figure 112007083610751-pat00072
Figure 112007083610751-pat00072

도입비는 몰비를 나타낸다.Introduction ratio represents molar ratio.

Figure 112007083610751-pat00073
Figure 112007083610751-pat00073

Figure 112007083610751-pat00074
Figure 112007083610751-pat00074

Figure 112007083610751-pat00075
Figure 112007083610751-pat00075

Figure 112007083610751-pat00076
Figure 112007083610751-pat00076

Figure 112007083610751-pat00077
Figure 112007083610751-pat00077

표 1, 2 중, 약호로 나타낸 산 발생제는 각각 표 9로 표시되는 술포늄염 화합물이다.In Tables 1 and 2, acid generators represented by symbols are sulfonium salt compounds shown in Table 9, respectively.

Figure 112007083610751-pat00078
Figure 112007083610751-pat00078

해상성의 평가Resolution of Resolution

[실시예 1 내지 34 및 비교예 1 내지 9][Examples 1 to 34 and Comparative Examples 1 to 9]

본 발명의 레지스트 재료(R-01 내지 34) 및 비교용 레지스트 재료(R-35 내지 43)을, 반사 방지막(닛산 가가꾸 고교(주) 제조, ARC29A, 78 nm)을 도포한 실리콘웨이퍼 상에 회전 도포하고, 100 ℃, 60 초간의 열 처리를 실시하여 두께 120 nm의 레지스트막을 형성하였다. 이것을 ArF 엑시머 레이저 스테퍼((주)니콘 제조, NA=0.85)를 이용하여 노광하고, 60 초간의 열 처리(PEB)를 실시한 후, 2.38 질량%의 테트라메틸암모늄히드록시드 수용액을 이용하여 30 초간 퍼들 현상을 행하여, 1:1의 라인 앤드 스페이스 패턴 및 1:10의 고립 라인 패턴을 형성하였다. PEB에 있어서는, 각 레지스트 재료에 최적화한 온도를 적용하였다. 제조한 패턴 장착 웨이퍼를 상공 SEM(주사형 전자 현미경)으로 관찰하여, 80 nm의 1:1의 라인 앤드 스페이스를 1:1로 해상하는 노광량을 최적 노광량(mJ/cm2)이라 하고, 상기 최적 노광량에서 분리 해상되는 1:1의 라인 앤드 스페이스 패턴의 최소 치수를 한계 해상성(마스크 상의 치수, 5 nm 새김(刻), 치수가 작을수록 양호)이라 하였다. 또한, 상기 최적 노광량에서 1:10의 고립 라인 패턴도 관찰하여, 마스크 상의 치수 140 nm의 고립 라인 패턴의 웨이퍼 상의 실치수를 측정하고, 마스크 충실성(웨이퍼 상의 치수, 치수가 클수록 양호)이라 하였다. 또한, 패턴의 조도(LER=라인 엣지 조도)를 관찰하여 3 단계 평가(양호, 가능, 불량)로 판정하였다. The resist material (R-01-34) and the comparative resist material (R-35-43) of this invention were apply | coated on the silicon wafer which apply | coated the antireflective film (NRC, Nissan Chemical Industries, Ltd. make, ARC29A, 78 nm). The coating layer was rotated and subjected to heat treatment at 100 ° C. for 60 seconds to form a resist film having a thickness of 120 nm. This was exposed using an ArF excimer laser stepper (manufactured by Nikon Corporation, NA = 0.85) and subjected to heat treatment (PEB) for 60 seconds, followed by 30 seconds using a 2.38% by mass aqueous tetramethylammonium hydroxide solution. The puddle development was performed to form a 1: 1 line and space pattern and an isolated line pattern of 1:10. In PEB, the temperature optimized for each resist material was applied. The exposure dose for observing the manufactured pattern-mounted wafer with a scanning electron microscope (scanning electron microscope) and resolving a line and space of 1: 1 at 80 nm in 1: 1 is called an optimal exposure dose (mJ / cm 2 ). The minimum dimension of the 1: 1 line-and-space pattern separated and resolved at the exposure dose was called limit resolution (dimension on the mask, 5 nm engraving, the better the smaller the dimension). In addition, 1:10 isolated line patterns were also observed at the optimum exposure dose, and the actual size on the wafer of the isolated line pattern having a dimension of 140 nm on the mask was measured, which was called mask fidelity (the larger the dimension on the wafer, the better the dimension). . In addition, the roughness of the pattern (LER = line edge roughness) was observed and judged as three-step evaluation (good, possible, or poor).

본 발명의 레지스트 재료의 평가 결과(한계 해상성 및 마스크 충실성, LER)를 표 10에, 비교용 레지스트 재료의 평가 결과(한계 해상성 및 마스크 충실성, LER)을 표 11에 각각 나타낸다.The evaluation result (limit resolution and mask fidelity, LER) of the resist material of this invention is shown in Table 10, and the evaluation result (limit resolution and mask fidelity, LER) of a comparative resist material is shown in Table 11, respectively.

Figure 112007083610751-pat00079
Figure 112007083610751-pat00079

Figure 112007083610751-pat00080
Figure 112007083610751-pat00080

표 10의 결과로부터, 본 발명의 레지스트 재료(실시예 1 내지 34)가 우수한 해상 성능을 가짐과 동시에, LER이 잘 억제되어 있는 것을 확인할 수 있었다. 한편, 표 11 중의 비교예 1 내지 3에서는, 종래의 수지를 이용한 경우, 해상 성능이 열악하거나 또는 LER이 억제되지 않는 것이 분명해졌다. 또한, 표 11 중의 비교예 4 내지 9에서는, 본 발명의 수지를 이용하더라도 종래의 산 발생제와 조합한 경우에는 그의 이점을 이끌어내는 것이 곤란하고, 특히 해상 성능에 있어서 종래품으로부터 개선되지 않은 것을 알 수 있었다. From the results in Table 10, it was confirmed that the resist materials (Examples 1 to 34) of the present invention had excellent resolution performance and LER was well suppressed. On the other hand, in Comparative Examples 1 to 3 in Table 11, it was evident that the resolution performance was poor or LER was not suppressed when the conventional resin was used. In Comparative Examples 4 to 9 in Table 11, even when the resin of the present invention is used, when combined with a conventional acid generator, it is difficult to derive its advantages, and in particular, it has not been improved from conventional products in resolution performance. Could know.

이상으로부터, 특정 반복 단위를 갖는 고분자 화합물을 기재 수지로 하고, 또한 발생 산의 확산성이 억제된 특수한 산 발생제를 조합하여 이용하는 본 발명의 레지스트 재료가, 종래 기술로 구축된 것에 비해 해상 성능이 개선되고, LER이 감소된 것을 확인할 수 있었다.As mentioned above, the resist performance of this invention using the high molecular compound which has a specific repeating unit as a base resin, and combining the special acid generator which the diffusibility of the generated acid was suppressed compared with what was built by the prior art is the resolution performance. It was confirmed that the improvement and the LER was reduced.

Claims (5)

산의 작용에 의해 알칼리 현상액에 가용이 되는 수지 성분(A)와, 활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(B)를 함유하며, 수지 성분(A)가 하기 화학식 1로 표시되는 반복 단위를 갖는 고분자 화합물이고, 산을 발생하는 화합물(B)가 하기 화학식 2로 표시되는 술포늄염 화합물인 것을 특징으로 하는 포지티브형 레지스트 재료.A resin component (A) which is soluble in an alkaline developer by the action of an acid, and a compound (B) which generates an acid in response to actinic radiation or radiation, wherein the resin component (A) is represented by the following formula (1) A positive resist material, wherein the compound (B) generating a acid is a sulfonium salt compound represented by the following formula (2). <화학식 1><Formula 1>
Figure 112007083610751-pat00081
Figure 112007083610751-pat00081
(식 중, R1은 각각 독립적으로 수소 원자, 메틸기 또는 트리플루오로메틸기를 나타낸다. R2는 산불안정기를 나타낸다. R3은 수소 원자 또는 CO2R4를 나타낸다. R4는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. X는 O, S, CH2 또는 CH2CH2를 나타낸다. m은 1 또는 2이다. n은 1 또는 2이다. a, b, c, d는 각각 각 반복 단위의 존재비를 나타내고, a, b, c, d는 각각 0.01 이상 1 미만이고, a+b+c+d=1이다.)(Wherein R 1 each independently represents a hydrogen atom, a methyl group or a trifluoromethyl group. R 2 represents an acid labile group. R 3 represents a hydrogen atom or CO 2 R 4. R 4 contains a hetero atom. A linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms, X represents O, S, CH 2 or CH 2 CH 2. m is 1 or 2 n is 1 or 2 A, b, c and d each represent the abundance of each repeating unit, and a, b, c and d are each 0.01 or more and less than 1, and a + b + c + d = 1.) <화학식 2><Formula 2>
Figure 112007083610751-pat00082
Figure 112007083610751-pat00082
(식 중, R5, R6, R7은 각각 독립적으로 수소 원자, 또는 헤테로 원자를 포함할 수도 있는 탄소수 1 내지 20의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다. R8은 헤테로 원자를 포함할 수도 있는 탄소수 7 내지 30의 직쇄상, 분지상 또는 환상의 1가 탄화수소기를 나타낸다.)(In formula, R <5> , R <6> , R <7> respectively independently represents a C1-C20 linear, branched or cyclic monovalent hydrocarbon group which may contain a hydrogen atom or a hetero atom. R <8> is hetero C7-C30 linear, branched or cyclic monovalent hydrocarbon group which may contain an atom.)
제1항에 있어서, 수지 성분(A)의 산불안정기가 하기 화학식 1a 내지 1e로부터 선택되는 1종 또는 2종 이상인 것을 특징으로 하는 포지티브형 레지스트 재료. The positive resist material according to claim 1, wherein the acid labile group of the resin component (A) is one or two or more selected from the following general formulas (1a) to (1e). <화학식 1a><Formula 1a>
Figure 112007083610751-pat00083
Figure 112007083610751-pat00083
<화학식 1b><Formula 1b>
Figure 112007083610751-pat00084
Figure 112007083610751-pat00084
<화학식 1c><Formula 1c>
Figure 112007083610751-pat00085
Figure 112007083610751-pat00085
<화학식 1d><Formula 1d>
Figure 112007083610751-pat00086
Figure 112007083610751-pat00086
<화학식 1e><Formula 1e>
Figure 112007083610751-pat00087
Figure 112007083610751-pat00087
(식 중, 파선은 결합수를 나타낸다. R9, R10, R11, R12, R13은 각각 독립적으로 탄소수 1 내지 4의 직쇄상 또는 분지상 알킬기를 나타낸다. o는 1 또는 2이다. p는 1 또는 2이다.)(In formula, a broken line shows a bond number. R <9> , R <10> , R <11> , R <12> and R <13> represent a C1-C4 linear or branched alkyl group each independently. O is 1 or 2. p is 1 or 2.)
제1항 또는 제2항에 기재된 레지스트 재료를 기판 상에 도포하는 공정, 가열 처리 후 포토마스크를 통해 고에너지선 또는 전자선으로 노광하는 공정, 및 가열 처리한 후에 현상액을 이용하여 현상하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법.The process of apply | coating the resist material of Claim 1 or 2 on a board | substrate, the process of exposing with high energy rays or an electron beam through a photomask after heat processing, and the process of developing using a developing solution after heat processing. Pattern forming method characterized in that. 제1항 또는 제2항에 기재된 레지스트 재료를 기판 상에 도포하는 공정, 가열 처리 후 포토마스크를 통해 고에너지선 또는 전자선으로 노광하는 공정, 및 가열 처리한 후에 현상액을 이용하여 현상하는 공정을 포함하는 패턴 형성 공정에서, 굴절률 1 이상의 액체를 레지스트 도포막과 투영 렌즈 사이에 개재시켜 액침 노광을 행하는 것을 특징으로 하는 패턴 형성 방법.The process of apply | coating the resist material of Claim 1 or 2 on a board | substrate, the process of exposing with high energy rays or an electron beam through a photomask after heat processing, and the process of developing using a developing solution after heat processing. In the pattern formation process, liquid immersion exposure is performed by interposing the liquid with refractive index 1 or more between a resist coating film and a projection lens. 제1항 또는 제2항에 기재된 레지스트 재료를 기판 상에 도포하는 공정, 가열 처리 후 포토마스크를 통해 고에너지선 또는 전자선으로 노광하는 공정, 및 가열 처리한 후에 현상액을 이용하여 현상하는 공정을 포함하는 패턴 형성 공정에서, 레지스트 도포막 위에 보호막을 더 도포하고, 굴절률 1 이상의 액체를 상기 보호막과 투영 렌즈 사이에 개재시켜 액침 노광을 행하는 것을 특징으로 하는 패턴 형성 방법.The process of apply | coating the resist material of Claim 1 or 2 on a board | substrate, the process of exposing with high energy rays or an electron beam through a photomask after heat processing, and the process of developing using a developing solution after heat processing. In the pattern formation process, a protective film is further apply | coated on a resist coating film, and the pattern formation method characterized by performing immersion exposure by interposing the liquid with refractive index 1 or more between the said protective film and a projection lens.
KR1020070118960A 2006-11-22 2007-11-21 Positive Resist Compositions and Patterning Process KR101028646B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00315315 2006-11-22
JP2006315315A JP4296447B2 (en) 2006-11-22 2006-11-22 Positive resist material and pattern forming method

Publications (2)

Publication Number Publication Date
KR20080046581A KR20080046581A (en) 2008-05-27
KR101028646B1 true KR101028646B1 (en) 2011-04-11

Family

ID=39555242

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070118960A KR101028646B1 (en) 2006-11-22 2007-11-21 Positive Resist Compositions and Patterning Process

Country Status (3)

Country Link
JP (1) JP4296447B2 (en)
KR (1) KR101028646B1 (en)
TW (1) TWI375122B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5019071B2 (en) * 2007-09-05 2012-09-05 信越化学工業株式会社 Novel photoacid generator, resist material and pattern forming method using the same
KR101550947B1 (en) * 2008-02-25 2015-09-07 주식회사 다이셀 Monomer having electron-withdrawing substituent and lactone skeleton, polymeric compound, and photoresist composition
JP5530645B2 (en) * 2008-03-26 2014-06-25 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
US9046773B2 (en) 2008-03-26 2015-06-02 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method using the same, polymerizable compound and polymer compound obtained by polymerizing the polymerizable compound
JP5205133B2 (en) * 2008-06-04 2013-06-05 東京応化工業株式会社 Compound, acid generator, resist composition, and resist pattern forming method
JP5469845B2 (en) * 2008-06-20 2014-04-16 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5173642B2 (en) * 2008-07-18 2013-04-03 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5393325B2 (en) * 2008-08-01 2014-01-22 富士フイルム株式会社 Positive resist composition and pattern forming method using the same
JP4771101B2 (en) * 2008-09-05 2011-09-14 信越化学工業株式会社 Positive resist material and pattern forming method
JP4655128B2 (en) * 2008-09-05 2011-03-23 信越化学工業株式会社 Positive resist material and pattern forming method
JP5325519B2 (en) * 2008-10-09 2013-10-23 東京応化工業株式会社 Positive resist composition, polymer compound, and resist pattern forming method
US8450041B2 (en) * 2009-01-16 2013-05-28 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP5433268B2 (en) * 2009-03-19 2014-03-05 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
TW201106101A (en) * 2009-06-01 2011-02-16 Fujifilm Electronic Materials Chemically amplified positive photoresist composition
JP5206986B2 (en) 2009-06-04 2013-06-12 信越化学工業株式会社 Positive resist material and pattern forming method
JP5722558B2 (en) * 2009-06-23 2015-05-20 住友化学株式会社 Resist composition
KR20160122117A (en) 2014-02-14 2016-10-21 미츠비시 가스 가가쿠 가부시키가이샤 (meth)acrylic acid ester compound and production method therefor
WO2015122470A1 (en) 2014-02-14 2015-08-20 三菱瓦斯化学株式会社 Method for producing novel alicyclic ester compound, novel alicyclic ester compound, (meth)acrylic copolymer produced by polymerizing said compound, and photosensitive resin composition using said copolymer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020070808A (en) * 2001-02-23 2002-09-11 후지 샤신 필름 가부시기가이샤 Positive photosensitive composition
KR20020077275A (en) * 2001-03-30 2002-10-11 후지 샤신 필름 가부시기가이샤 Positive photoresist composition
US6506535B1 (en) 1999-10-28 2003-01-14 Fuji Photo Film Co., Ltd. Positive working photoresist composition
KR20060107340A (en) * 2005-04-06 2006-10-13 신에쓰 가가꾸 고교 가부시끼가이샤 Novel sulfonate salts and derivatives, photoacid generators, resist compositions, and patterning process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6506535B1 (en) 1999-10-28 2003-01-14 Fuji Photo Film Co., Ltd. Positive working photoresist composition
KR20020070808A (en) * 2001-02-23 2002-09-11 후지 샤신 필름 가부시기가이샤 Positive photosensitive composition
KR20020077275A (en) * 2001-03-30 2002-10-11 후지 샤신 필름 가부시기가이샤 Positive photoresist composition
KR20060107340A (en) * 2005-04-06 2006-10-13 신에쓰 가가꾸 고교 가부시끼가이샤 Novel sulfonate salts and derivatives, photoacid generators, resist compositions, and patterning process

Also Published As

Publication number Publication date
KR20080046581A (en) 2008-05-27
JP2008129388A (en) 2008-06-05
TW200900861A (en) 2009-01-01
TWI375122B (en) 2012-10-21
JP4296447B2 (en) 2009-07-15

Similar Documents

Publication Publication Date Title
KR101028646B1 (en) Positive Resist Compositions and Patterning Process
JP4849267B2 (en) Resist material and pattern forming method using the same
KR101054158B1 (en) Positive Resist Composition and Pattern Forming Method
KR101290882B1 (en) Resist Composition and Patterning Process
KR101022602B1 (en) Positive Resist Composition and Patterning Process
KR101316222B1 (en) Positive resist compositions and patterning process
KR101002820B1 (en) Positive Resist Composition and Patterning Process
JP2009098638A (en) Resist composition and patterning process
KR101250099B1 (en) Positive resist compositions and patterning process
KR20090079829A (en) Positive resist compositions and patterning process
KR20100029045A (en) Positive resist composition and patterning process
KR20100029047A (en) Positive resist composition and patterning process
JP2008033287A (en) Positive resist composition and patterning process
KR20100029048A (en) Positive resist composition patterning process
KR101094563B1 (en) Positive Resist Compositions and Patterning Process
JP4840596B2 (en) Resist material and pattern forming method
KR20100029046A (en) Positive resist composition and patterning process
KR101204122B1 (en) Positive Resist Compositions and Patterning Process
KR101145545B1 (en) Positive Resist Compositions and Patterning Process
KR101054163B1 (en) Positive resist material and pattern formation method
JP4831360B2 (en) Positive resist composition and pattern forming method
JP4835869B2 (en) Positive resist composition and pattern forming method
KR20080046580A (en) Positive resist compositions and patterning process

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140319

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180316

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190318

Year of fee payment: 9