TWI344686B - Circuit component and process for forming the same - Google Patents

Circuit component and process for forming the same Download PDF

Info

Publication number
TWI344686B
TWI344686B TW95136114A TW95136114A TWI344686B TW I344686 B TWI344686 B TW I344686B TW 95136114 A TW95136114 A TW 95136114A TW 95136114 A TW95136114 A TW 95136114A TW I344686 B TWI344686 B TW I344686B
Authority
TW
Taiwan
Prior art keywords
wafer
layer
circuit
metal
metal line
Prior art date
Application number
TW95136114A
Other languages
Chinese (zh)
Other versions
TW200816373A (en
Inventor
Mou Shiung Lin
Jin Yuan Lee
Chien Kang Chou
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Megica Corp filed Critical Megica Corp
Priority to TW95136114A priority Critical patent/TWI344686B/en
Publication of TW200816373A publication Critical patent/TW200816373A/en
Application granted granted Critical
Publication of TWI344686B publication Critical patent/TWI344686B/en

Links

Description

13446861344686

MEGA06-015TWB 九、發明說明: • 【發明所屬之技術領域】 * 本發明係有關一種線路元件,特別是有關一種在一積體電路 (integrated circuit,1C)晶片上,利用保護層(passivati〇n layer)上方 形成的金屬線路或平面將訊號由一晶片内建電路(〇n_chip drcuit) 單元傳送至其它電路單元,或是將電源電壓或接地參考電壓傳送 至其它電路單元的結構及其方法。 【先前技術】 鲁 現今的許多電子元件都需要在一高速以及/或是低功率消耗的 情况下運行。此外,現在的電子系統、模組或電路板(c〗rcub〇arcj) 包含有許多不同類型的晶片,例如中央處理單位(Central 籲 Processing Units ’ CPUs}、數位訊號處理器(Digital SignalMEGA06-015TWB IX. Description of the invention: • Technical field to which the invention pertains. The present invention relates to a circuit component, and more particularly to a protective layer (passively) on an integrated circuit (1C) wafer. The metal line or plane formed above the layer transmits signals from a chip built-in circuit (〇n_chip drcuit) unit to other circuit units, or a structure in which a power supply voltage or a ground reference voltage is transmitted to other circuit units and a method thereof. [Prior Art] Many of today's electronic components require operation at high speeds and/or low power consumption. In addition, today's electronic systems, modules or boards (c) rcub〇arcj contain many different types of wafers, such as Central Processing Units (CPUs), Digital Signal Processors (Digital Signal).

Processors,DSPs)、類比晶片(anai〇g chip)、動態隨機存取記憶體 (DRAMs)、靜態隨機存取記憶體(SRAMs)或快閃記憶體(F丨ashs) 等。每一晶片係使用不同類型以及/或是不同世代的積體電路製程 鲁技術來裝k。例如’在現今的筆記型個人電腦(notebook personal computer)中’中央處理單位可能是使用一先進的65奈米(nm)技術 來製造,其電源供應電壓為1.2伏特(V),類比晶片係使用一 〇.25 微米(//m)積體電路製程技術來製造,其電源供應電壓為33伏 特’動態隨機存取記憶體晶片使用一 9〇奈米積體電路製程技術來 φ製造’其電源供應電壓為1.5伏特,而快閃記憶體晶片則是使用一 0·18微米技術來製造’其電源供應電壓為2 5伏特。由於在一單一Processors, DSPs, analog chips, dynamic random access memory (DRAMs), static random access memory (SRAMs), or flash memory (F丨ashs). Each chip is loaded with different types and/or different generations of integrated circuit process technology. For example, 'in today's notebook personal computer' the central processing unit may be manufactured using an advanced 65 nanometer (nm) technology with a power supply voltage of 1.2 volts (V), analog wafer system use. A .25 micron (//m) integrated circuit process technology is fabricated with a power supply voltage of 33 volts. 'Dynamic random access memory chips use a 9-inch nanoscale integrated circuit process technology to manufacture 'power. The supply voltage is 1.5 volts, while the flash memory chip is fabricated using a 0. 18 micron technology's power supply voltage of 25 volts. Due to a single

MEGA 06-015TWB 系統中具有料的供應龍,所以便需要有⑼喊(⑽_ehip)之穩 Φ °"( age regUlator)、變壓器(v〇ltage converter)或是包含有穩壓 與II壓的電路設計,例如動態隨機存取記憶體晶片需要一晶片内 建變壓器來將3.3伏特電壓轉換到15伏特,而快閃記憶體晶片則 f要-晶片内建變壓器來將3 3伏特電壓轉換到2 5伏特。其中, 片内建穩壓H、變壓II或含有穩壓與變I的電路設計係透過晶 片内建電源/接地參彳電舰缝bu報供—穩定電 Φ壓給在同-晶片上不同位置的半導體元件。3,若於一晶片内建 穩壓器、變壓器或含有穩壓與變壓的電路設計加入低電阻的電源/ 接地參考電壓線路,除了可轉能源消耗減到最少之外,亦可減 Φ少因為負載之電容與電阻波動所造成的雜訊。 在美國專利帛6,495,442號中,其係揭露出一種晶圓頂端上的 後護層(post-passivation)結構。在此積體電路保護層上的後護層結 構係用來作為全面性(global)、電源、接地參考電壓或訊號分配網 φ路。其中’電源/接地參考電壓是來自一外部(晶片外部)電源供應 器。 在美國專利第6,649,509號中係揭露出一種在積體電路保護層 上形成後濩層連接線路(post-passivation interconnection)結構的浮 凸製程(embossing process),其可用來作為電源、接地參考電壓、 時脈(clock)或訊號的全面性分配網路。 • 【發明内容】 本發明之一目的,係透過保護層(passivati〇n)上的金屬線路或 1344686The MEGA 06-015TWB system has a supply of materials, so it needs to have (9) shout ((10)_ehip) stable φ ° " ( age regUlator), transformer (v〇ltage converter) or circuit containing voltage regulator and II voltage Designs, such as DRAM chips, require a chip built-in transformer to convert 3.3 volts to 15 volts, while flash memory chips require a chip built-in transformer to convert 3 3 volts to 2 5 volt. Among them, the chip built-in voltage regulator H, transformer II or circuit design with voltage regulation and variable I is reported by the built-in power/grounding 彳 舰 舰 — — 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定 稳定Position of the semiconductor component. 3. If a voltage regulator, transformer, or circuit with voltage regulation and voltage transformation is built into a chip, a low-resistance power/ground reference voltage line is added. In addition to minimizing the energy consumption of the converter, the Φ can be reduced. The noise caused by the fluctuation of the capacitance and resistance of the load. In U.S. Patent No. 6,495,442, a post-passivation structure on the top of a wafer is disclosed. The back cover structure on the integrated circuit protection layer is used as a global, power, ground reference voltage or signal distribution network. Where the 'power/ground reference voltage is from an external (out-of-wafer) power supply. An embossing process for forming a post-passivation interconnection structure on a protective layer of an integrated circuit is disclosed in U.S. Patent No. 6,649,509, which can be used as a power supply, a ground reference voltage, A comprehensive distribution of the clock or signal. • SUMMARY OF THE INVENTION One object of the present invention is to pass through a metal line on a protective layer or 1344686

MEGA 06-015TWB 平面,使保護層下方的晶片内建電路單元將訊號傳送至同一晶片 φ (IC chip)上的數個元件或電路單元。 本發明之-目的’係透過保護層上的金屬線路或平面,使保 護層下方的晶片内建穩顧將電源傳送至同―晶片上的數個元件 或電路單元。 本發明之-目的,係透過保護層上的金屬線路或平面,使保 護層下方的晶片内建題器將電源傳送簡—晶片上的數個元件 B 或電路單元。 本發明之—目的,係树侧為寄纽應(parasitieeffect)所造 成之傳送至數個元件或電路單元的訊號損失。 本發明之-目的,係在降個為寄纽麟造成之傳送至數 個元件或電路單元的電源損失。 本發明之—目的’係透過保護層開口以及形成在保護層上的 金屬電路或平面’將電源傳送聰個元件或電路單元。The MEGA 06-015TWB plane allows the chip built-in circuit cells under the protective layer to transmit signals to several components or circuit elements on the same chip φ (IC chip). The object of the present invention is to pass through the metal lines or planes on the protective layer so that the wafers underneath the protective layer are internally built to ensure that power is transferred to a plurality of components or circuit elements on the same wafer. SUMMARY OF THE INVENTION The object of the present invention is to enable a power source within a wafer to transmit power to a plurality of components B or circuit elements on a wafer through a metal trace or plane on the protective layer. The object of the present invention is to lose the signal transmitted to several components or circuit units by the parasitie effect. The object of the present invention is to reduce the power loss to a number of components or circuit units caused by a drop of a button. The object of the present invention is to transmit power to a singular element or circuit unit through a protective layer opening and a metal circuit or plane formed on the protective layer.

本發明之—目的,係透過賴層上的金屬線路或平面,將來 自至少一内部電路或内祝件的《、電源、或接地參考電壓輪 出分配到至少-另—内部電路或内部元件。 本發月之目的,係透過保護層上的金屬線路或平面,將來 自至少-内部電路或内部元件的訊號、電源、或接地參考電壓 出分配到至少一另-内部電路或内部元件’而無須連接到靜雷妨 電(ESD)防護電路、驅動器電路或接收器電路。 電放 1344686The object of the present invention is to distribute the ", power supply, or ground reference voltage" from at least one internal circuit or internal component to at least the other internal circuit or internal component through a metal line or plane on the layer. The purpose of this month is to distribute the signal, power, or ground reference voltage from at least the internal circuit or internal components to at least one other internal circuit or internal component through the metal lines or planes on the protective layer. Connect to an ESD protection circuit, driver circuit, or receiver circuit. Electric discharge 1344686

MEGA 06-015TWBMEGA 06-015TWB

自至==電=内Γ過保護層上的金屬線路或平面,將來 片外部)電路。彻或槪件,蝴物li外部(晶 本發明之-目的,係透過倾層下的細線路金屬結構伽杨 metal)結構以及保護層上的金屬線路或平面,將内部電路或内部元 件所產生的訊號傳送至外部電路。 • 本發明之一目的’係透過保護層上的金屬線路或平面,將來 自至少-内部電路或内部元件的訊號、電源、或接地參考電壓輸 出分配到至少-另-内部電路或内部元件’而且保護層上的接觸 鲁結構分別與一晶片接外(〇ff_chip)電路以及外部電路連接。 本發明之-目的,猶過倾層上的金祕路或平面來分配 -外部電源供應器至内部f路以及—接觸結構至此外部電源供應 器的電源與接地參考電壓。 • 根據本發明之目的,一線路元件包括-保護層上的金層線路 或平面,並可利用此金屬線路或平面分配—穩壓器輸往内部電路 的電壓以及/或是電流。 根據本發明之目的,一線路元件包括—保護層上的金屬線路 或平面,並可利用此金屬線路或平面將來自至少一内部電路或内 部元件的訊號、電源、或接地參考電壓輸出分配到至少一另一内 _部電路或内部元件。 1344686From to == electric = inside the metal circuit or plane on the protective layer, the external circuit). The internal circuit or internal components are produced by the outer or outer part of the butterfly li (the invention is designed to pass through the fine-line metal structure gamma metal under the tilting layer) and the metal line or plane on the protective layer. The signal is transmitted to an external circuit. • One of the objects of the present invention is to distribute a signal, power supply, or ground reference voltage output from at least an internal circuit or internal component to at least another internal circuit or internal component through a metal line or plane on the protective layer. The contact Lu structures on the protective layer are respectively connected to a chip external (〇 ff_chip) circuit and an external circuit. The object of the present invention is to distribute the external power supply to the internal f-path and the contact power supply to the external power supply and the ground reference voltage. • For the purposes of the present invention, a line component includes a gold layer line or plane on a protective layer and can be utilized to distribute the voltage and/or current to the internal circuitry of the voltage regulator. For the purposes of the present invention, a line component includes a metal line or plane on a protective layer, and the signal, power, or ground reference voltage output from at least one internal circuit or internal component can be distributed to at least the metal line or plane. An internal internal circuit or internal component. 1344686

MEGA06-0I5TWB 根據本發明之目的MEGA06-0I5TWB according to the purpose of the invention

一線路元件包括一保護層上的金屬線路 或平面,此金麟路解面可絲自至少—内部電路或内部元件 的訊號、電源、或接地參考賴輸出分配到至少_另—内部電路 或内部元件’並卿-保護層上的接觸結構連接—晶片接外 到外界電路。 根據本發明之目的,—線路元件包括—保護層上的金屬線路 或平面,並·此金麟路或平面來分配—外部電源供應器至内 #部電路以及-接觸結構到外部電源供應器的電源與接地參考電 壓。 【實施方式】 本發明所述之線路元件係包括晶圓(_〇1_爾㈣、晶片 ® (chip)或封裝單體等。 接一穩壓器或變壓器之保護層上方 (over-paeeivation)電源/接地參考電壓匯流排。 • 請先同時參閱第1B圖至第1C圖、第2B圖至第2C圖與第 3B圖至第3D圖所示,其係揭露出本發明的第一實施例。其中, 第圖與第1C圖呈現出-簡化的電路示意圖,其係利用保護層 5上的金屬線路或平面81以及/或是金屬線路或平面82連接穩壓 器(voltage regulator)或變壓器(vdtage c〇nverter)4i 與内部電路 2〇(包括2卜22、23、24) ’並利用此金屬線路或平面8ι以及/或是 • ^屬線路或平面82分配—穩壓器錢壓ϋ 41輸出之電壓以及/或 是一接地參考賴。第2Β圖與第2C圖分別呈現出第m圖與第A line component includes a metal line or plane on a protective layer, and the Jinlin road surface can be distributed from at least the signal, power supply, or ground reference output of the internal circuit or internal component to at least the other internal circuit or internal The component 'clear-contact structure connection on the protective layer—the wafer is connected to the external circuit. According to the purpose of the invention, the line component comprises a metal line or plane on the protective layer, and the Jinlin road or plane is distributed - the external power supply to the internal circuit and the contact structure to the external power supply Power and ground reference voltage. [Embodiment] The circuit component of the present invention includes a wafer (_〇1_尔(四), a chip® (chip) or a package unit, etc.. Over-paeeivation of a voltage regulator or transformer. Power/ground reference voltage busbars. • Please refer to FIGS. 1B to 1C, 2B to 2C, and 3B to 3D, respectively, which reveal the first embodiment of the present invention. In the drawings and FIG. 1C, a simplified circuit schematic is shown, which uses a metal line or plane 81 on the protective layer 5 and/or a metal line or plane 82 to connect a voltage regulator or a transformer ( Vdtage c〇nverter) 4i and internal circuit 2〇 (including 2 卜 22, 23, 24) 'and use this metal line or plane 8 ι and / or • ^ line or plane 82 distribution - voltage regulator ϋ 41 The output voltage and/or a ground reference. The second and second graphs show the mth and the

MEGA 06-015TWB 圖所示之電路的俯視不意圖。第3B圖與第π圖則分別呈現出 第1B圖與第1C圖所不之電路的剖面示意圖。另外,在第】圖系 列與第2縣列中,保護層5是以虛線表示,形成在保護層5上 的線路或平面以“粗線,,來表示,而軸在保護層5下的線路 則是以“細線”來表示’絲種表示法亦咖在本發明的所有實 施例中。 在本實施例中’電源是由一晶片内建的穩壓器或變壓器41藉 由保護層上方的金屬線路或平面傳送至位在同—積體電路晶片 ㈣egmted Circuit ’ IC)上的數個元件(電路)。透過沈積在保護層上 的金屬線路或平面’電源可在低損耗情況下傳制數個元件或電 路單元中。此種加人調控電壓以及利用保護層上方金屬線路或平 面傳輸電壓的設計可以將輸往崎電路之電壓雜絲準地控制 在一電壓準位上。另,穩壓器的輸出電壓是介於此穩壓器内之一 設定目標電壓的正負10%之間(即穩壓器輸出一電壓值時,此電壓 值與設定目標電壓值之間的差值除以設定目標電壓值之百分比係 小於10%) ’並以介於此設定目標電壓的正負5%之間為較佳者, 其中此穩壓器的設定目標電壓值比如是介於0 5伏特至10伏特之 間或疋介於0.5伏特至5伏特之間。所以’藉由此種方式可以防止 輸入節點(input node)受到外部供應電源所產生之電壓突波或是較 大的電壓波動’因此透過此種設計可以改善電路性能。然而,在 某些應用中’由於晶片需要不同於外部供應電源所提供之電壓,MEGA 06-015TWB The circuit shown in the figure is not intended. Fig. 3B and Fig. π are schematic cross-sectional views showing the circuits of Figs. 1B and 1C, respectively. In addition, in the first series and the second county, the protective layer 5 is indicated by a broken line, and the line or plane formed on the protective layer 5 is indicated by a thick line, and the line is under the protective layer 5. It is represented by "thin line". The silk type representation is also in all embodiments of the present invention. In this embodiment, the power supply is made up of a built-in voltage regulator or transformer 41 via a protective layer. A metal circuit or plane is transferred to several components (circuits) on the same-integrated circuit chip (IV). The metal line or planar 'power source deposited on the protective layer can be transmitted under low loss conditions. In the component or circuit unit, the design of the voltage regulation and the transmission of the voltage on the metal line or the plane above the protective layer can control the voltage miscellaneous wire connected to the circuit to a voltage level. The output voltage of the device is between plus or minus 10% of the set target voltage in the regulator (ie, when the regulator outputs a voltage value, the difference between the voltage value and the set target voltage value is divided by the setting. Target voltage value The percentage is less than 10%) 'and preferably between 5% and 5% of the target voltage, wherein the set target voltage of the regulator is between 0 5 volts and 10 volts or 疋Between 0.5 volts and 5 volts, so 'in this way, the input node can be prevented from being subjected to voltage surges generated by external power supply or large voltage fluctuations'. Therefore, this design can be improved. Circuit performance. However, in some applications 'because the chip needs to be different from the voltage supplied by the external supply,

MEGA 06-015TWB 所以晶片内除了穩㈣之外,亦需利用—變壓器將外部供應電源 所提供的電壓轉換成;内所需的電壓。此㈣器可將-輸入電 壓轉換成-輸出電壓’而輪出_與輸人電壓值不同,且輸入電 壓與輸㈣_差鎌續岭壓之百姐大於祕’其中此輸 出電壓比如是介於丨伏鼓1G伏特之間或是介於丨伏特至5伏特 之間。另外’此變壓器的型式可以是-降壓變壓器或是-增壓變 壓器。 第1A圖第2A圖與第3A圖係揭露出習知一穩壓器或變壓 器W如何連接到内部電路2〇(包括2卜η、μ與叫的電路示意 圖、俯視不細與剖面示意圖。此習知技術是·保護層5下的 細線路金屬結構619、6191與61(包括618、6111、6121與6141 , 其中6121又包括6121a、6121b與6121c)來使穩壓器或變壓器41 接文外部供應電源輸人之電壓Vdd、輸出-電壓vee以及傳送電 壓Vcc至内部電路20(包括21、22、23與24)。然而,位於保護層 5下並使用晶圓製程與材料所製造的細線路金屬結構61並無法輕 易地提供厚的金屬層(例如厚度5微米的金屬層)或者是厚的介電 層(例如厚度5微米的介電層)。此外,細線路金屬層的高單位長度 電阻與高單位長度電容會導致電源電壓降(IR voltage drop)、雜訊 (noises)、訊號失真(signai distortion)、傳遞時間延遲(propagation time delay)、高功率消耗(high power consumption)以及產生高熱 (high heat generation) 〇 1344686MEGA 06-015TWB Therefore, in addition to the stability (4) in the chip, it is also necessary to use a transformer to convert the voltage supplied by the external power supply into the required voltage. This (four) device can convert the - input voltage into - output voltage 'and turn out _ different from the input voltage value, and the input voltage and the input (four) _ difference continuation of the ridge pressure of the hundred sisters greater than the secret 'where the output voltage is such as Between the 1V volts of the rafter or between volts and 5 volts. In addition, the type of the transformer can be a step-down transformer or a booster transformer. Fig. 1A, Fig. 2A and Fig. 3A show how a conventional voltage regulator or transformer W is connected to an internal circuit 2 (including a schematic diagram of a circuit diagram of η, μ, and so on, a plan view and a cross-sectional view. The prior art is a thin line metal structure 619, 6191 and 61 under the protective layer 5 (including 618, 6111, 6121 and 6141, wherein 6121 includes 6121a, 6121b and 6121c) to connect the regulator or transformer 41 to the outside. The power supply input voltage Vdd, the output-voltage vee, and the transfer voltage Vcc are supplied to the internal circuit 20 (including 21, 22, 23, and 24). However, the thin circuit is formed under the protective layer 5 and fabricated using the wafer process and material. The metal structure 61 does not easily provide a thick metal layer (for example, a metal layer having a thickness of 5 μm) or a thick dielectric layer (for example, a dielectric layer having a thickness of 5 μm). In addition, the high unit length resistance of the thin wiring metal layer Capacitance with high unit length can cause IR voltage drop, noises, signai distortion, propagation time delay, high power consumption, and high heat generation ( High he At generation) 〇 1344686

MEGA 06-015TWB 明參閱第IB圖所示,其係為本發邮—實施例之電路示意 修圖。在此實施例中,一穩壓器或變壓器41是經由保護層開口训 與細線路金屬結構619接受外部供應電源輸入之電壓·,並輸 出電壓Vcc至内部電路2〇(包括2卜η、23與叫。穩壓器或變 壓器41於節點p輪出的電壓Vcc係透過下列的方式配送至内部電 路2卜22、23、24之電壓節點Tp、Up、Vp、Wp,此方式是首先 透過細線路金屬結構619’往上經過位在保護層5的保護層開口 • 519’,接著經過保護層5上的一金屬線路或平面8卜再來往下通 過保護層開π 511、512、514,之後經過細線路金屬結構61,(包括 6Π、612、614,其中612又包括612a、612b、612c)到内部電路 參20,其中經過細線路金屬結構611至内部電路2ι ;經過細線路金 屬結構612a與細線路金屬結構612b至内部電路22 ;經過細線路 金屬結構612a與細線路金屬結構612c至内部電路23,以及;經 過細線路金屬結構614至内部電路24。 • 另,内部電路2〇(包括21、22、23、24)是至少由一金氧半電 晶體(MOS transistor)所構成,且上述的細線路金屬結構是連接到内 部電路2〇(包括2卜22、Z3、24)的金氧半電晶體,比如連制金 氧半電晶體的源極(source),而此金氧半電晶體可以是“通道宽度 (Channel width)/通道長度(Channel length),’比值介於〇丨至5之間 或是介於0.2至2之間的一 N型金氧半電晶體_〇8 transistor), 籲或是“通道寬度/通道長度”比值介於0 2至1〇之間或介於0.4至 1344686MEGA 06-015TWB Referring to Figure IB, it is a schematic diagram of the circuit of the present invention. In this embodiment, a voltage regulator or transformer 41 receives the voltage of the external power supply input via the protective layer opening and the fine line metal structure 619, and outputs the voltage Vcc to the internal circuit 2 (including 2 η, 23 And the voltage Vcc that the voltage regulator or transformer 41 rotates at the node p is distributed to the voltage nodes Tp, Up, Vp, Wp of the internal circuit 2, 22, 23, 24 by the following method, which is first through the thin line The road metal structure 619' passes upward through the protective layer opening 519' of the protective layer 5, and then passes through a metal line or plane 8 on the protective layer 5 and then passes through the protective layer to open π 511, 512, 514, after After passing through the fine-line metal structure 61, (including 6A, 612, 614, wherein 612 includes 612a, 612b, 612c) to the internal circuit 20, which passes through the thin-line metal structure 611 to the internal circuit 2; through the fine-line metal structure 612a and The fine line metal structure 612b to the internal circuit 22; through the fine line metal structure 612a and the thin line metal structure 612c to the internal circuit 23, and through the fine line metal structure 614 to the internal circuit 24. • In addition, internal electricity The circuit 2〇 (including 21, 22, 23, 24) is composed of at least one MOS transistor, and the above-mentioned fine-line metal structure is connected to the internal circuit 2〇 (including 2, 22, Z3) And 24) a gold-oxygen semi-transistor, such as a source of a MOS transistor, and the MOS transistor may be "Channel width / Channel length," An N-type MOS transistor with a ratio between 〇丨5 or between 0.2 and 2, or a channel width/channel length ratio of 0 2 to 1 〇 between or between 0.4 and 1344686

MEGA 06-015TWB 4之間的—P型金氧半電晶體_〇S transis㈣。此外,流經金屬 鲁線路或平面8】的電流是介於5〇微安培至2毫安培之間或是介於 100微安培至1毫安培之間。 因此,第1B圖所示之結構係使用一金屬線路或平面81作為 -電源線路或平面,此外因為保制5上的金屬線路解面幻是 為-厚金屬導體,*厚金屬導體具有低電賴伽,所以可以大 幅減少金屬線路或平面81所產生的壓降(v〇ltage dr〇p),並可穩定 • 金屬線路或平面81提供的電源電壓。 在第1B圖至第1C圖、第2B圖至第2C圖與第3B圖至第3D 圖中’内部電路20包括内部電路2卜内部電路22、内部電路23 籲與内部電路24,其中内部電路22、24是為反或間^⑽娜),而 内部電路23是為反及_娜_,骑—個域柳反及閉 均有三個輸入節點Ui、Wi、Vi、一個輸出節點u〇、w〇、v〇、一 個電壓Vcc電源節點up、Wp、Vp以及-個接地參考電壓Vss接 ♦地節點Us、Ws、Vs,而内部電路21則具有一個輸入節點幻、一 個輸出節點X〇、一個電M Vcc電源節點Tp與一個接地參考電壓 Vss接地節點丁s。因此,内部電路2〇(包括2卜22、與2句通常 -、有訊號節點(signal n〇de)、電源節點(ρ〇·⑽也)以及接地節點 (gromidnode)。然而’内部電路2〇(包括2卜22、23與2句也可以 龜是任何種型式的積體電路’此部份的内容將-併在後續第15圖 系列中說明内部電路20(包括2卜22、23與24)時敘述;另有關内 13 a-P-type gold oxide semi-transistor _〇S transis (4) between MEGA 06-015TWB 4. In addition, the current flowing through the metal line or plane 8 is between 5 〇 microamperes to 2 milliamps or between 100 microamps and 1 milliamperes. Therefore, the structure shown in FIG. 1B uses a metal line or plane 81 as a power supply line or plane, and since the metal line on the protection 5 is a thick metal conductor, the *thick metal conductor has a low power. Riga, so it can greatly reduce the voltage drop (v〇ltage dr〇p) generated by the metal line or plane 81, and can stabilize the supply voltage provided by the metal line or plane 81. In FIGS. 1B to 1C, 2B to 2C, and 3B to 3D, the internal circuit 20 includes an internal circuit 2, an internal circuit 22, an internal circuit 23, and an internal circuit 24, wherein the internal circuit 22, 24 is for the opposite or between ^ (10) Na), and the internal circuit 23 is for the opposite and _ Na _, riding - a domain and a closed node have three input nodes Ui, Wi, Vi, an output node u〇, W〇, v〇, a voltage Vcc power supply node up, Wp, Vp and a ground reference voltage Vss are connected to the ground nodes Us, Ws, Vs, and the internal circuit 21 has an input node illusion, an output node X〇, An electric M Vcc power supply node Tp is connected to a ground reference voltage Vss ground node s s. Therefore, the internal circuit 2〇 (including 2 卜 22, and 2 sentences usually -, has a signal node (signal n〇de), a power supply node (ρ〇·(10) also), and a ground node (gromidnode). However, 'internal circuit 2〇 (Including 2, 22, 23, and 2 sentences, the turtle can be any type of integrated circuit. The content of this part will be - and the internal circuit 20 will be described in the following series of 15 (including 2, 22, 23, and 24). ) narrative; another related to 13 a

13446861344686

MEGA 06-015TWB 部電路21的-些應用範例則將在隨後第5C圖至第5j圖以及 φ 5M圖至第5R圖中說明。 請同時參閱第2B圖與第3B圖所示,其係分別為本發明第出 圖所示之俯視示意圖與剖面示意圖。在g 3B目中,細線路金屬結 構6U、612、614、619、619,可以是由細線路金屬層6〇與開口 ^ 内填滿的導雜塞⑽形成,形成的方式比如是關略對準的堆叠 方式形成’也就是說上下兩開口 30’之間是大致對準的、上下兩細 •線路金屬層60之間是大致對準的,以及上下兩導電栓塞6〇,之: 也是大致對準的,另細線路金屬層6〇之間是由細線路介電層3_ 如氧化石夕)分開’而有關上述細線路金屬結構的說明亦適用於本發 籲明的所有實施例。在第2B圖中,保護層5上的金屬線路或平面 81可以是單層圖案化金屬層(例如第SB圖的圖案化金屬層S⑴或 多層圖案化金屬層(圖中未示)’而當金屬線路或平面81為多層圖 案化金屬層時’圖案化金制之間係由—聚合物層分開,而此聚 鲁合物層可以疋聚酿亞胺(polyimide,PI)、苯基環丁烯 (benzocydobutene,BCB)、聚對二曱苯⑦邮㈣、環氧基材料 (epoxy-based material) ’例如環氧樹脂或是由位於瑞士之尺沈挪 的 Sotec Microsystems 所提供之 ph〇t〇ep〇xy su_8、彈性材料 (elastomer),例如矽酮(siliC0ne)。此外,金屬線路或平面81係包括 一黏著/阻P早/種子層(adhesion/barrier/seed layer)以及一厚金屬層, 鲁例如在第3B圖巾’圖案化金屬層811包括有一黏著/阻障/種子層 1344686Some of the application examples of the MEGA 06-015 TWB circuit 21 will be described later in the 5C to 5j and φ 5M to 5R drawings. Please refer to FIG. 2B and FIG. 3B at the same time, which are respectively a schematic plan view and a cross-sectional view of the first drawing of the present invention. In g 3B, the fine-line metal structures 6U, 612, 614, 619, 619 may be formed by a fine-circuit metal layer 6〇 and a plug (10) filled in the opening ^, for example, in a manner of The quasi-stacking method forms 'that is, the upper and lower openings 30' are substantially aligned, and the upper and lower two thin lines are substantially aligned between the line metal layers 60, and the upper and lower conductive plugs 6〇, which are also approximate Aligned, the fine-line metal layer 6 分开 is separated by a thin-line dielectric layer 3_ such as oxidized oxide ') and the description of the fine-line metal structure is also applicable to all embodiments of the present invention. In FIG. 2B, the metal line or plane 81 on the protective layer 5 may be a single layer of patterned metal layer (for example, the patterned metal layer S(1) of the SB diagram or the multilayer patterned metal layer (not shown)). When the metal line or plane 81 is a multi-layer patterned metal layer, the 'patterned gold is separated by a polymer layer, and the poly-condensate layer can be polyimide (PI), phenyl ring Benzocydobutene (BCB), poly(p-phenylene benzene) 7 (iv), epoxy-based material 'e.g. epoxy resin or ph〇t〇 provided by Sotec Microsystems, which is located in Switzerland. Ep〇xy su_8, an elastomer (elastomer), such as an anthracene (siliC0ne). In addition, the metal line or plane 81 includes an adhesion/barrier/seed layer and a thick metal layer. Lu, for example, in the 3B towel 'patterned metal layer 811 includes an adhesion/barrier/seed layer 1344686

MEGA 06-015TWB 8111以及-厚金屬層8112。至於有_成金屬線路或平面的 籲方法以及金屬線路或平面_細敘酬將在後料Μ圖系 列、第16圖系列、第17圖系列、第18圖系列與第19圖系列中 說明。另,細線路金屬結構612包括有細線路金屬結構咖、細 線路金屬結構㈣和_路金屬結構似,其_來作為區域性 功率PGW,分配,而金祕路或平面81咖來作為全面 性功率(gk>balP〇wer)的分配,並與細線路金屬結構^,(包括μ卜 • 612、614)及細線路金屬結構619,相連接。請同時參閱第1B圖、 第2B圖與第3B ®所示,外部供應電源在接觸接墊811〇提供一電 壓Vdd ’並在通過-保護層開口 519和一細線路金屬結構_後, 輸入到穩壓器或賴器W ’其中此細線路金屬結構⑽包括細線 路金屬層60最頂層的一金屬接塾(metal _619〇,並透過保護層 開口 519暴露出金屬接墊6190而連接到接觸接墊811〇。 本發明利用一頂端聚合物層99覆蓋金屬線路或平面8卜此頂 •端聚合物層99可以是聚醯亞胺、苯基環丁烯、聚對二曱苯、環氧 基材料(例如環氧樹脂或photoepoxy SU-8)、彈性材料(例如矽_), 例如第3B圖所示,圖案化金屬層811覆蓋一頂端聚合物層99。 另,在保護層5與金屬線路或平面81之間亦可選擇性增加一聚合 物層95,此聚合物層95可以是聚醯亞胺、苯基環丁烯、聚對二甲 笨、環氧基材料(例如環氧樹脂或photoepoxy SU-8)、彈性材料(例 隹如矽酮)’例如第3D圖所示’在保護層5與圖案化金屬層811之 (S) Λ 15 1344686MEGA 06-015TWB 8111 and - thick metal layer 8112. As for the method of _ into metal lines or planes, and the metal line or plane _ fine refinement will be described in the series of drawings, the 16th series, the 17th series, the 18th series and the 19th series. In addition, the fine-line metal structure 612 includes a fine-line metal structure coffee, a fine-line metal structure (four), and a metal structure of a road, which is used as a regional power PGW, and is distributed, and the gold secret road or the plane 81 is used as a comprehensive The power (gk > balP〇wer) is allocated and connected to the fine-line metal structure ^, (including μ Bu• 612, 614) and the fine-line metal structure 619. Please also refer to FIG. 1B, FIG. 2B and FIG. 3B®, the external power supply is provided with a voltage Vdd ' at the contact pad 811 并 and after passing through the protective layer opening 519 and a thin line metal structure _ The voltage regulator or the device W' wherein the thin-line metal structure (10) includes a metal interface (metal _619〇) at the topmost layer of the thin-line metal layer 60, and is connected to the contact through the protective layer opening 519 to expose the metal pad 6190 Pad 811. The present invention utilizes a top polymer layer 99 to cover the metal lines or planes 8. The top polymer polymer layer 99 may be polyimine, phenylcyclobutene, poly(p-nonylbenzene), epoxy. A material (such as epoxy or photoepoxy SU-8), an elastic material (such as 矽_), such as shown in Fig. 3B, the patterned metal layer 811 covers a top polymer layer 99. In addition, the protective layer 5 and the metal line Alternatively, a polymer layer 95 may be selectively added between the planes 81. The polymer layer 95 may be a polyimide, a phenylcyclobutene, a polyparaphenylene or an epoxy material (such as an epoxy resin or Photoepoxy SU-8), elastic material (such as fluorenone) 'for example, 3D Shown 'in the protective layer 5 of the metal layer 811 is patterned (S) Λ 15 1344686

MEGA 06-015TWB 間增加一聚合物層95,其中聚合物層開口 9519、9519,、9511、 I 9512、9514係分別對準在保護層5中的保護層開口 519、519,、511、 512、514。在本發明中,聚合物層開口底部的尺寸可以是小於下 方保護層開口的尺寸,而且聚合物層覆蓋部份保護層開口所暴露 出的接墊,例如在第3D圖中,聚合物層開口 9519、9519,底部的 尺寸即是分別小於下方保護層開口 519、519’的尺寸,而且聚合物 層95覆蓋部份保護層開口 519、519,所暴露出的金屬接墊619〇、 φ 6190’,另外保護層開口 519、519,的尺寸是介於20微米至1〇〇 微米之間’而聚合物層開口 9519、9519,的尺寸則是介於2〇微米 至100微米之間,然而在某些設計中,聚合物層開口的尺寸也可 以疋大於下方保纟 蔓層開口的尺寸’並透過聚合物層開口暴露出保 護層開口所暴路出的所有部份’例如聚合物層開口 9511、9512、 9514的尺寸即是分別大於下方保護層開口 511、512、514的尺寸, 而且聚合物層開口 9511、9512、9514分別暴露出保護層開口 5n、 φ 512、514所暴露出的所有部份’此外保護層開口 、512、514 的尺寸是介於10微米至50微米之間’而聚合物層開口 9511、 9512、9514的尺寸則是介於20微米至1〇〇微米之間。有關上述的 說明亦適用於本發明的所有實施例。 另’用來分配穩定或轉換電壓VCC的金屬線路或平面81除了 可以是皁層圖案化金屬層(如第3B圖所示的圖案化金屬層an)之 •外,亦可以是具有聚合物層沈積在每一金屬層之間的多層圖案化 1344686A polymer layer 95 is added between MEGA 06-015TWB, wherein the polymer layer openings 9519, 9519, 9511, I 9512, 9514 are respectively aligned with the protective layer openings 519, 519, 511, 512 in the protective layer 5, 514. In the present invention, the size of the bottom of the opening of the polymer layer may be smaller than the size of the opening of the lower protective layer, and the polymer layer covers the exposed portion of the opening of the protective layer, for example, in the 3D view, the opening of the polymer layer 9519, 9519, the size of the bottom is smaller than the size of the lower protective layer openings 519, 519', respectively, and the polymer layer 95 covers part of the protective layer openings 519, 519, the exposed metal pads 619 〇, φ 6190' , the additional protective layer openings 519, 519, the size is between 20 microns and 1 〇〇 micron ' and the polymer layer openings 9519, 9519, the size is between 2 〇 micrometers to 100 micrometers, however, In some designs, the size of the opening of the polymer layer may also be larger than the size of the opening of the underlying barrier layer and expose all portions of the opening of the protective layer through the opening of the polymer layer, such as the opening of the polymer layer 9511. The dimensions of 9512, 9514 are respectively larger than the dimensions of the lower protective layer openings 511, 512, 514, and the polymer layer openings 9511, 9512, 9514 are exposed by the protective layer openings 5n, φ 512, 514, respectively. All of the 'other protective layer openings, 512, 514 are between 10 microns and 50 microns in size' and the polymer layer openings 9511, 9512, 9514 are between 20 microns and 1 micron. between. The above description is also applicable to all embodiments of the invention. Alternatively, the metal line or plane 81 used to distribute the stabilizing or switching voltage VCC may be a polymer layer other than the patterned metal layer of the soap layer (such as the patterned metal layer an shown in FIG. 3B). Multilayer patterning 1344686 deposited between each metal layer

MEGA06-015TWB 金屬層,而且多層圖案化金屬層可以透過聚合物層之間的開口, 使不同層的圖案化金屬層連接在一起。 再來’請同時參閱第1A圖、第2A圖與第3A圖所示,其係 為習知相關技術,如圖所示,外部供應電源是以下列所述之方弋 提供穩壓器或變壓器41所需的輸入電壓,其係為:利用保護層開 口 519所暴露出的金屬接墊619〇接收來自外部供應電源輸入的電 壓vdd ’接著往下經過細線路金屬結構619,最後將電壓Vdd輪 • 入到穩壓器或變壓器4卜繼續,經由細線路金屬結構61(包括618、 6111、6121、6141)將電壓調節器或變壓器41的輸出電壓Vcc配 送至内部電路2卜22、23、24的電壓Vcc節點。惟,此習知技術 存在有顯著地能量損失(energy 1〇ss)和速度減慢(speed她以㈣的 缺點。 在第1B圖、第2B圖、第3B圖和第3D圖中,接地參考電壓 表不為Vss,但是並未對其電路、佈局以及結構加以詳述。現請同 •夺參閱第1C圖、第2C圖和第3C圖所示,其係分別為本發明利 用保》蔓層上方金屬線路或平面分配電壓Vcc和接地參考電壓 、”。構的電路示意gj、俯視示意圖和剖面示意圖。其中,除了穩壓 器或變壓器41和内部電路2〇(包括2卜22、23、24)共用-接地參 考電壓之外,也就是除了内部電路20與穩壓器或變壓器41的接 籲地即點Ts、us、Vs、Ws、Rs均連接到同一接地參考電壓節點Es 之外,接地參考電壓Vss的結構及連接方式係與上述提及的電壓 1344686MEGA06-015TWB metal layer, and the multilayer patterned metal layer can penetrate the openings between the polymer layers to connect the patterned metal layers of different layers together. Then, please refer to Figure 1A, Figure 2A and Figure 3A, which are related technologies. As shown in the figure, the external power supply is provided with a voltage regulator or transformer in the following manner. The required input voltage is: the metal pad 619 received by the protective layer opening 519 receives the voltage vdd from the external power supply input, and then passes down the fine line metal structure 619, and finally the voltage Vdd wheel • Go to the regulator or transformer 4 and continue to distribute the output voltage Vcc of the voltage regulator or transformer 41 to the internal circuit 2 via the thin-line metal structure 61 (including 618, 6111, 6121, 6141) 22, 23, 24 Voltage Vcc node. However, this prior art has significant energy loss (energy 1 〇 ss) and slow speed (speed she has the disadvantage of (4). In the 1B, 2B, 3B and 3D, ground reference The voltmeter is not Vss, but its circuit, layout and structure are not described in detail. Please refer to the 1C, 2C and 3C diagrams for the present invention. Above the layer, the metal line or plane distributes the voltage Vcc and the ground reference voltage," the circuit shows gj, a top view and a cross-sectional view. In addition to the regulator or transformer 41 and the internal circuit 2 (including 2, 22, 23, 24) In addition to the common-ground reference voltage, that is, except that the internal circuit 20 and the regulator or the transformer 41 are connected, the points Ts, us, Vs, Ws, and Rs are all connected to the same ground reference voltage node Es. The structure and connection method of the ground reference voltage Vss is the voltage 1344686 mentioned above.

MEGA 06-015TWB VCC相似。在第1C ®、第2C圖和第3C圖中,接收接地參考電 籲壓Vss的接地節點Es是經由保護層5的保護層開口 529與保護層 5下的細線路金屬結構629連接到穩壓器或變壓器^的接地節點 Rs,以及經由金屬線路或平面82(第3C圖中的圖案化金屬層 82〇、保護層開口切、S22、汹以及細線路金屬結構621、幻^ 括622a、622b、622c)、624連接到内部電路21、22、23、24的接 地節點 Ts、Us、Vs、Ws。 • 現請參閱第3C圖所示,其係揭露出保護層上方用來作為電源 /接地參考電壓結構的兩層圖案化金屬層812與82卜其中底層的 圖案化金屬層821是為金屬線路或平面幻,用作分配一接地參考 _電壓Vss的路線、匯流排或平面,而頂層的圖案化金屬層犯則 是為金屬線路或平面8卜用作為分配—雜Vee的線路、匯流排 或平面。另在第3C ®中,號碼821帛以代表作為接地參考電壓的 圖案化金屬層,其中號碼821右邊的數字1係表示第一金屬層, 籲號碼821中間的數字2表示接地(逆㈣由,而號碼821左邊的數字 8貝J表示保護層上方金屬叫。同樣地在第π 圖中,號碼812用以代表作為電源的圖案化金屬層,其中號碼 右邊的數字2係表示第二金屬層,號碼MS中間的數字】表示電 ^Power),而號碼812左邊的數字8則表示保護層上方金屬'。繼 續,一聚合物層98隔開兩圖案化金屬層821與812,以及一頂端 聚合物層"覆蓋在頂端的圖案化金屬層m上,其中聚合物層兕 1344686MEGA 06-015TWB VCC is similar. In the 1Cth, 2Cth, and 3Cth drawings, the ground node Es receiving the ground reference voltage Vss is connected to the voltage regulator via the protective layer opening 529 of the protective layer 5 and the thin line metal structure 629 under the protective layer 5. Grounding node Rs of the transformer or transformer ^, and via metal line or plane 82 (patterned metal layer 82 in FIG. 3C, protective layer opening cut, S22, 汹 and fine line metal structure 621, magic 622a, 622b) , 622c), 624 are connected to the ground nodes Ts, Us, Vs, Ws of the internal circuits 21, 22, 23, 24. • Referring now to Figure 3C, which reveals two layers of patterned metal layers 812 and 82 above the protective layer for use as a power/ground reference voltage structure, wherein the patterned metal layer 821 of the underlying layer is a metal trace or Plane illusion, used to distribute a ground reference _ voltage Vss route, bus bar or plane, while the top layer of patterned metal layer is used for the metal line or plane 8 as a distribution - hybrid Vee line, bus or plane. Also in the 3C®, the number 821 is represented by a patterned metal layer as a ground reference voltage, wherein the number 1 to the right of the number 821 represents the first metal layer, and the number 2 in the middle of the number 821 represents the ground (reverse (four), The number 8 to the left of the number 821 indicates the metal above the protective layer. Similarly, in the πth figure, the number 812 is used to represent the patterned metal layer as the power source, where the number 2 to the right of the number indicates the second metal layer. The number in the middle of the number MS indicates the power ^Power), and the number 8 on the left of the number 812 indicates the metal above the protective layer. Continuing, a polymer layer 98 separates the two patterned metal layers 821 and 812, and a top polymer layer " overlying the patterned metal layer m, wherein the polymer layer 兕 1344686

MEGA 06-015TWB 可以是聚醯亞胺、苯基環丁烯、聚對二甲苯、環氧基材料(例如環 φ氧樹脂或Photo^oxy SU-8)、彈性材料(例如矽酮)。另,可選擇性 形成-聚合物層97(第3C圖中未示)在保護層5與圖案化金屬層 821最底端之間,而此聚合物層97可以是聚醯亞胺、苯基環丁烯、 聚對二甲苯、環氧紐料⑽如環额減ph_pGxy SU 8)、彈 性材料(例如矽酮)。關於第3C圖中之聚合物層97、98、99的材料 與製程則與第3B圖和第3D圖相同,而相關敘述則將在後續第15 •圖系列中說明。此外,第3C圖中用來分配接地參考電壓Vss的圖 案化金屬層821是透過保護層開口 52卜522、524、529以及細線 路金屬結構621、622、624、必連接到保護層下方之内部電路21、 _ 22 23、24的接地節點ts、Us、Vs、Ws以及穩壓器或變壓器w 的接地節點Rs ’而用來分配賴Vcc的圖案化金屬層812則是透 過聚合物層開口(圖中未示)、保護層開口(圖中未示)以及細線路金 屬結構(®巾未示)連接到倾層下方之崎電路2卜22、23、% 籲的電源即點Tp、Up、Vp、Wp以及麵器或變壓器w的電源節 點(圖中未不)。另’流經金屬線路或平面m的電流是介於% 微安培至2毫安培之間或是介於⑽微安培至1毫安培之間。 在某二應用中’金屬線路或平面81除了用在電源設計之外, 金屬線路或平面81内的線路或平面也可以用來傳輸資料或訊號 (例如數位訊號或類比訊號)。同樣地,金屬線路或平面82除了用 接地設計之外,金路或平面82 _線路或平面亦可用來來 1344686MEGA 06-015TWB may be a polyimine, a phenylcyclobutene, a parylene, an epoxy material (e.g., a cyclic oxy resin or Photo^oxy SU-8), or an elastomeric material (e.g., an anthrone). Alternatively, a polymer layer 97 (not shown in FIG. 3C) may be selectively formed between the protective layer 5 and the bottommost end of the patterned metal layer 821, and the polymer layer 97 may be a polyimide or a phenyl group. Cyclobutene, parylene, epoxy resin (10) such as ring fraction minus ph_pGxy SU 8), elastomeric materials (such as anthrone). The materials and processes for the polymer layers 97, 98, and 99 in Fig. 3C are the same as those in Figs. 3B and 3D, and the related description will be described in the subsequent Fig. 15 series. In addition, the patterned metal layer 821 for distributing the ground reference voltage Vss in FIG. 3C is through the protective layer openings 52 522, 524, 529 and the fine-line metal structures 621, 622, 624, and must be connected to the inside of the protective layer. The ground nodes ts, Us, Vs, Ws of the circuits 21, _ 22 23, 24 and the ground node Rs ' of the voltage regulator or transformer w are used to distribute the patterned metal layer 812 of the Vcc through the polymer layer opening ( Not shown in the figure), the protective layer opening (not shown) and the thin-line metal structure (® towel not shown) are connected to the bottom of the tilting layer. 2, 22, 23, the power supply of the point is Tp, Up, Vp, Wp and the power supply node of the polygonizer or transformer w (not shown in the figure). The current flowing through the metal line or plane m is between % microamperes to 2 milliamperes or between (10) microamps to 1 milliamperes. In a second application, the metal line or plane 81 can be used to transmit data or signals (e.g., digital or analog signals) in addition to the power supply design. Similarly, in addition to the grounding design of the metal line or plane 82, the gold road or plane 82 _ line or plane can also be used to 1344686

MEGA 06-015TWB 傳輸資料或訊號(例如數位訊號或類比訊號)。 • 保護層上方結構尚有更多其它型式,其敘述如下:(1)在高性 能(high performance)電路或高精密(high percision)類比電路的應用 上,圖案化金屬層812與圖案化金屬層821之間可以增加用來傳 輸訊號(例如數位訊號或類比訊號)的一圖案化金屬層(圖中未示), 並且在此圖案化金屬層的下方和上方分別形成有一聚合物層(圖中 未示)’使此圖案化金屬層與圖案化金屬層812及圖案化金屬層821 φ 隔開;(2)在高電流(high current)或高精密(high percision)電路的應 用上,圖案化金屬層812的上方可以增加用來分配一接地參考電 壓的一圖案化金屬層(圖中未示)’並且在此圖案化金屬層和圖案化 ^金屬層812之間形成一聚合物層,以及利用一頂端聚合物層覆蓋 此圖案化金屬層。換言之,圖案化金屬層812是在圖案化金屬層 821與此圖案化金屬層的中間,因而形成一種Vss/Vcc/Vss結構在 保護層5上方;(3)若有需要,可以更進一步地在上述(2)中增加的 • 圖案化金屬層上方,形成用來分配一電源的另一圖案化金屬層(圖 中未示)’並且在上述(2)中增加的圖案化金屬層和圖案化金屬層 812之間形成一聚合物層、在上述(2)中增加的圖案化金屬層和另 圖案化金屬層之間形成另一聚合物層,以及一頂端聚合物層覆 蓋在另一圖案化金屬層上,因而產生一種Vss/Vcc/Vss/Vcc(由下到 上的堆疊型式)的電源/接地參考電壓結構。對於高電流電路、高精 鲁密類比電路、高速(high speed)電路、低功率(low power)電路、電 20 (S> 1344686MEGA 06-015TWB transmits data or signals (such as digital signals or analog signals). • There are many other types of structures above the protective layer, which are described as follows: (1) Patterned metal layer 812 and patterned metal layer in high performance circuits or high percision analog circuits. A patterned metal layer (not shown) for transmitting a signal (such as a digital signal or an analog signal) may be added between the 821, and a polymer layer is formed below and above the patterned metal layer (in the figure) Not shown) 'separating the patterned metal layer from the patterned metal layer 812 and the patterned metal layer 821 φ; (2) patterning in applications of high current or high percision circuits A patterned metal layer (not shown) for distributing a ground reference voltage may be added over the metal layer 812 and a polymer layer is formed between the patterned metal layer and the patterned metal layer 812, and The patterned metal layer is covered with a top polymer layer. In other words, the patterned metal layer 812 is intermediate the patterned metal layer 821 and the patterned metal layer, thereby forming a Vss/Vcc/Vss structure over the protective layer 5; (3) if necessary, may further Above the patterned metal layer added in the above (2), another patterned metal layer (not shown) for distributing a power source is formed and the patterned metal layer and patterning added in the above (2) are formed. A polymer layer is formed between the metal layers 812, another polymer layer is formed between the patterned metal layer and the further patterned metal layer added in the above (2), and a top polymer layer is covered in another pattern. On the metal layer, a power/ground reference voltage structure of Vss/Vcc/Vss/Vcc (stacked from bottom to top) is thus produced. For high current circuits, high precision analog circuits, high speed circuits, low power circuits, power 20 (S> 1344686

MEGA06-015TWB 源管理(powermanagement)電路以及高性能電路而言,上述的結構 φ可以提供一種穩定的電源供應器。 請參閱第4圖所示,其係揭露出在第1B圖至第id圖、第2B 圖至第2C圖和第3B圖至第3D圖中所示之穩壓器或變壓器41的 一範例。此範例電路是同時具有穩壓及變壓功能的一變壓器,而 且通常使用在如1991年由B. Prince著而由John Wiley & Sons發 行之“Semiconductor Memories ·· A handbook of Design, Manufacture φ and Appllcation” 一書所述之現代動態隨機存取記憶體(DynamicThe MEGA06-015TWB source management (powermanagement) circuit and high-performance circuit, the above structure φ can provide a stable power supply. Referring to Fig. 4, an example of a regulator or transformer 41 shown in Figs. 1B to id, 2B to 2C, and 3B to 3D is exposed. This example circuit is a transformer with both voltage regulation and voltage transformation functions, and is commonly used in "Semiconductor Memories ·· A handbook of Design, Manufacture φ and by John Wiley & Sons as in 1991 by B. Prince. Modern dynamic random access memory (Applycation) in a book (Dynamic)

Random Access Memory,DRAM)的設計中。如第4圖所示,透過 變壓器的穩壓以及變壓功能,外部供應電源輸入的電壓vdd可被 參轉換成一輸出電壓Vcc’且此輸出電壓vcc與一設定目標電壓vcc〇 之間的差值除以設定目標電壓VccO之百分比係小於1〇%,並以小 於5%為較佳者。如同“先前技術”内容所述,更多現代的積體電路 晶片需要藉由晶片内建變壓器的方式來使外部(系統、電路板、模 •組或電路卡)供應電源所供應的電壓轉換成晶片所需的電壓。此 外,某些晶片,如一動態隨機存取記憶體晶片,在同一晶片上甚 至需要兩倍或者是三倍的電壓,例如周邊控制電路使用33伏特 (V),而記憶體單元陣列區域中的記憶體單元(mem〇^ceU)使用1 5 伏特。 在第4圖中,變壓器包括有兩個電路區塊(c[rcuitbi〇ck),其係 籲為參考電壓產生器(v〇ltagereferencegenerat〇r)41〇以及電流鏡電路 1344686Random Access Memory (DRAM) is designed. As shown in Fig. 4, through the voltage regulation and voltage transformation function of the transformer, the voltage vdd input from the external power supply can be converted into an output voltage Vcc' and the difference between the output voltage vcc and a set target voltage vcc〇 The percentage divided by the set target voltage VccO is less than 1%, and less than 5% is preferred. As described in the "Prior Art" section, more modern integrated circuit chips need to be converted into a voltage supplied by an external (system, board, module, or circuit card) supply by means of a built-in transformer on the chip. The voltage required for the wafer. In addition, some wafers, such as a DRAM chip, require twice or three times the voltage on the same wafer, for example, the peripheral control circuit uses 33 volts (V), and the memory in the memory cell array region The body unit (mem〇^ceU) uses 1 5 volts. In Fig. 4, the transformer includes two circuit blocks (c[rcuitbi〇ck), which are referred to as a reference voltage generator (v〇ltagereferencegenerat〇r) 41〇 and a current mirror circuit 1344686

MEGA 06-015TWB (current mirror cirCuit)410’。參考電壓產生器41〇可在節點R中產 φ生一參考電壓VR,以避免受到節點4199之外部電源供應電壓Vdd 的電壓波動(voltage fluctuation)影響。另,外部電源供應電壓V(Jd 也是參考電壓產生器410的輸入供應電壓(丨叩utsupply v〇Uage)。參 考電壓產生器410包括有兩電壓分壓器(v〇itage 路徑,一是 包括三個連接在一起的P型金氧半電晶體41〇1、41〇3、41〇5,另 一則是括兩個連接在一起的P型金氧半電晶體41〇2、4]〇‘繼續, 籲透過P型金氧半電晶體4103之沒極(drain)與p型金氧半電晶體 4104之閘極(gate)的相連,參考電壓可以受到調控。因此,當 外部電源供應電壓Vdd波動上升時,節點G的電壓上升,導致^ 春^•金氧半電Ba|f 4104的開啟程度較低,進而使參考電壓%下降。 同樣地’當外部電源供應電壓下降時’參考電壓%齡上升。 至此’上述的内容解釋了參考電壓產生器的調整特性。參考 電麼產生器410的輸出是用來作為電流鏡電路,的一參考電 Φ壓。對於一積體電路晶片而言電流鏡電路彻,可以輸出穩定的 電壓並具有大電流的能力,另藉由避免_外部電源供應電壓· 至接地參考電壓Vss的直接高電流路徑,電流鏡電路彻,也可以 消除巨大功率消耗或是浪費。此外,透過p型金氧半電晶體娜 之及極與p型金氧半電晶體41〇6之閘極的相連,以及輸出電壓節 連接至 >考電壓鏡(reference e 汀 曰 •-之閘極,電流鏡電路41。,可輸出的:二= 22 ⑧MEGA 06-015TWB (current mirror cirCuit) 410'. The reference voltage generator 41 产 can generate a reference voltage VR at the node R to avoid being affected by the voltage fluctuation of the external power supply voltage Vdd of the node 4199. In addition, the external power supply voltage V (Jd is also the input supply voltage of the reference voltage generator 410. The reference voltage generator 410 includes two voltage dividers (v〇itage path, one includes three P-type MOS transistors 41〇1, 41〇3, 41〇5 connected together, and the other two P-type MOS transistors 41 〇2, 4]〇' continue The reference voltage can be regulated by the connection of the drain of the P-type MOS transistor 4103 to the gate of the p-type MOS transistor 4104. Therefore, when the external power supply voltage Vdd fluctuates When rising, the voltage of node G rises, causing the opening degree of Ba|f 4104 to be lower, and thus the reference voltage % is lowered. Similarly, when the external power supply voltage drops, the reference voltage is 100% old. Up to this point, the above description explains the adjustment characteristics of the reference voltage generator. The output of the reference generator 410 is used as a reference Φ voltage for the current mirror circuit. For an integrated circuit chip, the current mirror The circuit is complete and can output stable electricity. The ability to compress and have a large current, and by avoiding the direct high current path from the external power supply voltage to the ground reference voltage Vss, the current mirror circuit can eliminate huge power consumption or waste. In addition, through p-type gold The oxygen semi-electrode is connected to the gate of the p-type MOS transistor 41〇6, and the output voltage is connected to the voltage mirror (reference e 曰 - - - - , , , , , , , 电流 电流 电流41., can be output: two = 22 8

MEGA 06-015TWB 的電壓Vcc被控制在一指定的電壓中。另,電導電晶體(conductance transistor)4112係為一小的P型金氧半電晶體,且其閘極與接地參 考電壓Vss相連,因此電導電晶體4112永遠處於開啟狀態;而電 導電晶體4111是為一大的P型金氧半電晶體,且其閘極受到一訊 號Φ的控制,當内部電路在主動週期(activecycle)時,電導電晶體 4111處於開啟狀態,讓p型金氧半電晶體4109與N型金氧半電 晶體4107所形成的電流路徑(current path)以及P型金氧半電晶體 4110與N型金氧半電晶體4108所形成的電流路徑具有快速響應 (fast response)。另外,電導電晶體4111的開啟,可以將内部電路(例 如第1B圖至第1C圖、第2B圖至第2C圖、第3B圖至第3D圖 中的内部電路21、22、23、24)之大暫態電流(transient current)需求 所造成的輸出電壓Vcc瞬間不穩定的情況減到最小。當内部電路 在閒置週期(idle cycle)時,電晶體4111則處於關閉狀態,以避免 功率消耗(power consumption)。 .笔_二實施例:連接内部電路(internal circuit)的保護層上方連接線 路(over-passivation interconnection)。 如本發明之專利權人在先前專利中所揭露的内容,例如美國 專利第6,657,310號和美國專利第6,495,442號,本發明之厚金屬 導體(或是保縣上转金祕路或平面)可以时分配訊號、電壓 或接地參考電壓。另外,本發明所使用之“保護層上方 (〇ver-passivati〇n)”字詞係為本發明之專利權人在先前專利中,例 1344686The voltage Vcc of MEGA 06-015TWB is controlled at a specified voltage. In addition, the conductance transistor 4112 is a small P-type MOS transistor, and its gate is connected to the ground reference voltage Vss, so the electrically conductive crystal 4112 is always on; and the electrically conductive crystal 4111 is It is a large P-type MOS transistor, and its gate is controlled by a signal Φ. When the internal circuit is in the active cycle, the electrically conductive crystal 4111 is turned on, and the p-type MOS transistor is turned on. The current path formed by 4109 and N-type MOS transistor 4107 and the current path formed by P-type MOS transistor 4110 and N-type MOS transistor 4108 have a fast response. In addition, the internal circuit can be turned on by the opening of the electrically conductive crystal 4111 (for example, the internal circuits 21, 22, 23, 24 in FIGS. 1B to 1C, 2B to 2C, and 3B to 3D). The transient instability of the output voltage Vcc caused by the large transient current demand is minimized. When the internal circuit is in an idle cycle, the transistor 4111 is turned off to avoid power consumption. Pen-2 Embodiment: An over-passivation interconnection connected to an internal circuit. As disclosed in the prior patents by the present patentee, for example, U.S. Patent No. 6,657,310 and U.S. Patent No. 6,495,442, the thick metal conductor of the present invention (or Baoxian Jinjin Road or Plane) can be used. Assign a signal, voltage or ground reference voltage. In addition, the phrase "above the protective layer ("ver-passivati〇n"" used in the present invention is the patentee of the present invention in the prior patent, for example 1344686

MEGA 06-015TWB 如美國專利第6,495,442,虎,所選擇使用的“後護声 # 字詞,而“保護層上方”的金屬線路或平面比 如可以用來作為魏電_部電路⑽接線路_nx>nnection)。 在此實知射’厚金屬導體(或是保護層上方的金屬線路或平面) 可將資料或訊號從一第一内部電路的一輸出節點(卿以恥續 送至一第二内部電路的一輸入節點说n〇de)。設計用來連接兩 2相距較_如超過丨絲)之内部電賴的—組她節點(例如 鲁資料、位兀或訊號位址)的一束金屬線路,例如用來連接同一晶片 上之-處理器單元與-記憶體單元間的8位元、16位元、^位元、 64位元、I28位元、256位元、5】2位元或腦位元之資恢或位 籲址)金屬線路,通常這些金屬線路被稱作為匯流排細),此匯流排 比如疋使用在-記憶體中的字元(w_匯流排或位元_匯流 排另由於本發明在保護層上方提供一厚金屬導體(或是保護層 上方的金屬線路或平面)來連接複數内部電路,且此厚金屬導體可 參以遠離半導體元件’所以當訊號經過厚金屬導體(或是保護層上方 的金屬線路或平面)時,可以減少此訊號擾亂下方半導體元件的情 形’或是可以減少下方半導體元件干擾此訊號的情形讓此訊號 具有較佳的完整性(Slgnal integrity)。惟,在此實施例中保護層上 方的厚金屬導體(或是保護層上方的金屬線路或平面)僅連接内部 電路的節點,並沒有經過任何晶片接外輸入/輸㈣路(〇ffchip ® input/output drcuit) ’也沒有連接到一外部電路。此外,本發明之 24 ⑧ 1344686MEGA 06-015TWB, such as U.S. Patent No. 6,495,442, Tiger, has chosen to use the word "post-protection #", and the metal line or plane above the "protective layer" can be used as the Wei-Electronic circuit (10) to connect the line _nx&gt ;nnection). In this case, the 'thick metal conductor (or the metal line or plane above the protective layer) can be used to send data or signals from an output node of a first internal circuit. An input node of the internal circuit says n〇de). It is designed to connect a pair of two nodes (such as the data, location, or signal address) of the internal power of the two. A metal line, for example, is used to connect 8-bit, 16-bit, ^bit, 64-bit, I28-bit, 256-bit, 5-bit, between the processor unit and the memory unit on the same wafer. Metal lines, usually referred to as bus bars, which are used in the memory (w_ bus or bit) _ busbars additionally provide a thick metal conductor (or protective layer) over the protective layer The upper metal circuit or plane) is connected to a plurality of internal circuits, and the thick metal conductor can be separated from the semiconductor component' so that the signal can be reduced when the signal passes through the thick metal conductor (or the metal line or plane above the protective layer) The situation of disturbing the underlying semiconductor component 'either can reduce the situation in which the lower semiconductor component interferes with the signal, so that the signal has better integrity. However, in this embodiment, the thick metal conductor above the protective layer (or The metal line or plane above the protective layer is only connected to the node of the internal circuit, and is not connected to an external circuit through any of the external input/output drucit's. Also, the present invention 24 8 1344686

MEGA 06-015TWB 保護層上方的厚金屬導體(或是保護層上方的金屬線路或平面)設 ^ 計係不同於習知接墊重新配置(pad redistribution)的設計。另,因為 厚金屬導體(或是保護層上方的金屬線路或平面)具有低電阻的優 點且所引起的寄生(parasitic)電容非常低,所以訊號將不會被劇烈 地衰減’使得本發明非常適合用在高速、低功率、高電流或低電 壓的應用上。本發明在大部分情形下,並不需要額外的放大器、 驅動器/接收器或訊號繼電器(repeater)來幫助維持訊號的完整性, φ 然而在某些情況下,則需要一内部驅動器(intemaldriver)、内部接 收器(internalreceiver)、訊號繼電器或者是内部三態緩衝器(imemal tri-state buffer) ’來長距離傳送訊號’且内部驅動器、内部接收器、 内部三態緩衝H或訊號繼電ϋ均包括有尺寸小於晶片接外電路之 金氧半電晶體(MOS transistor)的金氧半電晶體,至於有關内部電 路、内部驅動器、内部接收器、内部三態緩衝器以及晶片接外電 路之金氧半電晶體的尺寸,將在後續的内容中詳加敘述和比較。 • 現請同時參閱第5B圖、第6B圖和第7B圖所示,其係揭露 出本發明的第一實把例。第5B圖呈現出一簡化的電路示意圖其 係利用保護層5上的金屬線路或平面83以及保護層5下的細線路 金屬結構63卜632a、632b、632c、634連接内部電路2〇(包括2卜 22、23、24)。在第5B圖中,内部電路21具有一輸入節點幻與 一輸出節點X〇 ’並透過輸出節點χ〇送出一訊號,而此訊號可藉 •由金屬線路或平面83以及細線路金屬結構仙咖娜卜織、MEGA 06-015TWB The thick metal conductor above the protective layer (or the metal line or plane above the protective layer) is designed differently than the conventional pad redistribution design. In addition, because the thick metal conductor (or the metal line or plane above the protective layer) has the advantage of low resistance and the parasitic capacitance caused is very low, the signal will not be drastically attenuated' making the invention very suitable Used in high speed, low power, high current or low voltage applications. In most cases, the present invention does not require an additional amplifier, driver/receiver or signal repeater to help maintain signal integrity, φ. In some cases, an internal driver, An internal receiver (internalreceiver), a signal relay or an internal imemal tri-state buffer 'to transmit signals over long distances' and internal drivers, internal receivers, internal tri-state buffers H or signal relays are included A MOS transistor with a size smaller than the MOS transistor of the external circuit of the wafer, as for the internal circuit, the internal driver, the internal receiver, the internal tri-state buffer, and the gold-oxide half of the external circuit of the chip. The size of the transistor will be described and compared in detail later. • Referring now to Figures 5B, 6B, and 7B, the first embodiment of the present invention is disclosed. Figure 5B shows a simplified circuit diagram which uses internal wiring or metal 83 on the protective layer 5 and thin metal structures 63 632a, 632b, 632c, 634 under the protective layer 5 to connect the internal circuits 2 (including 2 Bu 22, 23, 24). In FIG. 5B, the internal circuit 21 has an input node phantom and an output node X〇' and sends a signal through the output node, and the signal can be borrowed from the metal line or plane 83 and the fine line metal structure Nabu,

A 25 1344686A 25 1344686

MEGA 06-015TWB 634傳送到内部電路22、23、24的輸入節點、^、训,另内部 φ電路21可以是一邏輯閘(i〇gic gate),例如反或讲❹幻閘、反及 (NAND)閘、或(OR)閘、且(AND)閘,或者是一内部緩衝器(如第 5C圖、第5D圖和第5E圖所示之反相器、内部驅動器或内部三態 緩衝器)。第6B圖呈現出第5B圖所示之電路的俯視示意圖。第 7B圖則呈現出第5B圖所示之電路的剖面示意圖。此外,在第 圖與第6B圖中’形成在保護層5上的線路或平面是以“粗線,,來 • 表示,而形成在保護層5下的線路結構則是以“細線,,來表示。 在本發明中,用來驅動保護層上方金屬線路的内部驅動器係 與美國公開專利第2〇_〇89951號(本發明專利權人的先前專利) 籲所述之晶片内驅動器(intra_chip driver)相同。透過保護層5上的金 屬線路或平面83、保護層5中的保護層開口 532、534以及保護層 5下的細線路金屬結構631、632a、632b、632c、634,三個内部 邏輯電路(内部電路22、24為反或閘,内部電路23為且閘)可以接 _收到内部電路21所傳送的資料或訊號。因為保護層上方的金屬線 路或平面83具有低電阻以及可以產生低寄生電容的特性,所以輸 入卽點Ui Vi、Wi介於Vdd至Vss之間的電壓振幅(voltage swing) 具有非常小的衰減和雜訊。另外,在本實關中,金屬線路或平 面並不需要連接到任何將在後續第u圖系列中用來連接至一外部 電路的晶片接外電路,例如靜電放電(ESD)防護電路、晶片接外驅 動器、晶片接外接收器或晶片接外緩衝器電路(例如晶片三態緩衝 26 1344686The MEGA 06-015TWB 634 is transmitted to the input nodes of the internal circuits 22, 23, 24, and the internal φ circuit 21 can be a logic gate (i〇gic gate), such as a reverse or a slamming gate, a reverse ( NAND) gate, or (OR) gate, and (AND) gate, or an internal buffer (such as inverters, internal drivers, or internal tristate buffers as shown in Figures 5C, 5D, and 5E) ). Figure 6B shows a top plan view of the circuit shown in Figure 5B. Fig. 7B shows a schematic cross-sectional view of the circuit shown in Fig. 5B. In addition, in the figure and FIG. 6B, 'the line or plane formed on the protective layer 5 is represented by "thick line," and the line structure formed under the protective layer 5 is "thin line," Said. In the present invention, the internal driver for driving the metal line above the protective layer is the same as the intra_chip driver described in U.S. Patent No. 2,899,951 (the prior patent of the present patentee). . Through the metal lines or planes 83 on the protective layer 5, the protective layer openings 532, 534 in the protective layer 5, and the thin line metal structures 631, 632a, 632b, 632c, 634 under the protective layer 5, three internal logic circuits (internal The circuits 22 and 24 are inverted or gated, and the internal circuit 23 is connected to the received data or signal transmitted by the internal circuit 21. Since the metal line or plane 83 above the protective layer has low resistance and can produce low parasitic capacitance characteristics, the voltage swing between the input points Ui Vi and Wi between Vdd and Vss has very small attenuation and Noise. In addition, in this implementation, the metal lines or planes do not need to be connected to any external circuit of the chip that will be used to connect to an external circuit in the subsequent U-series series, such as electrostatic discharge (ESD) protection circuits, and wafers. Driver, chip external receiver or chip external buffer circuit (eg wafer tristate buffer 26 1344686

MEGA 06-015TWB 器電路),所以本實施例可改善速度和減少功率消耗。 φ 請同時參閱第第5A圖、第6A圖與第7A圖所示,其係為本 實施例之相關習知技術,如圖所示,位在保護層5下方的内部電 路21是透過細線路金屬結構6311、638、6321a、6321b連接到一 内部電路22(例如一反或閘)、透過細線路金屬結構6311、638、 6321a、6321c連接到一内部電路23(例如一反及閘)以及透過細線 路金屬結構6311、638、6341連接到其它内部電路24(例如一反或 φ 閘)。因此’習知是依賴位於保護層5下方的細線路金屬結構638、 6311、6321、6341來將内部電路21輸出的資料傳送到其它内部電 路22、23、24。惟,習知設計會導致訊號衰減、性能降低、高功 ^ 率消耗以及產生高熱。 接著,凊同時參閱第5B圖與第6B圖所示,其係在保護層5 上建立一金屬線路或平面83,並透過位在保護層5上的金屬線路 或平面83取代第5A圖與第6A圖中細線路金屬結構638,使内部 •電路2卜22、23、24藉由金屬線路或平面83連接在一起,如圖 所不’一訊號由内部電路21的一輸出節點(通常是内部電路21之 一金氧半電晶體的祕)輸出’然後傳送經過保護層5下方的細線 路金屬結構63卜保護層5的保護層開口划以及保護層5上的金 屬線路或平面83 ’接著⑴經過保護層5的保護層開口说以及保 護層5下的細線路金屬結構634,最後往下傳送到内部電路糊 如-反或_-輸人節點(通常是内部電路24之―金氧半電晶體MEGA 06-015 TWB circuit), so this embodiment can improve speed and reduce power consumption. φ Please refer to FIG. 5A, FIG. 6A and FIG. 7A simultaneously, which are related art of the embodiment. As shown in the figure, the internal circuit 21 located under the protective layer 5 is through the fine line. The metal structures 6311, 638, 6321a, and 6321b are connected to an internal circuit 22 (e.g., a reverse or gate), connected to an internal circuit 23 (e.g., a reverse gate) through the thin wiring metal structures 6311, 638, 6321a, and 6321c, and through The thin line metal structures 6311, 638, 6341 are connected to other internal circuits 24 (e.g., a reverse or φ gate). Therefore, it is conventional to rely on the fine line metal structures 638, 6311, 6321, 6341 located under the protective layer 5 to transfer the data output from the internal circuit 21 to the other internal circuits 22, 23, 24. However, conventional designs can cause signal degradation, performance degradation, high power consumption, and high heat. Next, referring to FIGS. 5B and 6B, it is to establish a metal line or plane 83 on the protective layer 5, and replace the 5A and the third through the metal line or plane 83 located on the protective layer 5. The thin-circuit metal structure 638 in Fig. 6A is such that the internal circuits 2, 22, 23, 24 are connected by metal lines or planes 83, as shown by an output node of the internal circuit 21 (usually internal). The output of the MOS of the circuit 21 is 'transmitted' then through the thin-line metal structure 63 under the protective layer 5, the protective layer opening of the protective layer 5, and the metal line or plane 83 on the protective layer 5' (1) The protective layer opening through the protective layer 5 and the fine-line metal structure 634 under the protective layer 5 are finally transferred down to the internal circuit paste such as - anti- or _-input node (usually the internal circuit 24 - the golden oxygen half-electric Crystal

A 1344686A 1344686

MEGA 06-015TWB 的閘極,例如反或閘之一金氧半電晶體的閘極);(2)經過保護層$ 修的保4層開口 532以及保護層5下的細線路金屬結構吻包括 632a、632b、632c),最後傳送到内部電路22(例如一反或閘)與内 部電路23(例如一反及閘)的—輸入節點(通常分別是内部電路 與内部電路23之-金氧半電晶_雜,例如分別是反或閘與反 及閘之一金氧半電晶體的閘極)。 因此,綜上所述,内部電路21的一輸出節點(通常是内部電路 _ 21之-金氧半電晶體的祕)係與保護層5下的細線路金屬結構 ⑶連接’接著經過保護層5的保護層開口 531連接保護層5上的 金屬線路或平面83,最後經過保護層5的保護層開口 s32、534 鲁連接保善層5下的細線路金屬結構Μ2、634,進而與内部電路η、 23、24的一輸入節點(通常是内部電路22、23、%之一金氧半電 晶體的閘極)連接。其中,内部電路21、22、23、24包括一反或間、 一或間、-㈣或-反及閘,且内部電路2卜22、23、24係至少 •由一金氧半電晶體所構成所構成,也就是說反或間、或閉、且間 或反及閘7C至少由-金氧半電晶體所構成,而此金氧半電晶體比 如是尺寸(itif寬度除輯道長度的比值)條αι至5之間或介於 至2之間的一 ν型金氧半電晶體,或是尺寸(通道寬度除以通 〔長度的比值)介於0.2至1〇之間或介於〇 4至4之間的一 ρ型金 鲁,半電晶體,另流經金屬線路或平面Μ的電流比如是介於%微 安ΜμΑ)至2毫安培之間的範圍,或是介於1〇〇微安培至!毫安 28 ⑧ 1344686 MEGA 06-015TWB 培之間。 • '繼續’請同時參閱第7B酸第%圖所示,其係為第5B圖 所不之電路結構的兩種實施態樣,如兩圖所示,保護層$上方的 金屬線路辭φ 83相是單層_化金朗(如第π圖所示之單 層圖案化金屬層831),或者是多層圖案化金屬層,且在每一相鄰 圖案化金屬層之間具有-聚合物層,例如第%斷紅兩層圖案 化金屬層831(包括⑶讀8;^)與咖,且在兩圖案化金屬層如 鳙與832之間具有一聚合物層98。另,保護心上方的金屬線路或 平面83可以覆蓋一頂端聚合物層99(如f 7β圖所示,一頂端聚合 物㈣覆蓋在金屬層831上;如第7C圖所示,一頂端聚合物層 # 99覆蓋在圖案化金屬層832上)’而且頂端聚合物層99並沒有開 口暴露出金屬線路或平面83 ’所以保護層5上方的金屬線路或平 面83(例如圖案化金觸mi或圖案化金屬層卿無法連接到外部 電路。換言之,在此實施例中,金屬線路或平面83(例如圖案化金 鲁屬層831或圖案化金屬層82)並沒有用來連接外部電路的接觸接 塾(contact pad)。 在第7B圖中,圖案化金屬層831的號碼各是代表:“8”是 代表保護層上方金屬,“3”是代表—訊號線路,而“1,,則是代 表保護層上方的第-金屬層。同理推知’在第7C财,圖案化金 屬層832的號碼各是代表.8是代表保護層上方金屬, ♦是代表-訊號線路,“2,,則是代表保護層上方的第二金屬 ⑧ 29 1344686The gate of MEGA 06-015TWB, such as the gate of one of the MOS/semi-transistors of the anti-gate or the gate; (2) the protective layer of the repaired layer 4 532 and the thin-line metal structure under the protective layer 5 632a, 632b, 632c), the last input node to the internal circuit 22 (such as a reverse or gate) and the internal circuit 23 (such as a reverse gate) - usually the internal circuit and the internal circuit 23 - the golden oxygen half The electro-crystals are, for example, the gates of the anti-gate and anti-gate and one of the MOS transistors. Therefore, in summary, an output node of the internal circuit 21 (usually the internal circuit _ 21 - the secret of the MOS transistor) is connected to the fine line metal structure (3) under the protective layer 5, and then passes through the protective layer 5 The protective layer opening 531 is connected to the metal line or plane 83 on the protective layer 5, and finally the protective layer opening s32, 534 of the protective layer 5 is connected to the fine line metal structure Μ2, 634 under the protective layer 5, and further to the internal circuit η, An input node of 23, 24 (usually the internal circuit 22, 23, the gate of one of the MOS transistors) is connected. Wherein, the internal circuits 21, 22, 23, 24 comprise an anti- or inter-, one- or inter-, - (four) or - anti-gate, and the internal circuit 2, 22, 23, 24 are at least • by a metal oxide semi-transistor The composition is constituted, that is, the anti- or inter-, or-close, and inter- or anti-gate 7C is composed of at least a gold-oxygen semi-transistor, and the MOS semi-transistor is, for example, a size (the width of the tiif is divided by the length of the track) Ratio) a ν-type MOS transistor between 5 ι to 5 or between 2, or the size (channel width divided by the ratio of the length) between 0.2 and 1 或 or between Ρ4 to 4 between a ρ-type Jinlu, a semi-transistor, another current flowing through a metal line or a plane 比如, such as a range between % microamperes) to 2 milliamperes, or between 1 〇〇 micro amps to! mAh 28 8 1344686 MEGA 06-015TWB between the trains. • 'Continue', please also refer to the 7th acid diagram of Figure 7B, which is the two implementations of the circuit structure shown in Figure 5B. As shown in the two figures, the metal line above the protective layer is φ 83 The phase is a single layer _ ing jin lang (such as the single layer patterned metal layer 831 shown in Figure π), or a multilayer patterned metal layer with a polymer layer between each adjacent patterned metal layer For example, the first % broken red two-layer patterned metal layer 831 (including (3) read 8; ^) and coffee, and between the two patterned metal layers such as germanium and 832 has a polymer layer 98. Alternatively, the metal line or plane 83 above the protective core may cover a top polymer layer 99 (as shown in the f 7β diagram, a top polymer (4) overlies the metal layer 831; as shown in Figure 7C, a top polymer Layer #99 overlies the patterned metal layer 832)' and the top polymer layer 99 does not have openings exposing the metal lines or planes 83' so the metal lines or planes 83 above the protective layer 5 (eg, patterned gold touch mi or pattern The metal layer cannot be connected to an external circuit. In other words, in this embodiment, the metal line or plane 83 (e.g., the patterned gold-rubber layer 831 or the patterned metal layer 82) has no contact pads for connecting external circuits. In Figure 7B, the numbers of the patterned metal layer 831 are each represented by: "8" represents the metal above the protective layer, "3" represents the signal line, and "1," represents the protection. The first metal layer above the layer. Similarly, it is inferred that in the 7th C, the number of the patterned metal layer 832 is represented by .8 is the metal above the protective layer, ♦ is the representative-signal line, and “2, is the representative. Above the protective layer Metal ⑧ 29 1344686

MEGA 06-015TWB 層。另外’保護層5上的圖案化金屬層831包括一黏著/阻障/種子 φ 層(adhesion/barrier/seed layer)8311 以及一厚金屬層 8312,另外可 選擇性形成一聚合物層95在保護層5和圖案化金屬層831最底層 之間,如第7D圖所示。同理,在第7C圖中,保護層5上的圖案 化金屬層831a、831b、832包括一黏著/阻障/種子層83Ua、8311b、 8321以及一厚金屬層8312a、8312b、8322,而且亦可選擇性形成 一聚合物層95在保護層5和圖案化金屬層831(包括831a、831b) φ 最底層之間。 第7C圖除了保護層上方結構包括有兩圖案化金屬層83丨與 832之外’其餘皆與第7B圖相似。在第7C圖中,其係以兩圖案MEGA 06-015TWB layer. In addition, the patterned metal layer 831 on the protective layer 5 includes an adhesion/barrier/seed layer 8311 and a thick metal layer 8312, and a polymer layer 95 is selectively formed to protect. Between layer 5 and the bottom layer of patterned metal layer 831, as shown in Figure 7D. Similarly, in FIG. 7C, the patterned metal layers 831a, 831b, 832 on the protective layer 5 include an adhesion/barrier/seed layer 83Ua, 8311b, 8321 and a thick metal layer 8312a, 8312b, 8322, and also A polymer layer 95 can be selectively formed between the protective layer 5 and the patterned metal layer 831 (including 831a, 831b) φ the bottom layer. Figure 7C is similar to Figure 7B except that the structure above the protective layer includes two patterned metal layers 83 and 832. In Figure 7C, it is in two patterns

_化金屬層831(包括831a、831b)和圖案化金屬層832來取代第7B 圖中的單一圖案化金屬層831,並利用一聚合物層98來分隔圖案 化金屬層831和圖案化金屬層832另外在訊號傳送方面,一訊號 從内部電路21的輸出節點(通常是内部電路21之一金氧半電晶體 • 的汲極)輸出,然後傳送經過保護層5下方的細線路金屬結構63卜 保護層5中的一保護層開口 531以及保護層5上方的圖案化金屬 層831b,接著(1)在第一路徑中:往上經過聚合物層98中的開口 聚合物層9831,經過圖案化金屬層832,往下經過一聚合物層開 口 9834,經過圖案化金屬層831a,經過保護層5的一保護層開口 534,經過保護層5下方的細線路金屬結構634,最後往下傳送到 鲁内部電路24(例如反或閘)的一輸入節點(通常是内部電路24之一 丄寸A metal layer 831 (including 831a, 831b) and a patterned metal layer 832 are substituted for the single patterned metal layer 831 of FIG. 7B, and a polymer layer 98 is used to separate the patterned metal layer 831 and the patterned metal layer. In addition, in signal transmission, a signal is output from an output node of the internal circuit 21 (usually a drain of a metal oxide half transistor of the internal circuit 21), and then transmitted through a thin line metal structure 63 under the protective layer 5. A protective layer opening 531 in the protective layer 5 and the patterned metal layer 831b over the protective layer 5, followed by (1) in the first path: through the open polymer layer 9831 in the polymer layer 98, patterned The metal layer 832 passes down through a polymer layer opening 9834, passes through the patterned metal layer 831a, passes through a protective layer opening 534 of the protective layer 5, passes through the fine-line metal structure 634 under the protective layer 5, and finally passes down to the Lu An input node of internal circuitry 24 (eg, an inverse or gate) (usually one of internal circuitry 24)

MEGA 06-015TWB 金氧半電晶__,例如反朗之 /*楚-ί々rK ’氧半電晶體的閘極);(2)MEGA 06-015TWB gold oxygen semi-electric crystal __, for example, anti-langu / * Chu - 々 々 rK ‘ oxygen semi-transistor gate); (2)

=下經過保護層5的-保護層開口切以及經過 :5下的細線路金屬結細’最後傳送到内部電路2如 反或閘)與内部電路23(例如 及閘)的—輸入節點(通常分別是内 彻η與内部電路Μ之—金氧半電晶體的閘極,例如分別是 反或閘與反及狀—金氧半電晶體的閑極)。 另,有關本發明第二實施例之保護層上方金屬線路或平面、 聚合物層與内部電路的部份,將在後續第15圖系列、第Μ圖系 列、第17職列、第18難列與第19 _列中詳加敛述。 此外’在第5B圖、第6B圖、第%圖、第%圖與第爪圖 中’金屬線路或平® 83(包括831以及/或是從)未有與用來連接 -外部電路的晶>}料電路連接,所时屬線路或平面83上不會 產生有顯著的電壓降(v〇ltagedr〇p)或是訊號衰減。 另本發明一金氧半電晶體的尺寸可以被定義成是通道寬度 鲁 (channel width)除以通道長度(channel length)的比值,或精確地說是 有效通道見度除以有效通道長度的比值’此定義適用於本發明所 有實施例中。 現在請同時參閱第5C圖至第5E圖所示,其係揭露出内部電 路21作為一内部緩衝器(internal buffer)的範例,其中此内部緩衝 器是至少由一金氧半電晶體(M〇s transistor)所構成’而此金氧半電 •晶體比如包括通道寛度/通道長度比值介於3至60之間或介於5 31 ⑧ 1344686= under the protective layer 5 - the protective layer is opened and passed through: 5 fine wire metal junctions 'finally transferred to the internal circuit 2 such as the reverse or gate" and internal circuit 23 (such as the gate) - the input node (usually They are the internal η and the internal circuit — - the gate of the MOS transistor, for example, the reverse or the gate and the opposite - the idle pole of the MOS transistor. In addition, the portion of the metal line or plane, the polymer layer and the internal circuit above the protective layer according to the second embodiment of the present invention will be in the subsequent 15th series, the third series, the 17th column, the 18th column. Repeatedly with the 19th _ column. In addition, 'in the 5th, 6th, 6th, 100th and 1st claws' metal lines or flats 83 (including 831 and / or slave) are not connected to the crystal used to connect - external circuits >} The circuit is connected, and there is no significant voltage drop (v〇ltagedr〇p) or signal attenuation on the line or plane 83. In addition, the size of a MOS transistor can be defined as the ratio of channel width divided by channel length, or precisely the ratio of effective channel visibility divided by effective channel length. 'This definition applies to all embodiments of the invention. Referring now to FIGS. 5C-5E, the internal circuit 21 is shown as an example of an internal buffer, wherein the internal buffer is at least a MOS transistor (M〇). s transistor) constituting 'and this MOS semi-electric crystal such as including channel twist / channel length ratio between 3 and 60 or between 5 31 8 1344686

MEGA06-015TWB 至20之間的一 p型金氧半電晶體(pM〇s transistor),或是通道寬 參度/通道長度比值介於I.5至3〇之間或介於2 5至1〇之間的一 N 型金氧半電晶體(NMOS transistor),而且此時流經金屬線路或平面 83的電流是介於500微安培至10毫安培之間或是介於7〇〇微安培 至2毫安培之間。第5C圖揭示-反相n叫,用以作為第犯圖、 第6B圖、第7B圖、第7C圖與第7D圖的内部電路。在第一 個應用中’ N型金氧半電晶體則與p型金氧半電晶體21〇2的 # 尺寸可以與使用在内部電路之金氧半電晶體的尺寸相同,所以在 反相器211中,N型金氧半電晶體21〇1的尺寸是介於〇1至5之 間,並以介於〇·2至2之間為較佳者,型金氧半電晶體^犯 鲁的尺寸則是介於0.2至1〇之間,並以介於〇 4至4之間為較佳者。 另外,由反相H 211輸出並且經過保護層5上方的金屬線路或平 面83的電流係介於50微安培(μΑ)至2毫安培之間的範圍,並以 介於100微安培至1毫安培之間的範圍為較佳者。在第二個應用 #中’反相器211需要輸出一較大的驅動電流(drivecurrent),例如當 内部電路22、23、24需要高負載(heayy 1〇ad)時,或者是當内部電 路22、23、24與内部電路21的相距大於!毫米或3毫米而需要 -長距離的連接金屬線路時,反相H 211需要輸^—較大的驅動 電流。此外’來自反相器211輸出的電流係高於一般的内部電路, 且電流,例如1毫安培(mA)或5毫安培,係介於500微安培_ 籲至10毫安培之間的範圍,而以介於7〇〇微安培至2毫安培之間的 32 1344686A p-type pO〇s transistor between MEGA06-015TWB and 20, or a channel wide parameter/channel length ratio between 1.5 and 3〇 or between 25 and 1 An N-type NMOS transistor between turns, and the current flowing through the metal line or plane 83 is between 500 microamps to 10 milliamps or between 7 microamperes to Between 2 milliamperes. Figure 5C reveals an inverted internal n-circuit for use as an internal circuit for the first, sixth, seventh, seventh, and seventh. In the first application, the size of the N-type oxy-halide transistor and the p-type MOS transistor 21〇2 can be the same as the size of the MOS transistor used in the internal circuit, so in the inverter In 211, the size of the N-type MOS transistor 21〇1 is between 〇1 and 5, and is preferably between 〇·2 and 2, and the type of MOS transistor is ruthless. The size is between 0.2 and 1 , and is preferably between 〇4 and 4. In addition, the current output by the reverse phase H 211 and passing through the metal line or plane 83 above the protective layer 5 is in the range of 50 microamperes (μΑ) to 2 milliamperes, and is between 100 microamperes and 1 millimeter. The range between amps is preferred. In the second application #, the inverter 211 needs to output a large drive current, for example, when the internal circuits 22, 23, 24 require a high load (heayy 1 〇 ad), or when the internal circuit 22 , 23, 24 and the internal circuit 21 are greater than! In millimeters or 3 mm, it is necessary to connect the metal lines over long distances, and the inverting H 211 requires a large drive current. In addition, the current from the output of the inverter 211 is higher than that of a general internal circuit, and the current, for example, 1 milliamperes (mA) or 5 milliamperes, is in the range of 500 microamperes to 10 milliamps. And between 3 〇〇 micro amps to 2 mA amps 32 1344686

MEGA06-015TWB 範圍為較佳者。因此,在第二個應用[反相器2u^n型金氧 籲半電晶體2101的尺寸係介於U至3〇之間的範圍,並以介於2 5 至10之間的祕為較佳者,而P型金氧半電晶體麗的尺寸則 介於3至60之間的範圍’並以介於5至2〇之間的範圍為較佳者。 至於更多有關(-般的)内部電路之錢半電晶體的尺寸或者是用 來驅動其它高負載内部電路之内部電路的内容,將在後續第㈣ 系列中詳細敛述。 • 此外,在第5C圖中’ N型金氧半電晶體蓮的沒極係與保 護層5上方的金屬線路或平面83(如第5β圖、第6b圖第7b圖、 第7C圖與第7D圖所示)連接,而p型金氧半電晶體21〇2的祕 則是與保護層5上方的金屬線路或平面83(如第5b圖、第犯圖、 攀第7B圖、第7C圖與第7D圖所示)連接。 在大部分的_上’因域護層上方的金屬線路或平面具有 較小的阻抗’所以由較小金氧半電晶體形成之複數内部電路可以 φ透過保護層上的金屬線路或平面相互連接,其中該些内部電路包 括尺寸(通道寬度除以通道長度的比值)介於〇.1至5之間或介於0.2 至2之間的- Ν型金氧半電晶體,或是尺寸(通道寬度除以通道長 度的比值)”於0.2至1〇之間或介於〇 4至4之間的一 ρ型金氧半 電β曰體。另外’在某些應用上,當内部電路22、Μ需要高負 載時,或^部電路22、23、24與内部電路21的相距大於1 φ毫米或3毫米而需要—長距離的連接金屬線路時,則需要一較大 33 1344686The range of MEGA06-015TWB is preferred. Therefore, in the second application [inverter 2u^n type MOS oven 2101 size is in the range between U and 3 ,, and is between 2 5 and 10 Preferably, the size of the P-type MOS transistor is in the range between 3 and 60' and is preferably in the range between 5 and 2 。. The details of the size of the semi-transistor of the (-) internal circuit or the internal circuit used to drive other high-load internal circuits will be detailed in the subsequent series (4). • In addition, in Figure 5C, the metal line or plane 83 above the non-polar system of the N-type MOS transistor and the protective layer 5 (eg, 5th, 6b, 7b, 7C, and The connection in the 7D diagram), and the secret of the p-type MOS transistor 21〇2 is the metal line or plane 83 above the protective layer 5 (as in Figure 5b, the first map, the climbing 7B, the 7C) The figure is connected to the 7D). In most cases, the metal circuits or planes above the domain shield have a small impedance, so the complex internal circuits formed by the smaller metal oxide semi-transistors can be interconnected by metal lines or planes on the protective layer. , wherein the internal circuits include a size (channel ratio divided by the length of the channel) between 〇.1 to 5 or between 0.2 and 2, a Ν-type MOS transistor, or a size (channel) The width is divided by the ratio of the length of the channel)" between 0.2 and 1 或 or between 〇4 and 4, a p-type MOS type 曰 曰. In addition, in some applications, when the internal circuit 22, ΜWhen a high load is required, or when the distance between the circuit 22, 23, 24 and the internal circuit 21 is greater than 1 φ mm or 3 mm and a long distance is required, a larger 33 1344686 is required.

MEGA 06-015TWB 的驅動電流。因此’在高負載的情形中,需要一内部驅動器(intemal 春 drive}或一内部緩衝器(internal buffer}。 第5D圖和第5E圖係揭露出以内部驅動器212或内部三態緩 衝器213作為内部電路21,並利用内部驅動器212或内部三態緩 衝器213驅動如第5B圖、第6B圖、第7B圖、第7C圖與第7D 圖所示之保護層5上的金屬線路或平面83和其它内部電路22、 23、24的範例。第5D圖和第5Ε圖所示之電路除了⑴内部驅動器 φ 212或内部二態緩衝器213不與一外部電路連接;以及(2)内部驅 動器212或内部三態緩衝器213的金氧半電晶體尺寸小於晶片接 外驅動器或晶片三態緩衝器的金氧半電晶體尺寸之外,其餘分別 與後續第11Α圖與第11C圖中所述之晶片接外電路(〇ff_chipdrcuit) 相似。第5D圖中的内部驅動器212係為本發明之專利權人在美國 公開專利第20040089951號中所述之晶片内驅動器(intra_chip driver)的一範例。内部三態緩衝器213提供了放大訊號的能力 φ (drive capability)以及開或關的能力(switch capabiiity) ’而且内部三 態緩衝器213特別有助於作為資料或位址匯流排之保護層上方的 金屬線路或平面傳輸一記憶體晶片中的一資料訊號或一位址訊 號。 在第5D圖中,N型金氧半電晶體2103的尺寸係介於15至 30之間,並以介於2.5至10之間為較佳者,而p型金氧半電晶體 _ 2104的尺寸則是介於3至60之間’並以介於5至2〇之間為較佳 34The drive current of MEGA 06-015TWB. Therefore, in the case of high load, an internal driver (intemal spring drive} or an internal buffer is required. Figures 5D and 5E show that the internal driver 212 or the internal tristate buffer 213 is used as the internal driver. The internal circuit 21 and the internal driver 212 or the internal tristate buffer 213 drive the metal lines or planes 83 on the protective layer 5 as shown in FIGS. 5B, 6B, 7B, 7C and 7D. And other internal circuits 22, 23, 24. Examples of the circuits shown in Figures 5D and 5 are (1) internal driver φ 212 or internal binary buffer 213 not connected to an external circuit; and (2) internal driver 212 Or the size of the MOS transistor of the internal tristate buffer 213 is smaller than the size of the MOS transistor of the wafer external driver or the wafer tristate buffer, and the others are respectively described in the following 11th and 11th. The chip external circuit (〇ff_chipdrcuit) is similar. The internal driver 212 in Fig. 5D is an example of the intra_chip driver described in the U.S. Patent No. 20040089951. The tristate buffer 213 provides the capability of amplifying the signal φ (drive capability) and the ability to turn on or off (switch capabiiity) and the internal tristate buffer 213 is particularly useful as a protection layer for the data or address bus. a metal line or plane transmits a data signal or a bit signal in a memory chip. In Figure 5D, the size of the N-type MOS transistor 2103 is between 15 and 30, and Preferably between 2.5 and 10, and the size of the p-type MOS transistor _ 2104 is between 3 and 60' and is preferably between 5 and 2 34 34

1 J^000 MEGA 06-015TWB1 J^000 MEGA 06-015TWB

者,此外經過保護層5上之金 動⑽2給Φ〜 之金屬線路或平面83的電流以及内部驅 動器212輸出即點χ。(通常 電流係介於微糾錢,屬彻讀之祕)輸出的 至10⑨安培之間的細 =毫安培之間的範圍她者。另,在第靖,内二 =齡I出節點XG輪出的—訊號,並在經過保護層5 屬線路或平面83後,傳送到内部電路22、23、24的輸 入即點U卜Vl、Wi,但是並未傳送到—外部電路。 在第5E圖中,N型金氧半電晶體2107的尺寸係介於K5至 21〇ϋ讀2 5至1G之間為較佳者,而Ρ型金氧半電晶體 j的尺相是介於3錢之間,並时於5至2〇之間為較佳 ,二此外經過保護層5上方之金屬線路或平面83 以及内部1皞緩 13之輸出節點X。輸出的電流係介於鄕微安培至10毫安 1的範圍並以介於7〇〇微安培至2毫安培之間的範圍為較 ^ 在第5E圖中,内部三態緩衝器213可以驅動來自輸出 卩點X〇輸出的一訊號,並在經過保護層$上方的金屬線路或平面 83後’傳送到内部電路22、23、24的輪入節點 Ui、Vi、Wi,但 疋並未傳送到一外部電路。Further, the current of the metal line or plane 83 of Φ~ and the output of the internal driver 212 are output through the gold (10) 2 on the protective layer 5. (usually the current system is between the micro-replacement, the secret of the thorough reading) output to the range between 109 amps fine = milliamperes for her. In addition, in the first Jing, the second two-year-old I exit the node XG - the signal, and after passing through the protective layer 5 line or plane 83, the input to the internal circuit 22, 23, 24 is the point U Bu Vl, Wi, but not transmitted to - external circuitry. In Fig. 5E, it is preferred that the size of the N-type MOS transistor 2107 is between K5 and 21 2 25 to 1 G, and the 尺 phase of the 金-type MOS transistor j is Preferably, between 3 and 2, and between 5 and 2, and more preferably through the metal line or plane 83 above the protective layer 5 and the output node X of the internal 1 buffer. The output current ranges from 鄕 microamperes to 10 mA1 and ranges from 7 〇〇 microamps to 2 milliamps. In Figure 5E, the internal tristate buffer 213 can be driven. A signal from the output point X〇 output, and after passing through the metal line or plane 83 above the protection layer $, is transmitted to the ingress nodes Ui, Vi, Wi of the internal circuits 22, 23, 24, but is not transmitted. To an external circuit.

° 電路22、23、24需要高負栽時,或者是當内部電路、 23 24與内部電路21的相距大於1絲或3毫米而需要-長距離 的2接金屬線路時’内部驅動器212與内部三態緩衝器213的輸 出節點Xo為要輪出一較大的驅動電流。 (¾ 35° Circuits 22, 23, 24 require high load, or when the internal circuit, 23 24 and internal circuit 21 are more than 1 wire or 3 mm apart and require a long distance of 2 metal lines, 'internal drive 212 and internal The output node Xo of the tristate buffer 213 is to rotate a large drive current. (3⁄4 35

MEGA 06-015TWB 保護層上方金屬線路或平面的重要應用之一是在連接一記憶 體晶片上相距有-段距離的記憶體單元(1_町_與内部電路 (例如邏輯刺。請參_ 5F _示,細_—記憶體單元 如何利躲制5上的金觀路解面83錢賴層5下的細線 路金屬結構連制作為邏輯電路的内部電路仏仏叫第5b圖、 第6B圖、第π圖、第7C圖與第7〇圖)。其中,此邏輯電路比 如包括-反或閘、-或閘、―且閘或―反及閘,另内部電路a、 23、24可以是至少由—金氧半電晶體所構成,且上述的細線路金 屬結構是連接咖部電路22、23、24的—金氧半電晶體,例如連 接到一金氧半電晶體的源極(source)、汲極(drain)或閘極㈣e),而 此金氧半電晶體可以是通道宽度/通道長度比值介於Q l至5之間 或介於0.2至2之間的-N型金氧半電晶體,献通道寛度/通道 長度比值介於0.2至1〇之間或介於〇 4至4之間的一 p型金氧半 電晶體,此外流經金屬線路或平面83的電流比如是介於5〇微安 培至2毫安培之間或是介於1〇〇微安培至丨毫安培之間。 在此應用中,保護層5上的金屬線路或平面83是作為一資料 匯流排(data bus) ’例如一位元線line)匯流排或是一反向位元線 (〜line)匯流排。在連接一記憶體陣列(mem〇ry array)與邏輯電路 的設計上,可以在保護層5上形成平行排列的 4、8、16、32、64、 128、256、512、1024、2048或4096條之金屬線路或平面83,作 為一記憶體晶片之資料匯流排,並利用這些金屬線路或平面83傳 1344686MEGA 06-015TWB One of the important applications of metal lines or planes above the protective layer is to connect a memory cell with a segment distance from a memory chip (1_machi_ with internal circuits (eg logic thorns. See _ 5F _ Show, _ _ _ memory unit how to avoid the 5 on the Jinguan Road solution face 83 Qian Lai layer 5 under the fine line metal structure connected to the internal circuit of the logic circuit howl 5b, 6B , the πth diagram, the 7th diagram and the 7th diagram), wherein the logic circuit includes, for example, a -re-gate, - or a gate, and a gate or a sluice gate, and the internal circuits a, 23, 24 may be At least consisting of a gold-oxygen semi-transistor, and the thin-line metal structure described above is a gold-oxide semi-transistor connecting the circuit circuits 22, 23, 24, for example, connected to a source of a MOS transistor (source) ), drain or gate (d) e), and the MOS transistor may be a -N-type gold oxide having a channel width/channel length ratio between Q l and 5 or between 0.2 and 2. Semi-transistor, a p-type oxy-half-oxygen with a channel twist/channel length ratio between 0.2 and 1 或 or between 〇4 and 4. Body, or in addition to flow through the metal line 83 such as the current plane is between 5〇 microamps to 2 milliamps or culture interposed between 1〇〇 microamps to milliamps Shu. In this application, the metal lines or planes 83 on the protective layer 5 act as a data bus (e.g., a one-line line) bus bar or a reverse bit line (~line) bus bar. In the design of connecting a memory array and a logic circuit, 4, 8, 16, 32, 64, 128, 256, 512, 1024, 2048 or 4096 may be formed on the protective layer 5 in parallel. a metal line or plane 83, as a data bus of a memory chip, and using these metal lines or planes 83 to pass 1344686

MEGA 06-015TWB 輸記憶體單元與邏輯電路之間的資料訊號。保護層5上方的金屬 φ線路或平面83特別適用在一寬位元(wide-bit)資料的傳送上,例如 傳輸64、128、256、512、1024位元寬度(bit width)的資料。此外, ‘傳輸δ己憶體單元和邏輯電路(l〇giccircujt)之間的訊號時,保護層 5上方的金屬線路或平面83除了作為上述提及的資料匯流排之 外’也可以作為位址匯流排(address bus),用以傳輸位址訊號。另, 保δ蔓層5上的金屬線路或平面83傳輸的訊號也包括時脈问〇成)訊 φ 號。第5F圖係以一靜態隨機存取記憶體單元215作為記憶體單元 的一範例,惟此記憶體單元在本實施例中也可以是其它的記憶體 單元,例如動態隨機存取記憶體(DRAM)單元、可消除可程式唯讀 ^記憶體(EPROM)單元、電子可消除式唯讀記憶體(EEPROM)單元、 快閃記憶體(Flash)單元、唯讀記憶體(ROM)單元及磁性隨機存取記 憶體(magnetic RAM ’ MRAM)單元。此靜態隨機存取記憶體單元 215包括有六個金氧半電晶體,其係為兩個驅動N型金氧半電晶 • 體2115、2117,兩個負載P型金氧半電晶體2116、2118,以及兩 個字碼-線-控制(word-line-control)N型金氧半電晶體2119、2120。 另,在一記憶體晶片中,藉由重複靜態隨機存取記憶體單元215 可以形成一記憶體陣列。當靜態隨機存取記憶體單元215在讀取 狀態時’靜態隨機存取記憶體單元215輸出互補資料,例如位元) 資料以及反向位元〇v)資料,並分別透過N型金氧半電晶體2119 ®與N型金氧半電晶體2120將互補資料傳輸到位元(祕)線以及反向 37 ⑧MEGA 06-015TWB Data signal between the memory unit and the logic circuit. The metal φ line or plane 83 above the protective layer 5 is particularly suitable for the transmission of a wide-bit data, for example, 64, 128, 256, 512, 1024 bit width data. In addition, when transmitting a signal between the δ-resonant unit and the logic circuit (l〇giccircujt), the metal line or plane 83 above the protective layer 5 can be used as the address in addition to the above-mentioned data bus. An address bus is used to transmit an address signal. In addition, the signal transmitted by the metal line or the plane 83 on the δ layer 5 also includes the clock signal. The fifth FF is an example of a static random access memory unit 215 as a memory unit. However, the memory unit may be other memory units in the embodiment, such as a dynamic random access memory (DRAM). Unit, eliminates programmable read only memory (EPROM) unit, electronically erasable read only memory (EEPROM) unit, flash memory (Flash) unit, read only memory (ROM) unit, and magnetic random Access memory (magnetic RAM ' MRAM) unit. The SRAM cell 215 includes six MOS transistors, which are two N-type MOS transistors 2115, 2117, and two P-type MOS transistors 2116. 2118, and two word-line-control N-type MOS transistors 2119, 2120. Alternatively, in a memory chip, a memory array can be formed by repeating the SRAM cell 215. When the SRAM cell 215 is in the read state, the 'SRAM device 215 outputs complementary data, such as bit data) and the inverted bit 〇v) data, and respectively passes through the N-type MOS half. Transistor 2119 ® and N-type MOS transistor 2120 transmit complementary data to the bit line and reverse 37 8

MEGA06-015TWB 位元(W)線,接著位元〇·,)資料和反向位元(W)資料傳送經過行選 擇(column selection,CS)電晶體2122、2123後輸入至一感測放大 器(sense amplifier)214。再來,記憶體單元之位元線連接感測放大 器214中的N型金氧半電晶體2113之閘極,藉以控制感測放大器 214之N型金氧半電晶體2113的開或關,當感測放大器214之N 型金氧半電晶體2113開啟時,感測放大器214可以初使放大反向 位元〇·〇資料使其具有較佳的波形或較佳的電壓準位,並輸出此經 初使放大的反向位元(巧)資料至内部三態緩衝器213。在第5F圖 中’其係使用一差動放大器(differential amplifier)來作為感測放大 器214的一範例’此差動放大器含有四個電晶體,包括兩個n型 金氧半電晶體2111、2113與兩個P型金氧半電晶體2112、2114, 其中此差動放大器係利用N型金氧半電晶體2121來隔離差動放大 器和接地參考電壓Vss ’並藉由一行選擇訊號來控制差動放大器, 以避免功率消耗。當靜態隨機存取記憶體單元215未在讀取狀態 時’亦即當連接靜態隨機存取記憶體單元215的字元線與位元線 兩者未被選擇時,N型金氧半電晶體2121則關閉。從感測放大器 214之N型金氧半電晶體2113閘極輸出的反向位元(而)資料是傳 送到—内部驅動器、内部緩衝器或内部三態緩衝器213(如第5F圖 所不)的輸入節點Xi。另,控制訊號仏、5係輸出自一讀取(比&(1 enable)電路(圖中未示),並利用此控制訊號仏、巧控制内部三態 緩衝器213的開啟或關閉。在第5F圖中,内部三態緩衝器213的 1344686The MEGA06-015TWB bit (W) line, followed by the bit 〇·,) data and the reverse bit (W) data are passed through the column selection (CS) transistors 2122, 2123 and input to a sense amplifier ( Sense amplifier) 214. Then, the bit line of the memory cell is connected to the gate of the N-type MOS transistor 2113 in the sense amplifier 214, thereby controlling the opening or closing of the N-type MOS transistor 2113 of the sense amplifier 214. When the N-type MOS transistor 2113 of the sense amplifier 214 is turned on, the sense amplifier 214 can initially amplify the inverted bit 使其·〇 data to have a better waveform or a better voltage level, and output the same. The amplified reverse bit (smart) data is initially transferred to the internal tristate buffer 213. In Fig. 5F, 'a differential amplifier is used as an example of the sense amplifier 214'. This differential amplifier contains four transistors, including two n-type MOS transistors 2111, 2113. And two P-type MOS transistors 2112, 2114, wherein the differential amplifier uses the N-type MOS transistor 2121 to isolate the differential amplifier and the ground reference voltage Vss ' and control the differential by a row selection signal Amplifier to avoid power consumption. When the SRAM cell 215 is not in the read state, that is, when both the word line and the bit line connecting the SRAM cell 215 are not selected, the N-type MOS transistor 2121 is closed. The reverse bit (and) data output from the gate of the N-type MOS transistor 2113 of the sense amplifier 214 is transferred to the internal driver, internal buffer or internal tristate buffer 213 (as shown in Figure 5F). The input node Xi. In addition, the control signal 仏, 5 series output from a read (than & (1 enable) circuit (not shown), and use this control signal 仏, to control the internal tristate buffer 213 on or off. In Fig. 5F, the internal tristate buffer 213 is 1344686.

MEGA 06-015TWB 輸出節點Xo係透過保護層5上的金屬線路或平面a輸出更加放 φ大的位元資料至内部電路22、23、24(如第犯圖、第6β圖、第 7B圖、第7C圖與第7D圖所示)。因此,综合以上所述,一靜態 隨機存取記憶體單元215係透過感測放大器214、内部三離 213、保護層5下的細線路金屬結構63卜保護層5中的保護層開 口 53卜保護層5上的金屬線路或平面83、保護層5中的保護層 開η 532、534以及細線路金屬結構632、634連接到同一晶片上 •的内部電路22、23、24,如第犯圖、第6Β圖、第7Β圖、第7C 圖與第7D圖所示。其中’内部電路21在此即為一内部三態緩衝 器213,惟此内部電路21也可以是内部驅動器212(如第5D圖所 示)或是其它内部電路’例如反或閘(NOR _)、反及閘 gate)、且閘(AND gate)、或閘(〇R gate)、加法器_er)、多工器 (multiplexer)、雙工器(diplexer)、乘法器(muitiplier)、互補式金屬氧 化物半導體、雙載子互補式金氧半導體或雙載子電路(bip〇lar _ circuit) ’而當内部電路21為内部驅動器212時,内部第路21至 少由一金氧半電晶體構成,且此金氧半電晶體包括通道寬度/通道 長度比值介於3至60之間或介於5至20之間的一 P型金氧半電 晶體’或疋通道寛度/通道長度比值介於1.5至30之間或介於2 5 至10之間的一 N型金氧半電晶體,而且此時流經金屬線路或平面 83的電流是介於500微安培至1〇毫安培之間或是介於7〇〇微安培 # 至2毫安培之間;另’當内部電路21為上述之其它内部電路時, 1344686MEGA 06-015TWB output node Xo outputs more megabytes of bit data to internal circuits 22, 23, 24 through metal lines or plane a on protection layer 5 (eg, map, 6β, 7B, Figure 7C and Figure 7D). Therefore, in summary, a static random access memory cell 215 is protected by the sense amplifier 214, the internal three-way 213, the thin-line metal structure 63 under the protective layer 5, and the protective layer opening 53 in the protective layer 5. The metal lines or planes 83 on the layer 5, the protective layer openings n 532, 534 in the protective layer 5, and the thin circuit metal structures 632, 634 are connected to the internal circuits 22, 23, 24 on the same wafer, such as the first map, Fig. 6, Fig. 7, Fig. 7C and Fig. 7D are shown. The internal circuit 21 is here an internal tristate buffer 213, but the internal circuit 21 can also be the internal driver 212 (as shown in FIG. 5D) or other internal circuits such as the inverse or gate (NOR _). , AND gate, AND gate, OR gate, adder multiplexer, diplexer, multiplier, complement Metal oxide semiconductor, bi-carrier complementary MOS or bi-carrier circuit (bip〇lar_circuit)', and when internal circuit 21 is internal driver 212, internal circuit 21 is composed of at least one MOS transistor. And the MOS semi-transistor includes a P-type MOS transistor or a channel channel length/channel length ratio with a channel width/channel length ratio between 3 and 60 or between 5 and 20. An N-type oxy-halide transistor between 1.5 and 30 or between 25 and 10, and the current flowing through the metal line or plane 83 is between 500 microamps to 1 milliamperes or It is between 7 〇〇 microamperes # to 2 milliamps; the other 'when the internal circuit 21 is the other internal circuits mentioned above, 1344686

MEGA06-0J5TWB 此内。P第路21至少包括通道寬度/通道長度比值介於〇 ι至5之間 _或介於0.2至2之間的- N型金氧半電晶體,或是通道寬度/通道 長度比值介於0.2至10之間或介於〇 4至4之間的一 p型金氧半 電晶體’而且此流經金屬線路或平面83的電流是介於5〇微安 培至2毫安培之間或是介於1〇〇微安培至丨毫安培之間。 睛參閱第5G圖所*,感測放大器214輸出的反向位元⑽資 料在到達内部電路21的輸出節點χ〇之前,將會先經過一通過電 • 路bass circuit)216,在此内部電路21即為通過電路216。此通過 電路216可以是-簡單的金氧半電晶體,例如N型金氧半電晶體 2124,並且透過一讀取訊號來加以控制。在此設計中,一靜態隨 機存取記憶體單元2〗5係透過感測放大器214、通過電路216、保 護層5下的細線路金屬結構631、保護層5中的保護層開口 531、 保濩層5上的金屬線路或平面幻、保護層5中的保護層開口 532、 534以及保護層5下的細線路金屬結構632、634連接到内部電路 φ 22、23、24,如第5B圖、第6B圖、第7B圖、第7C圖與第7D 圖所示。 請參閱第5H圖所示,感測放大器214輸出的反向位元(品)資 料在到達内部電路2〗的輸出節點X〇之前,將會先經過一閃鎖電 路(latch circuit)217,在此内部電路21即為閂鎖電路217。閃鎖電 路217可以是一靜態隨機存取記憶體單元,用以在感測放大器214 _輸出的資料送達邏輯電路(如内部電路22、23、24)之前,暫時儲 1344686MEGA06-0J5TWB This is the inside. The P road 21 includes at least a channel width/channel length ratio between 〇ι and 5 or between 0.2 and 2, or a channel width/channel length ratio of 0.2. a p-type MOS transistor between 10 or between 〇4 and 4 and the current flowing through the metal line or plane 83 is between 5 〇 microamperes to 2 mA or Between 1 〇〇 microamperes and 丨 milliamperes. Referring to Figure 5G, the reverse bit (10) data output by sense amplifier 214 will pass through a circuit circuit 216 before it reaches the output node of internal circuit 21, where the internal circuit 21 is the pass circuit 216. The pass circuit 216 can be a simple MOS transistor, such as an N-type MOS transistor 2124, and controlled by a read signal. In this design, a static random access memory cell 2 is transmitted through the sense amplifier 214, through the circuit 216, the thin line metal structure 631 under the protective layer 5, the protective layer opening 531 in the protective layer 5, and the protection layer. Metal lines or planar phantoms on layer 5, protective layer openings 532, 534 in protective layer 5, and thin line metal structures 632, 634 under protective layer 5 are connected to internal circuits φ 22, 23, 24, as shown in FIG. 5B, Fig. 6B, Fig. 7B, Fig. 7C and Fig. 7D are shown. Referring to FIG. 5H, the reverse bit (product) data output by the sense amplifier 214 will pass through a latch circuit 217 before reaching the output node X of the internal circuit 2, where The internal circuit 21 is a latch circuit 217. The flash lock circuit 217 can be a static random access memory unit for temporarily storing the data before the sense amplifier 214_output data is sent to the logic circuit (such as the internal circuits 22, 23, 24).

MEGA 06-015TWB 存感測放大器214輸出的資料(亦即資料被閂鎖住)。另,N型金氧 •半電晶體2129、2130可透過一讀取訊號來加以控制。在此設計中, 一靜態隨機存取記憶體單元215係透過感測放大器214、閂鎖電路 217、保護層5下的細線路金屬結構631、保護層5中的保護層開 口 531、保護層5上的金屬線路或平面83、保護層5中的保護層 開口 532、534以及細線路金屬結構632、634連接到内部電路22、 23、24,如第5B圖、第6B圖、第7B圖、第7C圖與第7D圖所 • 示。 然而’第5G圖的通過電路216或者是第5H圖的閂鎖電路2Π 並未提供大的驅動能力。為了驅動需要高負載的内部電路22、23、 • 24’或者疋長距離傳輸通過電路216輸出的反向位元(5)資料或閂 鎖電路217輸出的位元㈤)資料到内部電路22、23、24,可以在 通過電路的輸出節點(如第圖所示)或閃鎖電路的輸出節點(如第 5J圖所不)增加上述内容所提及的一内部驅動器212,以利用此内 •部驅動器212放大通過電路灿輸出的反向位元⑽資料或閃鎖電 路217輸出的位元(⑽)資料。 請參閱5K圖所示,除了内部電路21是接收來自内部電路 24(在此係為—反或閘)的訊號,而不是驅動内部電路μ之外,其 餘電路設計均與第5Β圖相似。此内部電路24(纽係為一反或間) 是透過保護層5下的細線路金屬結構634,、保護層5中的保護層 籲開口 534、保護層5上的金屬線路或平Φ 83、保護層5中的保護 1344686MEGA 06-015TWB stores the data output from sense amplifier 214 (ie, the data is latched). In addition, the N-type gold oxide/half transistor 2129, 2130 can be controlled by a read signal. In this design, a static random access memory cell 215 is transmitted through the sense amplifier 214, the latch circuit 217, the thin line metal structure 631 under the protective layer 5, the protective layer opening 531 in the protective layer 5, and the protective layer 5. The upper metal line or plane 83, the protective layer openings 532, 534 in the protective layer 5, and the thin line metal structures 632, 634 are connected to the internal circuits 22, 23, 24, as shown in Fig. 5B, Fig. 6B, Fig. 7B, Figure 7C and Figure 7D show. However, the pass circuit 216 of the 5G diagram or the latch circuit 2 of the 5H diagram does not provide a large drive capability. In order to drive an internal circuit 22, 23, 24' or a long distance transmission requiring a high load, the reverse bit (5) data outputted by the circuit 216 or the bit (5) output from the latch circuit 217 is transmitted to the internal circuit 22, 23, 24, an internal driver 212 mentioned above may be added at the output node of the circuit (as shown in the figure) or the output node of the flash lock circuit (as shown in FIG. 5J) to utilize the inside. The portion driver 212 amplifies the bit (10) data output by the reverse bit (10) data output by the circuit or the flash lock circuit 217. Referring to FIG. 5K, except that the internal circuit 21 receives signals from the internal circuit 24 (here, the -reverse or gate), instead of driving the internal circuit μ, the remaining circuit design is similar to that of the fifth drawing. The internal circuit 24 (the reverse or the middle) is a thin-line metal structure 634 under the protective layer 5, the protective layer opening 534 in the protective layer 5, the metal line on the protective layer 5 or the flat Φ 83, Protection in protective layer 5 1344686

Mega 06-015TWB 層開口 531’以及保護層5下的細線路金屬結構631,,將其輪出節 _點w〇發送的一訊號或資料傳送到内部電路21的輸入節點乂丨,(通 吊疋内部電路21之一金氧半電晶體的閘極),同時内部電路24(在 此係為一反或閘)也透過保護層5下的細線路金屬結構634,、保護 層5中的保護層開口 534’、保護層5上的金屬線路或平面趵、保 護層5中的保護層開口 532’以及保護層5下的細線路金屬結構 632a’、632b,,將其輸出節•點Wo發送的訊號或資料傳送到内部電 •路22(在此係為一反或閘)的輸入節點Ui。再者,同時内部電路 24(在此係為-反或閘)亦透過保護層5下的細線路金屬結構 634’、保護膚5中的保護層開口 534’、保護層5上的金屬線路或 平面83、保護層5中的保護層開口 532,以及保護層5下的細線路 金屬結構632a’、632C,’將其輸出節點Wg#送的訊號或資料傳送 到内部電路23(在此係為一反及閘)的輸入節點%。其中,細線路 金屬結構634’、631’可以由金屬祕以及平面形成,而在此範例 中,細線路金屬結構634,、631,是由介電層中的導電检塞和金屬 接塾以及細祕金屬層形成,例如_略解的堆疊方式形成。 在某些積體電路技術中,導電栓塞係為鎢插塞細蛛η㈣或镶 ^^(damascene copper) ^^ 21.22,23 ^ χ., ^Mega 06-015TWB layer opening 531' and thin line metal structure 631 under the protective layer 5, transmitting a signal or data sent by the wheel _ point w 到 to the input node 内部 of the internal circuit 21, The gate of the MOS transistor of the internal circuit 21, while the internal circuit 24 (here, a reverse or gate) also passes through the thin-line metal structure 634 under the protective layer 5, and the protection in the protective layer 5. The layer opening 534', the metal line or plane 上 on the protective layer 5, the protective layer opening 532' in the protective layer 5, and the thin line metal structures 632a', 632b under the protective layer 5, send the output node/point Wo The signal or data is transmitted to the input node Ui of the internal circuit 22 (here, a reverse or gate). Furthermore, the internal circuit 24 (here, the -reverse or gate) also passes through the thin line metal structure 634' under the protective layer 5, the protective layer opening 534' in the protective layer 5, the metal line on the protective layer 5 or The plane 83, the protective layer opening 532 in the protective layer 5, and the thin line metal structures 632a', 632C under the protective layer 5, transmit the signal or data sent by the output node Wg# to the internal circuit 23 (here One is the input node % of the gate. Wherein, the fine-line metal structures 634', 631' may be formed of a metal secret and a plane, and in this example, the thin-line metal structures 634, 631 are made of a conductive plug and a metal joint and a thin layer in the dielectric layer. The secret metal layer is formed, for example, in a stacking manner. In some integrated circuit technologies, the conductive plug is Tungsten plug η (4) or inlaid ^^(damascene copper) ^^ 21.22,23 ^ χ., ^

Ui、Vi接收訊號,而在輸出節點X。’、υ〇、%將訊號輸出到直它 Γ電路。糾’⑽電路21姐可叹―峨奸批(如 第5L圖所不)、-内部三態緩衝器213,(如第5M圖所利或是其它 42 1344686Ui, Vi receive the signal, and at the output node X. ', υ〇, % output the signal to the straight circuit. Correction (10) circuit 21 sister can sigh - 峨 rape batch (such as the 5L figure does not), - internal tristate buffer 213, (such as the 5M figure or other 42 1344686

MEGA 06-015TWB 内部電路,比如是反或閘(NOR gate)、反及閘(NAND gate)、且閉 • (AND Sate)、或閘(0R gate}、運算放大器{operational amplifier}、加 法器(adder)、多工器(multiplexer)、雙工器(diplexer)、乘法器 (multiplier)、類比/數位轉換器converter)、數位/類比轉換 (D/A Converter)、互補式金屬氧化物半導體、雙載子互補式金氧半 導體或雙載子電路(bipolarcircuit),而當内部電路21為内部接受器 212’時,内部電路21至少由一金氧半電晶體構成,且此金氧半電 • 晶體包括通道寬度/通道長度比值介於3至60之間或介於5至2〇 之間的- P型錄半電晶體或者是通道寬度/猶長度比值介於 1.5至30之間或介於2.5至1〇之間的—n型金氧半電晶體,而且 φ此時流經金屬線路或平面83的電流是介於500微安培至10毫安 培之間或是介於700微安培至2毫安培之間;另,當内部電路21 為上述之其它内部電路時’此内部第路21至少包括通道宽度/通道 長度比值介於0.1至5之間或介於〇 2至2之間的一 N型金氧半電 φ晶體或者是通道寛度/通道長度比值介於〇 2至1〇之間或介於〇 * 至4之間的p型金氧半電晶體,而且此時流經金屬線路或平面 83的電流是介於5〇微安培至2毫安培之間或是介於觸微安培至 1毫安培之間。除此之外,内部電路21尚包括一靜態、隨機存取記 憶體單元(SRAM㈣)、__存取記紐單元(職M _、非 揮發性記㈣單元(nGn_v相e ㈣)、快閃記憶體單元 ^ 、可消除可程式唯讀記憶體單_PROM cell)MEGA 06-015TWB internal circuit, such as NOR gate, NAND gate, AND Sate, OR gate (ORR gate), operational amplifier {operational amplifier}, adder ( Adder), multiplexer, diplexer, multiplier, analog/digital converter, digital/analog converter, complementary metal oxide semiconductor, double a carrier complementary MOS or a bipolar circuit, and when the internal circuit 21 is an internal receiver 212', the internal circuit 21 is composed of at least a MOS transistor, and the MOS transistor Includes a P-type semi-transistor with a channel width/channel length ratio between 3 and 60 or between 5 and 2 或者 or a channel width/still length ratio between 1.5 and 30 or between 2.5 a -n-type MOS transistor between 1 〇, and φ current flowing through the metal line or plane 83 is between 500 microamps to 10 milliamps or between 700 microamperes and 2 milliamps Between; in addition, when the internal circuit 21 is the other internal circuit described above The second path 21 includes at least an N-type MOS semi-electric φ crystal having a channel width/channel length ratio between 0.1 and 5 or between 〇2 and 2 or a channel twist/channel length ratio between 〇 a p-type MOS transistor between 2 and 1 或 or between 〇* and 4, and the current flowing through the metal line or plane 83 is between 5 〇 microamperes to 2 milliamperes or Between micro-ampere to 1 milliamperes. In addition, the internal circuit 21 also includes a static, random access memory unit (SRAM (4)), __ access counter unit (M_, non-volatile (four) unit (nGn_v phase e (four)), flash Memory unit ^, can eliminate programmable read only memory single _PROM cell)

J 43 1344686J 43 1344686

MEGA06-0I5TWB 唯讀記憶體單元(ROM cell)、磁性隨機存取記憶體(㈣咖 _ RAM ’ MRAM)單元或感測放大器(麵eamplifier)。另内部電路 21的輸入節點通常是-金氧半電晶體的閘極。請參閱第几騎 示’内部接收器犯’可經由保護層5上的金屬線路或平面83接受 -訊號’並從輸出節點X。’輸出—訊號至其它内部電路,但並不將 此訊號輸出至-外部電路。請參閱第5M圖所示,内部三態緩衝 器犯’可麵賴層5上·觀路或平面Μ較—峨:並從 籲輸出節點X。’輸出-訊號至其它内部電路,但並不將此訊號輸出至 一外部電路。 在第5L圖中,N型金氧半電晶體應,的尺寸係介於1 $至 30之間’並以介於2.5至10之間為較佳者,而p型金氧半電晶體 2104的尺寸則疋介於3至6〇之間並以介於$至如之間為較佳 者’此外經過保護層5上方之金屬線路或平面83以及輸入内部接 收器212,之輸入節點Xi的電流係介於5〇〇微安培至1〇毫安培之 •間的範圍’並以介於彻微安培至2毫安培之間的範圍為較佳者。 另外’内部接收器212’的輸入節點Xi,可經由保護層5上的金屬線 路或平面83接文内部電路Μ之輪出節點輸出的一訊號,但 並不接收外。p電路輸出的訊號,如第圖、第犯圖、第% 圖、第7C圖與第7D圖所示。 在第5N圖至第5R圖中’其係揭露出將内部電路24(邏輯閘) 輸出的貝料寫人到-魏體陣列之—記憶體單元喊計。請同時 1344686MEGA06-0I5TWB Read-only memory cell (ROM cell), magnetic random access memory ((4) coffee _ RAM 'MRAM) unit or sense amplifier (face eamplifier). The input node of the internal circuit 21 is typically the gate of a MOS transistor. Referring to the first ride, the 'internal receiver commit' can accept the -signal via the metal line or plane 83 on the protective layer 5 and from the output node X. 'Output—signal to other internal circuits, but does not output this signal to an external circuit. Referring to Figure 5M, the internal tri-state buffer is arbitrarily facing the layer 5 or the plane Μ 峨: and voicing the output node X. 'Output-signal to other internal circuits, but does not output this signal to an external circuit. In Figure 5L, the N-type MOS transistor should have a size between 1 $ and 30' and preferably between 2.5 and 10, while the p-type MOS transistor 2104 The size of the input node Xi is between 3 and 6 并 and is preferably between $ and 或 'in addition to the metal line or plane 83 above the protective layer 5 and the input internal receiver 212. The current system ranges from 5 〇〇 micro amps to 1 〇 milliamperes and is preferably in the range of from micro amps to 2 mA. In addition, the input node Xi of the internal receiver 212 can receive a signal output from the rounding node of the internal circuit via the metal line or plane 83 on the protective layer 5, but does not receive the signal. The signal output by the p circuit is as shown in the figure, the first map, the ninth graph, the seventh graph, and the seventh graph. In the 5Nth to 5thth diagrams, it is revealed that the output of the internal circuit 24 (logic gate) is written to the -wei body array-memory unit. Please also 1344686

MEGA 06-015TWB 參閱第5K圖與第5N圖所示,内部電路21可以是一内部三態緩 籲衝器213’。此内部三態緩衝器213,具有放大資料以及開關的功 月匕另控制訊號&、以係輸出自一讀取電路(圖中未示),並利用 此控制號❿£«控制内部三態緩衝器213的開啟或關閉。此外, 透過保漠層5上的金屬線路或平面83,可將一位元⑽資料傳送 至内部三態緩衝器213,的輸入節點xi,,且當一放大的反向位元⑹ 資料是為-f源輕時,放A的反向位元㈣龍是由p型金氧半 _電晶體2110’輸出至反向位元⑻線’而當一放大的反向位元㈣ 貝料是為-接地參考電壓時’放大的反向位元⑻資料是由N型 金氧半電晶體21〇9’輸出至反向位元⑽線。輸出節點χ〇,輸出的 •放大反向位元(品)資料可以經過由一行選擇(CS)訊號控制的行選 擇電晶體2122以及經過Ν型金氧半電晶體2119傳送到靜態隨機 存取記憶體單元215。請同時參閱第5Κ圖與第5Ν圖所示,内部 電路24(在此係為一反或閘)是透過一細線路金屬結構纪4,、一保 鲁護層開口 534’、保護層5上方的金屬線路或平面83、一保護層開 口 531’、一細線路金屬結構631’以及一内部三態緩衝器213,傳送 資料去寫入一記憶體陣列中的一靜態隨機存取記憶體單元215。 請參閱第50圖所示’内部電路24(在此係為一反或閘)輪出的 位元資料在經過·一通過電路216後’連接到靜態隨機存取記憶體 單元陣列的位元線,再來透過行選擇電晶體而寫入靜態隨機存取 鲁記憶體單元215。其中,第5Κ圖中的内部電路21即為一通過電 45 1344686MEGA 06-015TWB Referring to Figures 5K and 5N, the internal circuit 21 can be an internal three-state buffer 213'. The internal tristate buffer 213 has an amplification data and a power-on-control signal of the switch, and is outputted from a read circuit (not shown), and uses the control number «£« to control the internal tristate The buffer 213 is turned on or off. In addition, the one-bit (10) data can be transferred to the input node xi of the internal tri-state buffer 213 through the metal line or plane 83 on the aquifer layer 5, and when an enlarged inverted bit (6) data is When the -f source is light, the inverted bit of the A (four) dragon is output from the p-type gold oxide half-transistor 2110' to the inverted bit (8) line' and when an enlarged inverse bit (four) is the material is - When the ground reference voltage is applied, the 'inverted reverse bit (8) data is output from the N-type MOS transistor 21〇9' to the inverted bit (10) line. The output node χ〇, the output • the amplified inverse bit (product) data can be transmitted to the static random access memory via the row selection transistor 2122 controlled by a row selection (CS) signal and the 金 type MOS transistor 2119. Body unit 215. Please also refer to Figure 5 and Figure 5, the internal circuit 24 (here, a reverse or gate) is through a thin line metal structure, 4, a protective layer opening 534', above the protective layer 5 a metal line or plane 83, a protective layer opening 531', a thin line metal structure 631', and an internal tristate buffer 213 for transferring data to a static random access memory unit 215 in a memory array. . Referring to FIG. 50, the bit data rotated by the internal circuit 24 (here, a reverse or gate) is connected to the bit line of the SRAM cell array after passing through the circuit 216. Then, the static random access memory unit 215 is written by selecting the transistor through the row. Among them, the internal circuit 21 in the fifth diagram is a passing electricity 45 1344686

MEGA 06-015TWB 路216’,而此通過電路216,可以是—簡單的金氧半電晶體,例如 ^ 里金氧半電晶體2丨24’,並由一寫入訊號(write enable signal)所控 制。在此設計中(請同時參考第SK圖和第5〇圖),由内部電路Μ在 此係為-反或閘)之輸出節點w〇輸出的一資料係透過下列途徑寫 入到-靜態隨機存取記憶體單元215巾:從一細線路金屬結構 634’開始’往上經過—保護層開口 534,,經過保護層$上的一金 屬線路或平面83,往下經過一保護層開口划,、一細線路金屬結 魯構631、一通過電路加’ ’然後連接到靜態隨機存取記憶體單元 陣列的位iL線’縣透過行選擇電晶體寫人到靜態隨機存取記憶 體單元215。 • 請參閱第5P圖所示,其係與第讯圖相似,輸入位元線資料 在寫入靜態賴存取記憶體單元215之前,可以暫時被儲存或問 鎖在-問鎖電路2Π,中。另,Ν型金氧半電晶體2129,、213〇,係 用來作為寫入的控制。在此設計中(請同時參考第sk圖和第5ρ ♦由内部電路24(在此係為—反或閘)之輸出節點w。輸出的一 資料係透過下列途徑寫入到一靜態隨機存取記憶體單元215中: 從—細線路金屬結構634,開始,往上經過一保護層開口 534,,經 過保護層5上的-金屬線路或平面83,往下經過一保護層開口 切,、-細線路金屬結構631,、-閃鎖電路217,,缺後 態、隨機存取記憶體單元陣列的位元線,再來透過行選擇電晶體寫 入到靜態隨機存取記憶體單元215。 46 1344686MEGA 06-015TWB circuit 216', and this through circuit 216, can be - a simple gold oxide semi-transistor, such as ^ 金 MOS transistor 2 丨 24', and by a write enable signal (write enable signal) control. In this design (please refer to both the SK diagram and the fifth diagram), a data output from the output node w〇 of the internal circuit 此 in this is an inverse or gate is written to - static random Access memory unit 215: from a thin line metal structure 634' up through the protective layer opening 534, through a metal line or plane 83 on the protective layer $, down through a protective layer opening, A fine line metal junction structure 631, a bit iL line 'connected to the SRAM cell array through the circuit plus '' is then written to the SRAM cell 215 through the row select transistor. • Refer to Figure 5P, which is similar to the first picture. The input bit line data can be temporarily stored or locked in the -lock circuit 2Π before being written to the static memory unit 215. . In addition, Ν-type MOS transistors 2129, 213 〇 are used as control for writing. In this design (please refer to both the sk map and the 5th ρ from the output node w of the internal circuit 24 (here, the -reverse or gate). The output of a data is written to a static random access by the following means. In the memory unit 215: starting from the thin-line metal structure 634, starting up, passing through a protective layer opening 534, passing through a metal line or plane 83 on the protective layer 5, passing through a protective layer opening, - The thin line metal structure 631, the flash lock circuit 217, the missing bit line, the bit line of the random access memory cell array, are written to the SRAM cell 215 through the row select transistor. 1344686

MEGA 06-015TWB 然而,第50圖的通過電路216,或者是第5p圖的閃鎖電路加, φ可犯無法提供足夠的靈敏度來檢測在輸入節點的弱訊號。為了重 建(restore)弱資料訊號(weak data signal),可以增加—内部接收器 犯’在it過電路216’的輸入端(如帛5Q圖所示)或在閃鎖電路爪, 的輸入端(如第5R圖所示)。 保護層上方連齡路的另-個重要應岐在傳送精確的類比 訊號(analog signal”保護層上方金屬線路或平面的低單位長度電 •阻與電容(resistance and caPacitanCe per unit 丨ength)特性提供 了一低 訊號失真(signal distortion)的數位模擬類比訊號。請參閱第%圖所 不,其係揭露出個紐層5上的金屬_或平面83連接類比電 _ =的-類比設計。除了内部電路2卜22、23、24為類比電路或混 合式電路(mixed-mode circuit)、金屬線路或平面83傳輸的訊號為 數位模擬類比訊號以及内部電路21、22、23、24輸出/接收的訊號 為一數位模擬類比訊號之外,第5S圖的設計係與第5B圖相似。 ♦在第5S圖中,内部電路21的一輸出節點γ〇連接細線路金屬結構 631 ’接著往上經過保護層5的保護層開口 531連接保護層5上的 金屬線路或平面83,再來經過保護層開口 532、534連接細線路金 屬結構632(包括632a、632b、632c)、634,最後再利用細線路金 屬、、’。構632(包括632a、632b、632c)、634連接到内部電路22、23、 24的一輸入節點Ui’、Vi,、Wi,’其中作為類比電路的内部電路 籲21、22、23、24係包括-P型金氧半電晶體、一 N型金氧半電晶 1344686MEGA 06-015TWB However, the pass circuit 216 of Fig. 50, or the flash lock circuit of Fig. 5p, φ can not provide sufficient sensitivity to detect weak signals at the input node. In order to restore the weak data signal, it can be increased - the internal receiver commits 'at the input of the circuit 216' (as shown in Figure 5Q) or at the input of the flash lock circuit ( As shown in Figure 5R). Another important factor in the continuous-age road above the protective layer is to provide the reliability and caPacitanCe per unit 丨ength characteristics of the metal line or plane above the analog signal. A digital analog analog signal with low signal distortion. Please refer to the % map, which reveals the metal _ or plane 83 connection analog _ = analogy design. The circuit 2, 22, 23, 24 is an analog circuit or a mixed circuit (mixed-mode circuit), the signal transmitted by the metal line or the plane 83 is a digital analog analog signal, and the signals output/received by the internal circuits 21, 22, 23, 24 In addition to a digital analog analog signal, the design of the 5S picture is similar to that of Figure 5B. ♦ In Figure 5S, an output node γ of the internal circuit 21 is connected to the thin line metal structure 631 'and then goes through the protective layer. The protective layer opening 531 of 5 is connected to the metal line or plane 83 on the protective layer 5, and then connected to the fine line metal structure 632 (including 632a, 632b, 632c) through the protective layer openings 532, 534, 634 Finally, a fine line metal, 632 (including 632a, 632b, 632c), 634 is connected to an input node of internal circuits 22, 23, 24, Ui', Vi, Wi, 'which serves as the interior of the analog circuit Circuit calls 21, 22, 23, 24 series include -P type MOS semi-transistor, an N-type MOS semi-electric crystal 1344686

MEGA 06-015TWB 體、一反或閘(NOR gate)、一反及閘(NAND gate)、一且閘(AND gate)、一或閘(OR gate)、一感測放大器(sense amplifier)、一運放算 大器(Operational Amplifier)、一類比/數位轉換器(A/D converter)、 一數位/類比轉換器(D/A Converter)、一脈波再成形電路(pulse reshaping circuit)、一切換式電容濾波器(switched-capacit〇r filter)、 一電阻電容濾波器(RC filter)或是其它類型的類比電路等,至於其 它相關部份請參閱第5B圖敘述,在此不再詳加敘述。MEGA 06-015TWB body, a NOR gate, a NAND gate, an AND gate, an OR gate, a sense amplifier, Operational Amplifier, A/D converter, D/A Converter, pulse reshaping circuit, switching Capacitor filter (switched-capacit〇r filter), a resistor-and-resistor filter (RC filter) or other types of analog circuits, etc. For other relevant parts, please refer to Figure 5B, which will not be described in detail here. .

請參閱第5T圖所示,其係揭露出第5S圖中之内部電路21為 運算放大器218,且其輸出節點γ〇連接到保護層5上的金屬線路 或平面83的一範例,此運放算大器係依據一互補式金屬氧化物半 導體(CMOS)技術來設計,請參考1987年Μ处冲著且由 PrenMall 公司所發行的“CM0S Digka丨 Circuit Techn〇1〇gy”。 差動類比訊號係輸入至由兩個N型金氧半電晶體2125、2127和兩 個P型金氧半電晶體皿、2128所形成之—差動電路(diff⑽tiai 咖_19的輸入節點Yi+與Yi_中,其中此輸入節點Yi+與Yi係 分別連接到P型金氧半電晶體2126與p型金氧半電晶體迎的 閘極。差動電路训在N型金氧半電晶體之汲極與p型金氧 半電晶體2128之汲極的輸㈣連接到N型金氧半電晶體2135的 閘極及電容器(eapadto仰33的第一電極上。一輸出節點γ〇係連 接到電容器2133的第二電極、Ν型金氧半電晶體咖的沒極與ρ 型金氧半電晶體2136的汲極。因此,在輸㈣點Υ。的訊號可以 ⑤ 48Referring to FIG. 5T, an example in which the internal circuit 21 in FIG. 5S is an operational amplifier 218 and the output node γ〇 is connected to the metal line or plane 83 on the protective layer 5 is disclosed. The calculator is designed according to a complementary metal oxide semiconductor (CMOS) technology. Please refer to the "CM0S Digka丨Circuit Techn〇1〇gy" issued by PrenMall in 1987. The differential analog signal is input to a differential circuit (diff (10) tiai _19 input node Yi+ formed by two N-type MOS transistors 2125, 2127 and two P-type MOS transistors, 2128 In Yi_, the input nodes Yi+ and Yi are respectively connected to the gate of the P-type MOS transistor 2126 and the p-type MOS transistor. The differential circuit is applied to the N-type MOS transistor. The drain of the pole and the p-type MOS transistor 2128 is connected to the gate of the N-type MOS transistor 2135 and the capacitor (the first electrode of the eapato 33). An output node γ 〇 is connected to the capacitor The second electrode of 2133, the 没 of the Ν-type MOS transistor and the 汲 of the p-type MOS transistor 2136. Therefore, the signal at the input (four) point can be 5 48

MEGA 06-015TWB 透過N型金氧半電晶體加的開啟程度來控制,其中n型金氧 半電晶體2135亦受到差動電路训輸出的控制。差動電路219的 電源節點P係與P型金氧半電晶體迎的汲極連接,其中差動電 路内是以P型金氧半電晶體襲之源極及卩型金氧半電晶體 2128之源極與電源節點p連接。此外,p型金氧半電晶體迎閘 極之電壓準位會受到電阻器2134的控制。另’透過電容器2⑶, 可以放大差動電路219輸出的訊號。電容器2133常被使用在-類 比電路的輯巾’且通常金氧半電容_ _s。啊㈣或是 夕日日夕對夕日日石夕電谷器&〇ly_t〇_p〇iy 來形成,其中此金 氧半電谷H係仙“㈣極(pGly _與德底卿⑺n心翻 作為電容器2133的兩電極,而多晶石夕對多晶石夕電容器則是使用一 第多曰曰矽(P〇丨y silicon)與一第二多晶矽作為電容器2133的兩電 極。電阻H常被使用在—類比電路上,且通常是財基底中的 雜質摻雜擴散區(impurity_doped diffilsi〇n area),例如n井、p井、 N擴散、P+擴散,以及/或者是雜質推雜多晶石夕㈣崎却 silicon)來形成。 差二實施例:本發明的完整結構。 开/成保濩層上方厚金屬導體(或是保護層上方的金屬線路或平 面)的技術可提供晶片額外的好處。保護層上方厚金屬導體(或是保 遵層上方的金屬線路或平面)的材質係包括金、銅、銀、鈀、铑、 銘釕或鎳’其不僅可以形成為導體本體,亦可形成為其它的接MEGA 06-015TWB is controlled by the degree of opening of the N-type MOS transistor, and the n-type MOS transistor 2135 is also controlled by the output of the differential circuit. The power node P of the differential circuit 219 is connected to the drain of the P-type MOS transistor, wherein the differential circuit is a P-type MOS transistor and a MOSFET-type MOS transistor 2128. The source is connected to the power supply node p. In addition, the voltage level of the p-type MOS transistor is controlled by the resistor 2134. Further, the signal output from the differential circuit 219 can be amplified by the capacitor 2 (3). The capacitor 2133 is often used in the - analog circuit of the analog circuit and is usually a gold oxide half capacitor _ _s. Ah (4) or on the eve of the evening, on the eve of the day, the day of the night, the stone 电 电 & & 〇 _ _ _ _ _ _ _ 〇 〇 〇 , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , The two electrodes of the capacitor 2133, and the polycrystalline spine to the polycrystalline silicon capacitor, use a first polysilicon (P〇丨y silicon) and a second polysilicon as the two electrodes of the capacitor 2133. Used in analog-like circuits, and usually in the impurity-doped diffilsi〇n area, such as n-well, p-well, N-diffusion, P+-diffusion, and/or impurity-doped polycrystals Shi Xi (4) is a silicon) to form. Poor Embodiment: The complete structure of the present invention. The technique of opening/forming a thick metal conductor above the protective layer (or a metal line or plane above the protective layer) can provide additional wafers. Benefits: The material of the thick metal conductor above the protective layer (or the metal line or plane above the layer) consists of gold, copper, silver, palladium, rhodium, enamel or nickel, which can be formed not only as a conductor body but also as a conductor body. Formed as other connections

MEGA 06-015TWB 觸結構。利用各種不同種類的接觸結構,例如焊料凸塊(s〇丨der bump)、焊料接墊(s〇ider pad)、焊料球(s〇Wer baU)、金凸塊(Au ^^^、金接墊㈣恤^纪接塾^加卜紹接墊㈧坪①或打線 接墊(wire bonding pad) ’晶片可以輕易地利用不同的方法來與外部 電路接合。在第5B圖、第5K圖、第5S圖、第7B圖、第兀圖 與第7D圖中’保護層上方的金屬線路或平面係用來傳送内部電路 所輸出或輸入的訊號,且内部電路並未連接到外部電路。惟一 晶片必須連接到外部電路,並與外部電路進行傳輸。接著,請同 時參閱第8Β圖至第8F圖、第9Β圖至第9D圖和第10Β圖至第 1〇1圖所示’其係揭露出本發_—完整結構,並以此作為本發明 的第三實施例。第8Β圖至第8F圖、第9Β圖至第9D圖和第ι〇Β 圖至第101圖敘述了内部電路所產生的訊號如何透過保護層上方 的金屬線路或平面以及保護層下方的細線路金屬結構傳送到外部 電路,或者是外部電路所產生的訊號如何透過保護層上方的金屬 線路或平面以及保護層下方的細線路金屬結構傳送到内部電路。 第8Β圖至第8F圖、第9Β圖至第9D圖和第10Β圖至第1〇1圖係 分別為本實施例之電路結構、俯視示意圖與剖面示意圖,其係以 内部電路連接外部電路之整體晶片設計揭露出本發明使用細線路 金屬結構和保護層上方金屬的完整結構。另,有關第5Β圖至第 5Τ圖、第6Β圖和第7Β圖至第7D圖所敘述的内部電路2〇(包括 21、22、23、24)亦適用於本實施例中的内部電路2〇(包括21、22、 1344686MEGA 06-015TWB Touch structure. Use a variety of different types of contact structures, such as solder bumps, solder pads, solder balls (s〇Wer baU), gold bumps (Au ^^^, gold connections) Pad (four) shirt ^ 塾 塾 ^ 加布绍 pads (eight) ping 1 or wire bonding pad (wafer can easily use different methods to join the external circuit. In Figure 5B, 5K, In the 5S diagram, 7B diagram, the diagram and the 7D diagram, the metal line or plane above the protection layer is used to transmit the signal output or input from the internal circuit, and the internal circuit is not connected to the external circuit. The only chip must be Connected to an external circuit and transmitted to an external circuit. Next, please refer to the 8th to 8th, 9th to 9D, and 10th to 1st 1th drawings. A complete structure, and as a third embodiment of the present invention. Figures 8 through 8F, 9 through 9D, and ι to 101 show the internal circuits. How the signal passes through the metal line or plane above the protective layer and the thin line metal junction under the protective layer The signal transmitted to the external circuit or the external circuit is transmitted to the internal circuit through the metal line or plane above the protective layer and the thin line metal structure under the protective layer. Figure 8 to Figure 8F, Figure 9 to Figure 9D and 10th to 1st are respectively a circuit structure, a top view and a cross-sectional view of the present embodiment, which are an internal wafer design in which an internal circuit is connected to an external circuit to reveal that the present invention uses a fine-line metal structure and The complete structure of the metal above the protective layer. In addition, the internal circuits 2〇 (including 21, 22, 23, 24) described in Figures 5 to 5, 6 and 7 to 7D also apply. Internal circuit 2〇 in this embodiment (including 21, 22, 1344686

MEGA06-015TWB 23'24)〇 • 在本實施例中’内部結構200的訊號是透過一晶片接外 (off-chip)結構400傳送到外部電路(圖中未示),如第 8B圖所示, 或外部電路(圖中未示)的訊號是透過晶片接外(〇fif-chip)結構4〇〇傳 送到内部結構200 ’如第8C圖所示。保護層5上方的金屬線路或 平面83r•可以用來作為細線路金屬結構的(輸入/輸出)接墊(例如第 10B圖中的金屬接墊639〇)的重新配置線路,換言之就是將細線 • 路金屬結構的(輸入/輸出)接墊利用重新配置線路重新定位到一不 同位置的接墊(例如第1〇Β圖中的接觸接墊831〇),然後利用位在 此接墊上的一導線或凸塊連接到外部電路,所以由俯視透視圖觀 ^之,此接墊的位置係不同於細線路金屬結構的(輸入/輸出)接墊位 置’例如在第10B圖中’由俯視透視圖觀之,接觸接墊831〇的位 置係不同於金屬接墊6390的位置’此外’用於形成接觸接塾831〇 的重新配置線路之厚度係大於15微米。另,保護層5上的金屬線 • 路或平面8七可與保護層5上的金屬線路或平面83同時形成。此 時流經金屬線路或平面83的電流係介於50微安培至1〇毫安培之 間。 由位在頂端聚合物層99之一聚合物開口 9939所暴露出的接 觸接墊8310可以使用打線或其它如後續第15圖系列中所述之接 合方法連接到外部電路。另,為了覆晶組裝(flip_chip assemb丨、 籲捲帶自動接合(Tape Automated Bonding,TAB)或其它如後續第15MEGA06-015TWB 23'24)〇 In the present embodiment, the signal of the internal structure 200 is transmitted to an external circuit (not shown) through an off-chip structure 400, as shown in FIG. 8B. The signal of the external circuit (not shown) is transmitted to the internal structure 200 by the 〇fif-chip structure 4' as shown in FIG. 8C. The metal line or plane 83r above the protective layer 5 can be used as a reconfiguration line for the (input/output) pads of the fine-line metal structure (for example, the metal pads 639 in Figure 10B), in other words, the thin lines. The (input/output) pads of the metal structure are repositioned to a different location of the pads (eg, contact pads 831〇 in Figure 1) using a reconfigured line, and then a wire placed on the pads is utilized Or the bump is connected to the external circuit, so the position of the pad is different from the (input/output) pad position of the thin-line metal structure, for example, in FIG. 10B, by a top perspective view. In view, the position of the contact pads 831〇 is different from the position of the metal pads 6390. In addition, the thickness of the reconfigured lines for forming the contact pads 831 is greater than 15 microns. Alternatively, the metal lines on the protective layer 5 or the surface 8 can be formed simultaneously with the metal lines or planes 83 on the protective layer 5. The current flowing through the metal line or plane 83 is then between 50 microamps and 1 milliamperes. The contact pads 8310 exposed by the polymer openings 9939 located in one of the top polymer layers 99 can be connected to an external circuit using wire bonding or other bonding methods as described in the subsequent series of Figure 15. In addition, for flip chip assembly (flip_chip assemb丨, Tape Automated Bonding (TAB) or other as follows 15

CD 51 1344686CD 51 1344686

MEGA 06-015TWB 圖系列中所述之接合方法,可選擇性在接觸接墊831〇上以及聚合 籲物層開口 "39中形成-接觸結構89,至於形成接觸結構卯的方 法及其詳細敘述也將在後續第15圖系列中說明。接觸接墊831〇 可以和晶片接外電路40連接。因此,綜合上述說明,晶片接外結 構備包括有-晶片接外電路40、一金屬接塾通、一接觸結構 89(選擇性)以及保護層上方的重新配置線路83r(選擇性)。 晶片接外電路40包括有作為晶片接外電路42的一晶片接外 •輸入/輸師/0)電路,以及作為晶片接外電路43的至少一靜電放電 (Electrostatic Discharge ’ ESD)防護電路,例如第8D圖所示,晶片 接外電路43包括有兩鱗電放電防護電路。在上勒容中,晶片 鲁接外輸入/輸出電路可以是一晶片接外驅動器、一晶片接外接收器 或-晶片接外緩衝器(例如晶片三態緩衝器),而相關内容則分別在 第11A圖、第11B @、第lie圖和第UE圖令敘述;另,靜電放 電防護電路可以是由兩個逆偏壓二極體frewse_biased _ diode)433卜4332所組成的結構’如第nF圖所示。晶片接外輸入 /輸出電路中的金氧半電晶體尺寸對内部電路_的金氧半電晶體尺 寸將在後續第15圖系列中說明。 第8A圖、第9A圖和第ι〇Α圖係為習知晶圓的設計結構,如 圖所不’所有的電路(包括内部電路2卜22、23、24和晶片接外電 路40)係透過細線路金屬結構跡咖纪叫包括⑽㈠遍、 lc) 6341 63SM互相連接在一起,然而習知並未有使用保護 52 1344686The bonding method described in the series of MEGA 06-015TWB, which can selectively form a contact structure 89 on the contact pad 831 and the polymeric layer opening "39, as to the method of forming the contact structure 及其 and its detailed description It will also be explained in the subsequent series of Figure 15. The contact pads 831A can be connected to the wafer external circuit 40. Accordingly, in conjunction with the above description, the wafer termination structure includes a wafer-external circuit 40, a metal interface, a contact structure 89 (selective), and a reconfiguration line 83r (selective) over the protective layer. The wafer external circuit 40 includes a wafer external/input/input/0) circuit as the wafer external circuit 42, and at least one electrostatic discharge (ESD) protection circuit as the wafer external circuit 43, for example As shown in Fig. 8D, the wafer external circuit 43 includes two scale electric discharge protection circuits. In the above, the external input/output circuit of the wafer can be a chip external driver, a chip external receiver or a wafer external buffer (for example, a wafer tristate buffer), and the related content is respectively 11A, 11B @, lie diagram and UE UE description; in addition, the ESD protection circuit may be a structure composed of two reverse bias diodes frewse_biased _ diode) 433 b 4332 'such as the nF The figure shows. The size of the MOS semi-transistor in the external input/output circuit of the wafer versus the internal circuit _ MOS crystal size will be described in the subsequent series of Fig. 15. 8A, 9A, and ι〇Α are the design structures of the conventional wafer, as shown in the figure, all the circuits (including the internal circuit 2, 22, 23, 24 and the external circuit 40) pass through the thin line. The road metal structure is called (10) (one), lc) 6341 63SM are connected to each other, but there is no use protection 52 1344686

MEGA 06-015TWB 層上方的金麟路或平面來連接财電路,f知僅在MEGA 06-015TWB above the layer of Jinlin Road or plane to connect the financial circuit, f know only in

錫錯凸職時,使祕護層上方的一重配置金屬線路紐蝴為己 置對外連接接墊的位置。 _請同時參閱第9B圖和第1〇B圖所示,其係分別為第犯圖所 不之電路設計的俯視示意圖和剖面示意圖。―内部電路Μ係透過 下列所述之路徑連接到接觸接墊831〇或接觸結構的,讓内部電路 21產生的訊號傳送到—外部電路:内部電路21首先經過一細線 金屬、。構631 ’往上經過—保護層53卜繼續經過單層(如第 urn圖中的圖案化金屬層咖)或多層之金屬線路或平㈣,然後 住下經過-保護層開口 539,及—細線路金屬結構639,連接到晶片 接外電路42的輸入節點,另透過細線路金屬結構69讓晶片接外 電路42的輸㈣點連接到作為靜電放電防護電路的“接外電路When the tin is in the wrong position, the position of the metal wire on the top of the secret layer is the position of the external connection pad. _Please also refer to Figure 9B and Figure 1B, which are schematic top and cross-sectional views of the circuit design of the first case. The internal circuit is connected to the contact pad 831 or the contact structure through the path described below, and the signal generated by the internal circuit 21 is transmitted to the external circuit: the internal circuit 21 first passes through a thin metal. The structure 631 'passes up—the protective layer 53 continues to pass through a single layer (such as the patterned metal layer in the urn diagram) or a plurality of metal lines or flat (four), and then stays through the protective layer opening 539, and - the thin line The metal structure 639 is connected to the input node of the external circuit 42 of the wafer, and the thin circuit metal structure 69 is used to connect the input (four) point of the external circuit 42 to the "external circuit" as the electrostatic discharge protection circuit.

43的訊號接點上’接著往上經過一細線路金屬結構639及一保護 層開口別,最後經過作為保護層上方重配置線路的—金屬線路或 平面83r連接到接觸接墊83ι〇或接觸結構89。此外,連接晶片接 外電路42與“接外電路Μ的枝也可以是細賴層上方的 金屬線路或平面來達成,亦即湘細線路金屬結構和保護層上方 的金屬線路或平面兩者來取代細線路金屬結構69。The signal contact of 43 is then passed up through a thin line metal structure 639 and a protective layer opening, and finally through a metal line or plane 83r as a reconfiguration line above the protective layer to the contact pad 83ι or contact structure. 89. In addition, the connection between the external circuit 42 and the "outer circuit" can also be achieved by a metal line or plane above the layer, that is, the metal line or the plane above the protective layer. Replace the fine line metal structure 69.

。月參閱第ioc圖所示’其係揭露了金屬線路或平面83具有相 乜於第7C圖所示知兩圖案化金屬層831、832。另外,第10D圖 #第10E圖除了在保護層5和圖案化金屬層831最底端之間增加 53 ⑤ 1344686. Referring to the figure ioc, it is revealed that the metal line or plane 83 has two patterned metal layers 831, 832 as shown in Fig. 7C. In addition, the 10th figure #10E is added between the protective layer 5 and the bottommost end of the patterned metal layer 831 53 5 1344686

MEGA 06-0I5TWB 一聚合物層95之外,其餘分別與第10B®和第1GC圖相似。請參 籲閱帛10D圖所示’利用作為重新配置線路的金屬線路或平面紐, 原本的金屬接墊6390可以被重新配置到保護層5上的接觸接塾 8310。使用重新配置線路來重新配置輸入/輸出接墊特別在堆疊封 裝快閃記憶體、動態隨機存取記憶體或靜態隨機存取記憶體晶片 上有用。另,一動態隨機存取記憶體晶片的輸入/輸出接墊通常是 約略地汉计在沿著晶片的中心線上,所以無法使用在堆疊封裳 • 中。然而,利用作為重新配置線路之金屬線路或平面83ι•將中央接 墊重新配置到晶片的周圍’則可讓晶片使用在封裝(例如堆疊封褒) 中的打線接合上。 φ 明同時參閱第1GF圖和第1GG圖所示,其係分別為接觸接塾 8310具有一打線接合的具體範例。在第圖與第圖中,一 靜態賴存取記健單元、―快閃記㈣單元或—祕隨機存取 記憶體單元係連接到内部電路2〗中的輸入節點Xi,而有關内部電 •路21以及記憶體單元連制内部電路2!的方法則已分別在第卯 圖至第5J圖中說明。首先請參閱第1〇F圖所示,一靜態隨機存取 記憶體單元、-快閃記㈣單元或-動態隨機存取記㈣單元連 接到外部電路是經由:⑴感測放大器;(2)内部緩衝器、通過電路、 問鎖電路、通過電路與内部驅動器或者是閃鎖電路與内部驅動 器,⑶細線路金屬結構6川;⑷細線路金屬結構638 ;⑺經由細 鲁線路金屬結構6391,連接到一晶片接外電路42的輸入節點;⑹經MEGA 06-0I5TWB A polymer layer 95 is similar to the 10B® and 1GC charts, respectively. Referring to Figure 10D, the original metal pad 6390 can be reconfigured to the contact pad 8310 on the protective layer 5 using a metal line or plane as a reconfigured line. Reconfiguring input/output pads using reconfiguration lines is especially useful in stacked packaged flash memory, dynamic random access memory or SRAM chips. In addition, the input/output pads of a DRAM chip are typically placed along the centerline of the wafer, so they cannot be used in stacked packages. However, the use of metal lines or planes as reconfigured lines to reconfigure the central pads to the periphery of the wafer allows the wafer to be used in wire bonding in packages such as stacked packages. Φ Ming is also shown in the 1st and 1GG drawings, which are specific examples of the contact bonding 8310 having a wire bonding. In the figure and the figure, a static access control unit, a "flash" (four) unit or a secret random access memory unit is connected to the input node Xi in the internal circuit 2, and the internal electric circuit 21 and the method of connecting the internal circuit 2! to the memory unit have been described in the figures to 5J, respectively. First, as shown in Figure 1, F, a static random access memory cell, a flash (four) cell, or a -dynamic random access memory (four) cell is connected to an external circuit via: (1) a sense amplifier; (2) internal Buffer, pass circuit, Q lock circuit, pass circuit and internal driver or flash lock circuit and internal driver, (3) fine line metal structure 6; (4) fine line metal structure 638; (7) via thin line metal structure 6391, connected to a chip is connected to an input node of the external circuit 42; (6)

54 (U mega 06-0 i5twb 日日片接外電路42的輸出節點連接細線路金屬結構6391,以及透 H線路金屬結構69連接到作為靜電放電防護電路的—晶片接外 電路43 ’⑺-保護層開口 539 ;⑻經過作為重新配置線路之一金 屬線路或平面83r ; (9)經過由一聚合物層開口 9939所暴露出的接 觸接塾8310 ’以及(1〇)經過接觸接势83丨〇上的一打線導線狄連 接到二部電路。再來,請參閱第1GG晒示,-靜態隨機存取記 It體單元、—快閃記_單元或—祕賴存取記紐單元連接 到外部電路是經由:⑴感測放大器;(2)内部三態緩衝器、通過電 路、閃鎖電路、通職路細部驅動肢者是⑽電路與内部驅 動器;⑶細線路金屬結構631 ; (4)往上經過保護層開〇 53ι ;⑶ 聚合物層開π咖;_案化金屬層831 ;⑺往下經過聚合物層 開口 9539 ’⑻保護層開口 539’ ;(9)經過細線路金屬結構639,連 接到一晶片接外電路42的輸入節點;⑽經由晶片接外電路“的 輪出節點連接細線路金屬結構639,以及透過細線路金屬結構沾 連接到作為靜電放電防護電路的—晶片接外電路43 ;⑴)保護層 開口 539 ; (12)聚合物層開口 9539 ; (13)經過作為重新配置線路之 一金屬線路或平面83Γ:(14)經過由一聚合物層開口 9939所暴露出 的接觸接墊8310;以及(15)經過接觸接墊8310上的一打線導線的, 連接到外部電路》 此外’在作為重新配置線路之金屬線路或平面83r的下方或上 方可形成-聚合物層,例如在第10G圖中,金屬線路或平面版 134468654 (U mega 06-0 i5twb The output node of the external circuit 42 is connected to the fine-wire metal structure 6391, and the H-line metal structure 69 is connected to the external circuit of the chip as an electrostatic discharge protection circuit 43 '(7)-protection Layer opening 539; (8) passes through a metal line or plane 83r as a reconfigured line; (9) passes through a contact interface 8310' and (1〇) exposed by a polymer layer opening 9939 through a contact potential 83丨〇 The upper wire of the wire is connected to the two circuits. Referring again, please refer to the 1GG display, the static random access memory unit, the flash memory unit or the secret access unit to the external circuit. It is via: (1) sense amplifier; (2) internal tristate buffer, pass circuit, flash lock circuit, drive line detail drive (10) circuit and internal drive; (3) fine line metal structure 631; (4) upward After the protective layer is opened 53; (3) the polymer layer is opened π coffee; the cased metal layer 831; (7) is passed down through the polymer layer opening 9539 '(8) protective layer opening 539'; (9) through the fine line metal structure 639, connected To a chip to external circuit 4 An input node of 2; (10) a fine-wire metal structure 639 connected via a turn-out node of the wafer-external circuit, and a die-attached external circuit 43 as an electrostatic discharge protection circuit through a thin-line metal structure; (1) a protective layer opening 539; (12) polymer layer opening 9539; (13) through a metal line or plane 83Γ as a reconfigured line: (14) through a contact pad 8310 exposed by a polymer layer opening 9939; and (15 Through a pair of wire conductors on the contact pad 8310, connected to an external circuit. Further, a polymer layer may be formed below or above the metal line or plane 83r as a reconfiguration line, for example, in the 10G, metal Line or flat version 1344686

MEGA 06-015TWB 下形成有-聚合物層95 ’且金屬線路或平面极上形成有一頂層 鲁=合物層99。另’作為重新配置線路之金屬線路或平φ紐可以 =由厚度介於1.5微米至3G微米之間細(以介於2微米至1〇微 来之間為較佳者)的-金層形成(以電錢或無電電鍍形成),或由是 厚度介於2微米至100微米之間圍(以介於3微米至2〇微米之間 為較佳者)的一銅層形成(以電鍍形成)。其中,銅層頂端有一鎳層(其 厚度介於0.5微米至5微米之間)以及金、把或舒之一組裝(_福力 #金屬層(其厚度介於謹微米至5微米之間)。一打線接合在接觸 接墊8310上的金、纪或釕層表面上進行。 當訊號傳送到外部電路或元件時,某些晶片接外電路需要去(】) ^驅動需要大電流負載的外部電路或元件;(2)檢測來自外部電路或 元件之含有雜訊的訊號(noisy signal);以及(3)保護内部電路免於受 到來自外部電路或元件之突波(surge)訊號所產生的損害。請參閱第 11A圖、第11B圖與第11E圖與第11G圖所示,其係分別揭露出 • 以晶片接外驅動器421、晶片接外驅動器422與内部三態緩衝器作 為晶片接外電路42之範例。在第11A圖中,其係為兩級串聯 (two-stage cascade)之一晶片接外驅動器42卜為了驅動需要高負載 (heavy load)的外部電路(封裝、其它晶片或元件等等),晶片接外驅 動器421被設計成可以產生大電流。另,晶片接外驅動器係可使 用一互補式金屬氧化物半導體串聯驅動器來形成。此串聯驅動器 •可能包括有數級的反相器。一晶片接外驅動器的輸出電流是與級 56 1344686A polymer layer 95' is formed under the MEGA 06-015TWB and a top layer of the ruthenium layer 99 is formed on the metal line or the plane electrode. Another 'metal line or flat φ as a reconfigured line can be formed from a gold layer with a thickness between 1.5 microns and 3G microns (preferably between 2 microns and 1 〇 micro). (formed by electricity or electroless plating), or formed by a copper layer having a thickness between 2 microns and 100 microns (preferably between 3 microns and 2 microns) (formed by electroplating) ). Wherein, the top of the copper layer has a nickel layer (having a thickness between 0.5 micrometers and 5 micrometers) and a gold, a ruthenium or a shovel (_Fu Li # metal layer (the thickness of which is between micrometers and 5 micrometers) One wire bonding is performed on the surface of the gold, cadmium or germanium layer on the contact pad 8310. When the signal is transmitted to an external circuit or component, some of the external circuit of the chip needs to be removed ()) ^ driving an external circuit requiring a large current load a circuit or component; (2) detecting a noisy signal from an external circuit or component; and (3) protecting the internal circuit from damage caused by a surge signal from an external circuit or component. Please refer to FIG. 11A, FIG. 11B and FIG. 11E and FIG. 11G, respectively, which are respectively exposed. The external driver 421, the external driver 422 and the internal tristate buffer are used as the external circuit of the wafer. An example of 42. In Figure 11A, it is a two-stage cascade of one of the externally mounted drivers 42 for driving an external circuit (package, other wafer or component, etc.) that requires a heavy load. Etc.), the wafer is connected to the external driver 42 1 is designed to generate large currents. Alternatively, the external driver of the wafer can be formed using a complementary metal-oxide-semiconductor series driver. This series driver can include a number of inverters. The output of a chip is connected to the external driver. Current is with level 56 1344686

MEGA 06-015TWB 數以及使用在每一級晶片接外驅動器中的電晶體大小(W/L,金氧 ^半電晶體通道見度對通道長度的比值,更精禮地是指金氧半電晶 體有效通道寬度對有效通道長度的比值)成比例。 在第11A圖中,晶片接外驅動器421的第一級421,是為一反 相器,其係由N型金氧半電晶體4201與P型金氧半電晶體42〇2 形成,且N型金氧半電晶體42〇1與!>型金氧半電晶體42〇2的尺 寸係大於内部電路的尺寸(如第一實施例、第二實施例、第三實施 φ 例以及後續第四實施例之内部電路21、22、23、24的尺寸)。此外, 晶片接外驅動器421的第一級421’係在輸入節點F接收來自内部 電路21、22、23、24的一訊號。另,晶片接外驅動器421的第二 級421也疋一反相器,其係由一更大尺寸的N型金氧半電晶體 4203與P型金氧半電晶體4204形成。晶片接外驅動器421提供一 驅動電流’此驅動電流係介於5毫安培(minaamperes , mA)至$安 培(amperes ’ A)之間的範圍,並以介於10毫安培至励毫安培之 • 間的範圍為較佳者。為了達到這些目標輸出驅動電流,第二級 421”(換言之’也就是晶片接外驅動器421的輸出級)金氧半 電晶體4203的尺寸是介於2〇至20,000之間的範圍,並以介於3〇 至300之間的範圍為較佳者。另外,因為一 p型金氧半電晶體之 驅動電流大約是一 N型金氧半電晶體之驅動電流的一半。所以, 第二級42Γ(換言之,也就是晶片接外驅動器421的輸出級)之p ^型金氧半電晶體4204的尺寸是介於40至40,000之間的範圍,並 ⑤ 1344686The number of MEGA 06-015TWB and the size of the transistor used in the external driver of each stage of the wafer (W/L, the ratio of the channel diameter to the length of the channel, more precisely refers to the gold oxide semi-transistor The effective channel width is proportional to the ratio of the effective channel length). In FIG. 11A, the first stage 421 of the external driver 421 is an inverter formed by an N-type MOS transistor 4201 and a P-type MOS transistor 42 〇 2, and N. The size of the MOS semi-transistor 42〇1 and the gt; type MOS transistor 42〇2 is larger than the size of the internal circuit (as in the first embodiment, the second embodiment, the third embodiment φ, and the subsequent The dimensions of the internal circuits 21, 22, 23, 24 of the four embodiments). In addition, the first stage 421' of the wafer-external driver 421 receives a signal from the internal circuitry 21, 22, 23, 24 at the input node F. In addition, the second stage 421 of the wafer external driver 421 is also an inverter formed by a larger size N-type MOS transistor 4203 and a P-type MOS transistor 4204. The wafer external driver 421 provides a drive current 'this drive current range is between 5 milliamperes (mA) to $amper's and is between 10 milliamps and milliamperes. The range between the two is preferred. In order to achieve these target output drive currents, the second stage 421" (in other words, the output stage of the wafer-external driver 421) has a size of between 2 〇 and 20,000. The range between 3 〇 and 300 is preferred. In addition, since the driving current of a p-type MOS transistor is about half of the driving current of an N-type MOS transistor, the second stage 42 Γ (In other words, that is, the output stage of the external driver 421 of the wafer), the size of the p^ type MOS transistor 4204 is in the range of 40 to 40,000, and 5 1344686

MEGA 06-015TWB 以介於60至600之間的範圍為較佳者。然而,對於一電源晶片 鲁bower chip)或-電源管理晶片(power management chip)而言,驅動 電流必須更大,例如10安培或20安培,而其驅動電流是介於5〇〇 毫安培至50安培之間的範圍,並以介於5⑻毫安培至5安培之間 的範圍為較佳者。因此,一電源晶片或電源管理晶片的一晶片接 外驅動器之N型金氧半電晶體的尺寸是介於2,〇〇〇至2〇〇,〇〇〇之間 的範圍’並以介於2,000至20,000之間的範圍為較佳者,而p型 • 金氧半電晶體的尺寸則是介於4,000至400,000之間的範圍,並以 ”於4,麵至40,麵之間的範圍為較佳者。此外’請參閱第HD 圖所示,晶片接外驅動器421可以在第二級421”中並聯多個反相 φ器,使第二級421’’之驅動器可以提供尺寸(通道寬度除以通道長 度的比值)更大的N型金氧半電晶體與p型金氧半電晶體,因此晶 片接外驅動器421可以提供一較大的驅動電流,其中在第二級 421之驅動器中,係將多個反向器之N型金氧半電晶體與p型金 鲁氧半電晶體的閘極相聯接,及多個反向器之N型金氧半電晶體與 P型金氧半電晶體的沒極相聯接。另第8E圖、第9C圖與第10H 圖係分別為本實施例應用第11D圖之電路設計的電路示意圖、俯 視示意圖和剖面示意圖。請參閱第11G圖所示,晶片接外驅動器 421亦可藉由在第一級421’之後串聯多個反相器的方式,形成一 串聯驅動器(cascadedriver),並透過逐級加大尺寸的反相器來使晶 _片接外驅動器421逐級放大訊號,其中後級之反相器的1^型金氧 58 1344686MEGA 06-015TWB is preferably in the range of between 60 and 600. However, for a power chip, or a power management chip, the drive current must be larger, such as 10 amps or 20 amps, and the drive current is between 5 mA and 50 amps. The range between amperes and preferred ranges from 5 (8) milliamps to 5 amperes. Therefore, the size of a N-type MOS transistor of a power chip or a power management chip is between 2, 〇〇〇 to 2 〇〇, and the range between 〇〇〇 is The range between 2,000 and 20,000 is preferred, while the size of the p-type + MOS transistor is between 4,000 and 400,000, and ranges from 4, face to 40, between faces. In addition, please refer to the HD picture, the external driver 421 can be connected in parallel with the plurality of inverting φ devices in the second stage 421", so that the driver of the second stage 421" can provide the size (channel The width of the channel divided by the ratio of the length of the channel) is larger for the N-type MOS transistor and the p-type MOS transistor, so the external driver 421 can provide a larger drive current, wherein the driver at the second stage 421 In the middle, a plurality of inverter N-type oxy-halide transistors are connected to the gate of the p-type galvanic semi-transistor, and a plurality of inverters of the N-type oxy-oxide semi-transistor and the P-type gold The neutrons of the oxygen semiconductor are connected. 8E, 9C, and 10H are respectively a circuit diagram, a top view, and a cross-sectional view of the circuit design of the 11D drawing of the embodiment. Referring to FIG. 11G, the external driver 421 can also form a cascade driver by serially connecting a plurality of inverters after the first stage 421', and through the step-by-step size reversal. The phase device is used to make the crystal_chip connected to the external driver 421 to amplify the signal step by step, wherein the inverter of the latter stage is 1^ type gold oxygen 58 1344686

MEGA 06-015TWB 半電晶體及P型金氧半電晶體的尺寸(通道寬度除以通道長度的比 φ值)係分別大於之前一級之反相器的N型金氧半電晶體及p型金氧 半電晶體的尺寸(通道寬度除以通道長度的比值),其較佳倍率為自 然指數(e,natural exponem)的倍率,另外其連接方式為前一級之反 相器的N型金氧半電晶體及p型金氧半電晶體之汲極係連接到後 一級之反相器的N型金氧半電晶體及p型金氧半電晶體之閘極。 另第8F圖、第9D圖與第1〇1圖係分別為本實施例應用第圖 φ 之電路設計的電路示意圖、俯視示意圖和刮面示意圖。 請參閱第11B圖所示,其係為兩級串聯的一晶片接外接收器 422,此晶片接外接收器422可以接收來自外部電路(圖中未示)的 訊號’並輸出訊號至内部電路的輸入節點。晶片接外接收器422 的第一級422’(靠近外部電路)是為一反相器,其係是由N型金氧 半電晶體4205和P型金氧半電晶體4206形成,且此N型金氧半 電晶體4205和P型金氧半電晶體42〇6具有設計用來檢測含有雜 # 訊之外部訊號的尺寸。晶片接外接收器422的第一級422’是在E 點接收來自外部電路或元件之一含有雜訊的訊號(可以是來自其它 晶片的一訊號)。晶片接外接收器422的第二級422”也是一反相 器’其係是由一較大尺寸的N型金氧半電晶體4207和P型金氧半 電晶體4208形成。晶片接外接收器422的第二級422”是用來復原 (restore)往内部電路之含有雜訊之外部訊號的完整性。 • 請參閱第uc圖所示,其係為一晶片三態緩衝器作為一晶片 59 1344686MEGA 06-015TWB The size of the semi-transistor and P-type MOS transistor (channel width divided by channel length ratio φ) is greater than the N-type MOS transistor and p-type gold of the inverter of the previous stage. The size of the oxygen semi-transistor (the ratio of the channel width divided by the length of the channel) is preferably a natural index (e, natural exponem), and the connection mode is the N-type gold oxide half of the inverter of the previous stage. The gate of the transistor and the p-type MOS transistor is connected to the gate of the N-type MOS transistor and the p-type MOS transistor of the inverter of the latter stage. The 8F, 9D, and 1st drawings are respectively a circuit schematic, a top view, and a plan view of the circuit design of the embodiment φ applied to the embodiment. Referring to FIG. 11B, it is a two-stage series connected to the external receiver 422. The external receiver 422 can receive a signal from an external circuit (not shown) and output the signal to the internal circuit. Input node. The first stage 422' of the wafer external receiver 422 (near the external circuit) is an inverter formed by an N-type MOS transistor 4205 and a P-type MOS transistor 4206, and this N The MOS semi-transistor 4205 and the P-type MOS transistor 42〇6 are designed to detect the size of the external signal containing the miscellaneous signal. The first stage 422' of the chip-out receiver 422 receives a signal from an external circuit or component containing noise at point E (which may be a signal from another chip). The second stage 422" of the chip-out receiver 422 is also an inverter' which is formed by a larger size N-type oxy-oxygen transistor 4207 and a P-type MOS transistor 4208. The second stage 422" of the 422 is used to restore the integrity of the external signals containing noise to the internal circuitry. • See Figure uc, which is a wafer tristate buffer as a wafer. 59 1344686

NiEGA06-015TWB 接外驅動nm例,且m紐衝器可輸出訊號至一匯流 φ排(bus),然後再傳輸到多個邏輯閘。第11C圖中的晶片三態緩衝 器可以被視為是一閘控反相器(gated inverter)。當促成訊號 (enabling吨㈣仏是為高準位(召為低準位)時’晶片三態緩衝器讓 來自内部電路的訊號傳送至外部電路,而當訊號仏處於低準位 時,内部電路則與外部電路切斷。在此種情況中,晶片三態緩衝 器疋用來驅動外部資料匯流排(extemal bus)。另有關晶片三態 • 緩衝器作為晶片接外驅動器之N型金氧半電晶體4209尺寸和P 型金氧半電晶體4210尺寸的範圍則已敘述在第丨1A圖中,並將在 第15圖系列中進一步說明。 ^ 請參閱第HE圖所示,其係為一晶片三態緩衝器作為一晶片 接外接收器的一範例。當促成訊號仏是為高準位為低準位) 時,晶片三態緩衝器讓來自外部電路的訊號傳送至内部電路,而 當訊號£«處於低準位時,内部電路則與外部電路切斷^在此種情 # 況中,晶片三態緩衝器是在節點E接收來自外部資料匯流排的訊 號。另有關晶片三態緩衝器作為晶片接外接收器之N型金氧半電 晶體4209尺寸和p型金氧半電晶體4210尺寸的範圍係敘述在第 11B圖中,並將在第15圖系列中進一步說明。 上述範例是用於互補式金屬氧化物半導體準位訊號(CM〇s level signal)。假若此外部訊號是為電晶體-電晶體邏輯 _ (transistor-transistor logic,TTL)準位,則需要一 CMOS/TTL· 緩衝 60 1344686The NiEGA06-015TWB is connected to the external drive nm, and the m-up buffer can output the signal to a bus φ bus (bus), and then to multiple logic gates. The wafer tristate buffer in Figure 11C can be considered a gated inverter. When the enabling signal (enabling ton (four) 仏 is high level (calling low level), the 'tri-state buffer' allows the signal from the internal circuit to be sent to the external circuit, and when the signal 仏 is at the low level, the internal circuit Then, it is disconnected from the external circuit. In this case, the wafer tristate buffer 疋 is used to drive the external data bus. The other three-state buffers are used as the N-type oxy-half of the wafer-external driver. The range of transistor 4209 size and P-type MOS transistor 4210 size is described in Figure 1A and will be further illustrated in Figure 15. ^ See Figure HE, which is a The chip tristate buffer is used as an example of a chip external receiver. When the enable signal 仏 is high level low level, the chip tristate buffer transfers signals from the external circuit to the internal circuit. When the signal £« is at a low level, the internal circuit is disconnected from the external circuit. In this case, the wafer tristate buffer receives the signal from the external data bus at node E. The range of the N-type MOS transistor 4209 size and the p-type MOS transistor 4210 size of the wafer tri-state buffer as the wafer-terminated receiver is described in FIG. 11B and will be in the 15th series. Further explanation. The above example is for a complementary metal oxide semiconductor level signal (CM〇s level signal). If the external signal is a transistor-transistor logic (TTL) level, then a CMOS/TTL buffer is required. 60 1344686

MEGA 06-015TWB 器’而假若此外部訊號是為射極轉合邏輯(emitter (卿M喻, 籲ECL)準位貝ij為要·_ CMOS/ECL界面緩衝器。在内部電路和晶片 二態緩衝器之間可以增加單極或更多極的反相器。 凊參閱第11F圖所示,其係進-步揭露了一晶片接外接受器 422具有作為靜電放電防護電路之晶片接外接受器43 #一範例。 在此範例中,作為靜電放電防護電路的晶片接外電路43包括兩個 逆碰二極體(reverse_biased di〇de) 433卜侧。底端的逆偏廢二 •極體4331可在外部輸入電壓(E點之電麼)與接地參考電壓仏之 間進行逆向偏覆,而頂端的逆偏塵二極體彻則可在外部輸入電 壓與電源電I 之間進行逆向偏壓。當來自-外部電路的外部 輸人電駐然增強至超過電源電壓時,電流將倾放電經過 頂端的逆偏屢一極體4332,而當外部輸入電壓低於接地參考電壓 Vss時,電酬會被放電_底_逆碰二極體4331。因此, 在内部電路的輸人龍將會被轉在電源龍與接地參考電 _壓Vss之間,且晶片接外接收器似2或内部電路2〇中的半導體元 件將會受到保護而免於受到靜電破壞。 源/紐參考冑顧雜設計結構。 在本發明第-實施例中,一外部供應電源是經由一穩壓器或 變壓器41輸入電整到内部電路2〇(包括21、^、力、2句,而在本 實施例中’外部供應電源係直接輸入電翻内部電路Μ包括 21、22、23、24),但在此種情況中,則需要利用一靜電放電防護 61 1344686MEGA 06-015TWB 'If the external signal is for the emitter turn logic (emitter, call ECL), _ _ CMOS / ECL interface buffer. In the internal circuit and the chip two states An inverter of one or more poles may be added between the buffers. 凊 Referring to FIG. 11F, it is further disclosed that a wafer-terminated receiver 422 has an external acceptance as an electrostatic discharge protection circuit. In this example, the wafer external circuit 43 as the electrostatic discharge protection circuit includes two reverse-biased dipoles 433. The bottom-end reverse biased body 2131 can be Reverse bias is applied between the external input voltage (the power at point E) and the ground reference voltage ,, and the reverse anti-dust diode at the top is reverse biased between the external input voltage and the power supply I. When the external input from the external circuit is increased to exceed the supply voltage, the current will be dumped through the top reverse bias body 4332, and when the external input voltage is lower than the ground reference voltage Vss, the electricity will be Discharged_Bottom_Reverse Touch Dipole 4331. The input transistor in the internal circuit will be transferred between the power supply dragon and the ground reference voltage Vss, and the semiconductor components in the external receiver like 2 or the internal circuit 2 will be protected from the semiconductor components. In the first embodiment of the present invention, an external power supply is input to the internal circuit via a voltage regulator or transformer 41 (including 21, ^, force). 2 sentences, and in the present embodiment, 'the external power supply is directly input to the internal circuit Μ including 21, 22, 23, 24), but in this case, it is necessary to utilize an electrostatic discharge protection 61 1344686

MEGA 06-015TWB 電路44來預防外部供應電源所產生的電壓或電流突波(surge)。 φ 首先’請第UA圖所示,其係為本實施例之相關習知技術。 在第12A圖中’一外部電壓vdd係經由一保護層開口 549輸入, 接著經過位在保護層5下的細線路金屬結構618、6111、6121(包 括 6121a、6121b、6121c)、6141 分配至内部電路21、22、23、24 的一電源節點Tp、Up、Vp、Wp。一靜電放電防護電路44的電源 節點Dp係經由一細線路金屬結構6491連接到細線路金屬結構 • 618。第13A圖和第14A圖為第12A圖相對應的俯視示意圖與剖 面示意圖。 接著,有關第】2B圖至12C圖、第13B圖至第13C圖與第 ^ MB圖至14D圖所示,其係分別為本發明第四實施例之電路結構 示意圖、俯視示意圖和剖面示意圖,如圖所示,一靜電放電防護 電路44係透過保護層5上的金屬線路或平面81以及/或是金屬線 路或平面82與内部電路21、22、23、24平行連接,其中内部電 •路2卜22、23、24比如是反或閘(NOR gate)、反及閘(NAND gate)、 且閘(AND gate)、或閘(0R gate)、運算放大器(〇perati〇nal amplifier)、加法器(adder)、多工器(muit;ipiexer)、雙工器(djpiexer)、 乘法器(multiplier)、類比/數位轉換器(A/D converter)、數位/類比轉 換器(D/AConverter)、互補式金屬氧化物半導體、雙載子互補式金 氧半導體、雙載子電路(bipolar circuit)、靜態隨機存取記憶體單元 • (SRAM cell)、動態隨機存取記憶體單元(DRAM cdl)、非揮發性記 62 1344686MEGA 06-015TWB circuit 44 prevents voltage or current surges generated by externally supplied power. φ First, please refer to the UA diagram, which is a related art of the present embodiment. In Fig. 12A, 'an external voltage vdd is input through a protective layer opening 549, and then distributed to the inside through fine-line metal structures 618, 6111, 6121 (including 6121a, 6121b, 6121c) and 6141 located under the protective layer 5. A power supply node Tp, Up, Vp, Wp of the circuits 21, 22, 23, 24. The power supply node Dp of an ESD protection circuit 44 is connected to the thin line metal structure 618 via a thin line metal structure 6491. Fig. 13A and Fig. 14A are schematic plan and cross-sectional views corresponding to Fig. 12A. 2B to 12C, 13B to 13C, and FIG. 4 to 14D are respectively a schematic structural view, a top view, and a cross-sectional view of the circuit according to the fourth embodiment of the present invention. As shown, an ESD protection circuit 44 is connected in parallel with the internal circuits 21, 22, 23, 24 through a metal line or plane 81 on the protective layer 5 and/or a metal line or plane 82, wherein the internal circuit 2, 22, 23, 24 are, for example, a NOR gate, a NAND gate, an AND gate, or a gate (OR gate), an operational amplifier (〇perati〇nal amplifier), addition Adder, multiplexer (ipiexer), duplexer (djpiexer), multiplier, analog/digital converter (A/D converter), digital/analog converter (D/AConverter), Complementary metal oxide semiconductor, doubly-supported MOS, bipolar circuit, SRAM cell, DRAM cdl, Non-volatile record 62 1344686

MEGA 06-015TWB 憶體單元(non-volatilememoiy cell)、快閃記憶體單s(flash mem〇ry 馨cell)、可消除可程式唯讀記憶體單元(EpR〇M cd〇、唯讀記憶體單 元(ROM cell)、磁性隨機存取記憶體(magnetic 單元 或感測放大器(sense amplifier)。此内部電路2i、22、23、24是至 少由一通道寬度/通道長度比值介於αι至5之間或介於〇2至2 之間的一 Ν型金氧半電晶體_0S,或是通道寛度/通 道長度比值介於0.2至10之間或介於〇 4至4之間的一 p型金氧 •半電晶體(PM〇S transistor)所構成’且此時流經金屬線路或平面 8卜82的電流比如是介於50絲培至2毫安培之間或是介於ι〇〇 微安培至1毫安培之間,而金屬線路或平面81、82比如是利用一 籲導線形成在金屬線路或平面81、82上,進而電連接至一外界電源; 此外,靜電放電防護電路44比域一逆偏壓二極體(revved diode)4333 ’如第12E圖所示’其係具有一電源接點與一接地接 點。另’在第1圖系列、第2圖系列以及第3圖系列所示之第一 _實婦彳巾’亦可增加靜電放電防護電路,並且平行連接穩壓器或 變壓器41以及内部電路21、22、23、24。 在第12B目與第13B圖中,靜電放電防護電路44與内部電路 〇( 〇括21 22、23、24)均包括-電源節點(p〇wer n〇de)和一接地 卽點(ground node),其中一外部電壓篇輸入的節點Ep是經由保 濩層5上的金屬線路或平面8卜保護層5的保護層開口 5ΐι、5以、 鲁5!4和保護層5下的細線路金屬結構犯、啦包括仙、⑽、MEGA 06-015TWB non-volatilememoiy cell, flash memory single s (flash mem〇ry 馨 cell), can eliminate programmable read-only memory unit (EpR〇M cd〇, read-only memory unit (ROM cell), magnetic random access memory (magnetic unit or sense amplifier). The internal circuit 2i, 22, 23, 24 is at least a channel width / channel length ratio between αι to 5 Or a 金-type MOS transistor _0S between 〇2 and 2, or a p-type channel/channel length ratio between 0.2 and 10 or between 〇4 and 4. The current formed by the PM 〇S transistor and the current flowing through the metal line or plane 8 82 is, for example, between 50 filaments and 2 milliamps or between ι microamperes. Between 1 milliamperes, the metal lines or planes 81, 82 are formed on the metal lines or planes 81, 82 by, for example, a wire, and are electrically connected to an external power source. Further, the electrostatic discharge protection circuit 44 is one domain. The reverse-biased diode 4333' is shown in Figure 12E, which has a power contact and Grounding contact. In addition, the first _ woman wipes shown in the first, second and third series can also add an ESD protection circuit and connect the regulator or transformer 41 in parallel. Internal circuits 21, 22, 23, 24. In Figures 12B and 13B, the ESD protection circuit 44 and the internal circuit 〇 (including 21 22, 23, 24) each include a - power node (p〇wer n〇) De) and a ground node, wherein an external voltage input node Ep is via a metal line on the protective layer 5 or a protective layer opening 5 of the protective layer 5 of the protective layer 5, 5, 5, and 5! 4 and the fine-line metal structure under the protective layer 5, including Xian, (10),

63 (D 134468663 (D 1344686

MEGA 06-015TWB 612c)、614,連接到内部電路2卜22、23、24的一電源節點^瞻 鲁node)Tp、Up、Vp、Wp,進而將外部電壓vdd分配至内部電路21、 22、23、24的電源節點Tp、Up、Vp、Wp。另外,節點邱亦經 由保護層5上的金屬線路或平面81、保護層5的保護層開口 549 和保護層5下的細祕金屬結構連接到―魏放電防護電路 44的一電源節點Dp。 第14B圖係為第12B圖相對應的剖面示意圖。在第14B圖中, • 作為金屬線路或平面81的圖案化金屬層811包括有一黏著/阻障/ 種子層(adhesion/barrier/seed layer)8111 以及一厚金屬層 8112。第 12C圖除了揭露出如第12B圖之外部電壓觀的連接外,亦揭露 ^出一接地參考電壓Vss的連接。 在第12C圖與第13C圖中,接地參考電壓Vss輸入的節點Eg 疋經由保護層5上的金屬線路或平面82、保護層5的保護層開口 521、522、524和保護層5下的細線路金屬結構621、622(包括 • 622a、622b、622〇)、624 連接到内部電路 21、22、23、24 的一接 地節點Ts、Us、VS、Ws。另外’節點Eg亦經由保護層5上的金 屬82、保濩層5的保護層開口 549’和保護層$下的細線路金屬結 構649’連接到靜電放電防護電路44的一接地節點Dg。 第14C圖係為第12C圖相對應的剖面示意圖。第14c圖揭露 出在保濩層上方具有兩圖案化金屬層,其中圖案化金屬層821是 •用在接地參考電壓Vss連接上,而圖案化金屬層犯貝,!是用在電MEGA 06-015TWB 612c), 614, connected to a power supply node of the internal circuit 2, 22, 23, 24 ^ 鲁 node node) Tp, Up, Vp, Wp, and then the external voltage vdd is assigned to the internal circuit 21, 22, 23, 24 power nodes Tp, Up, Vp, Wp. In addition, the node Qiu is connected to a power supply node Dp of the "Wei discharge protection circuit 44" via a metal line or plane 81 on the protective layer 5, a protective layer opening 549 of the protective layer 5, and a fine metal structure under the protective layer 5. Fig. 14B is a schematic cross-sectional view corresponding to Fig. 12B. In Fig. 14B, the patterned metal layer 811 as a metal line or plane 81 includes an adhesion/barrier/seed layer 8111 and a thick metal layer 8112. In addition to revealing the connection of the external voltage view as shown in Fig. 12B, Fig. 12C also discloses the connection of a ground reference voltage Vss. In FIGS. 12C and 13C, the node Eg of the ground reference voltage Vss input passes through the metal line or plane 82 on the protective layer 5, the protective layer openings 521, 522, 524 of the protective layer 5, and the thin lines under the protective layer 5. The land metal structures 621, 622 (including • 622a, 622b, 622 〇), 624 are connected to a ground node Ts, Us, VS, Ws of the internal circuits 21, 22, 23, 24. Further, the node Eg is also connected to a ground node Dg of the electrostatic discharge protection circuit 44 via the metal 82 on the protective layer 5, the protective layer opening 549' of the protective layer 5, and the fine line metal structure 649' under the protective layer $. Fig. 14C is a schematic cross-sectional view corresponding to Fig. 12C. Figure 14c discloses that there are two patterned metal layers above the protective layer, wherein the patterned metal layer 821 is used for the ground reference voltage Vss connection, and the patterned metal layer is smashed, and is used in electricity.

64 (D 134468664 (D 1344686

MEGA 06-015TWB 源Vdd連接上。圖案化金屬層821包括有一黏著/阻障/種子層82ΐι 鲁以及-厚金屬層8212,而圖案化金屬層812則包括有一黏著/阻障 /種子層S121以及-厚金屬層幻22。第⑽目除了在保護詹$與 作為金屬線路或平面81的圖案化金屬層811最底端之間形成有一 聚合物層95之外,其餘皆與第14B圖相似。 δ月參閱第12D圖所示’其係與第12C圖相似,差別在於第12C 圖僅有-靜電放電防護電路44’而第12D圖财兩靜電放電防護 籲電路44、45,其中此靜電放電防護電路45比如是一逆偏壓二極 體。在第12D圖中’靜電放電防護電路44、45與内部電路2〇(包 括2卜22、23、24)均包括-電源節點和一接地節點,一外部電壓 I Vdd是經由保護層5上的金屬線路或平面81、保護層5的保護層 開口 511、512、514和保護層5下的細線路金屬結構611、612a、 612b、612e、614 ’輸入到内部電路21、22、23、24的一電源節 點Tp、Up、Vp、Wp ’進而將外部電壓Vdd分配至内部電路2卜 籲22、23、24的電源節點TP、Up、Vp、Wp。此外,外部電壓vdd 亦經由保δ蔓層5上的金屬線路或平面8丨、保護層5的保護層開口 549、559和保濩層5下的細線路金屬結構649、659輸入到靜電放 電防護電路44、45的一電源節點Dp、Dp,。另,一接地參考電壓 Vss是經由保護層5上的金屬線路或平面82、保護層5的保護層 開口 521、522、524和保護層5下的細線路金屬結構纪丨、622a、 籲622b、622c、624輸入到内部電路21、22、23、24的一接地節點MEGA 06-015TWB source Vdd is connected. The patterned metal layer 821 includes an adhesion/barrier/seed layer 82ΐ and a thick metal layer 8212, while the patterned metal layer 812 includes an adhesion/barrier/seed layer S121 and a thick metal layer. Item (10) is similar to Figure 14B except that a polymer layer 95 is formed between the protection of J and the bottommost end of the patterned metal layer 811 as a metal line or plane 81. δ month is shown in Fig. 12D, which is similar to Fig. 12C. The difference is that the 12Cth view only has the -electrostatic discharge protection circuit 44' and the 12th figure has two electrostatic discharge protection circuits 44, 45, wherein the electrostatic discharge The protection circuit 45 is, for example, a reverse biased diode. In FIG. 12D, the 'electrostatic discharge protection circuits 44, 45 and the internal circuit 2' (including 2, 22, 23, 24) each include a power supply node and a ground node, and an external voltage I Vdd is via the protective layer 5. The metal lines or planes 81, the protective layer openings 511, 512, 514 of the protective layer 5 and the thin line metal structures 611, 612a, 612b, 612e, 614 ' under the protective layer 5 are input to the internal circuits 21, 22, 23, 24 A power supply node Tp, Up, Vp, Wp' further distributes the external voltage Vdd to the power supply nodes TP, Up, Vp, Wp of the internal circuit 2, 22, 23, 24. In addition, the external voltage vdd is also input to the electrostatic discharge protection via the metal line or plane 8 on the δ layer 5, the protective layer openings 549 and 559 of the protective layer 5, and the fine line metal structures 649 and 659 under the protective layer 5. A power supply node Dp, Dp of the circuits 44, 45. In addition, a ground reference voltage Vss is via a metal line or plane 82 on the protective layer 5, protective layer openings 521, 522, 524 of the protective layer 5, and fine-line metal structures under the protective layer 5, 622a, 622b, 622c, 624 are input to a ground node of the internal circuits 21, 22, 23, and 24.

65 (D 134468665 (D 1344686

MEGA 06-015TWBMEGA 06-015TWB

Ts、Us、Vs、Ws。此外,接地參考電壓Vss亦經由保護層5上的 籲金屬82、保護層5的保護層開口 549,、559’和保護層5下的細線 路金屬結構649’、659’連接到靜電放電防護電路44、45的一接地 節點 Dg、Dg’。 另本實施例的其它相關内容係與第一實施例、第二實施例以 及第三實施例相同,都將在後續的第15圖系列、第16圖系列、 第Π圖系列、第18圖系列與第19圖系列中進一步詳細說明。 # 此外’在第三實施例中敘述的重新配置線路亦可適用在本發 明的第一實施例與第四實施例上,也就是在第一實施例與第四實 施例中,用來接受外部電壓Vdd或接地參考電壓Vss的接觸接墊 ^ (例如第3B圖至第3D圖中的接觸接墊8110、8120,第14B圖至 第第14D圖中的接觸接墊811〇、812〇)亦可利用重配置線路重新定 位到一不同位置的接觸接墊,使此不同位置的接觸接墊位置與細 線路金屬結構的金屬接墊(例如第3B圖至第3D圖中的金屬接墊 • 6190、6290,第14B圖至第第14D圖中的金屬接墊64%、6490,;) 位置不同,然後利用位在此不同位置之接觸接墊上的一導線或凸 塊連接到外部電路。Ts, Us, Vs, Ws. In addition, the ground reference voltage Vss is also connected to the electrostatic discharge protection circuit via the metal occupant 82 on the protective layer 5, the protective layer openings 549 of the protective layer 5, 559', and the thin-line metal structures 649', 659' under the protective layer 5. A ground node Dg, Dg' of 44, 45. The other related content of this embodiment is the same as that of the first embodiment, the second embodiment, and the third embodiment, and will be in the following series of 15th, 16th, 3rd, and 18th series. This is described in further detail in the series of Figure 19. Further, the reconfiguration line described in the third embodiment can also be applied to the first embodiment and the fourth embodiment of the present invention, that is, in the first embodiment and the fourth embodiment, for accepting the outside The contact pads of the voltage Vdd or the ground reference voltage Vss (for example, the contact pads 8110, 8120 in FIGS. 3B to 3D, and the contact pads 811, 812 in the 14B to 14D) are also The re-configuration line can be used to relocate the contact pads to a different location, such that the contact pads at different locations and the metal pads of the thin-line metal structure (eg, metal pads in Figures 3B through 3D) • 6190 6,290, the metal pads 64%, 6490, in the 14B to 14D are different in position, and then connected to the external circuit by a wire or bump on the contact pads located at the different positions.

在本發明的所有實施例(第一實施例、第二實施例、第三實施 例以及第四實施例)中,保護層上方(over-passivation)結構的主要特 徵在於:厚的圖案化金屬層(厚度介於2微米至200微米)以及厚的 (DI -分 j 1344686In all of the embodiments (first embodiment, second embodiment, third embodiment, and fourth embodiment) of the present invention, the main feature of the over-passivation structure is that a thick patterned metal layer (thickness between 2 microns and 200 microns) and thick (DI-minute j 1344686

MEGA 06-015TWB 介電層(厚度介於2微米至3〇〇微米)。第15圖系列與第l6圖系列 鲁分別揭露一種浮凸(emb〇ssing)製程與一種雙浮凸(d〇uWe embossing)製程’其可用來製造本發鴨有實關巾紐層上方的 圖案化金屬層與介電層。在這兩種製程(第15圖系列與第16圖系 列)中’其係利用聚合物材料(p〇lymer materi續為介電層,並形 成在每-圖案化金屬層上、每一圖案化金屬層之間以及/或者是每 -圖案化金屬層下。另外’第15圖系列與第16圖系列是以第三 鲁實施例中的第10E圖為基礎’並以此作為範例說明本發明所有實 關形成保4層上方結構的方法。換言之,以下所敘述的方法以 其相關說明可適用於本發明的所有實施例。 φ 形成保護層上方結構的製程是在積體電路晶圓(IC wafer)製程 結束以後開始。請參閱第圖所示,其係揭露出一種作為形成 保濩層上方結構的起始材料(starting materiai),如圖所示,形成保 護層上方結構的製程是開始在一傳統半導體製造廠(IC fab)製造完 鲁成的一積體電路晶圓10上,此晶圓10包括: (一)基底(substrate)l 基底1通常是為一石夕基底(silicon substrate),此石夕基底可以是 一本質(intrinsic#夕基底、一 p型石夕基底或是一 n型石夕基底。對於 高性能的晶片,則是使用矽鍺(SiGe)或絕緣層上覆矽 iSilieon_On-Insulat〇r ’ SOI)基底。其中,矽鍺基底包括一矽鍺附生 _在矽基底的表面上,另絕緣層上覆矽基底則包括MEGA 06-015TWB Dielectric layer (thickness from 2 microns to 3 microns). The 15th and 14th series respectively disclose an emb〇ssing process and a double embossing process, which can be used to make a pattern above the hood of the hair duck. Metal layer and dielectric layer. In both processes (figure 15 and series 16), the polymer material is used (p〇lymer materi continues as a dielectric layer and is formed on each-patterned metal layer, each patterning Between the metal layers and/or under the per-patterned metal layer. In addition, the '15th series and the 16th series are based on the 10E figure in the third embodiment, and the invention is illustrated by way of example. All of the methods for forming the structure above the 4 layers are formed. In other words, the method described below can be applied to all the embodiments of the present invention with the relevant description. φ The process for forming the structure above the protective layer is in the integrated circuit wafer (IC) Wafer) begins after the end of the process. Please refer to the figure, which reveals a starting material (starting materiai) as the structure above the protective layer. As shown in the figure, the process of forming the structure above the protective layer begins. A conventional semiconductor manufacturing factory (IC fab) manufactures an integrated circuit wafer 10 of Lucheng. The wafer 10 includes: (1) a substrate 1 The substrate 1 is usually a silicon substrate. This Shi Xi base can An intrinsic (intrinsic#, a p-type slate base or an n-type slate base. For high-performance wafers, use 矽锗(SiGe) or insulating layer over 矽iSilieon_On-Insulat〇r ' SOI a substrate, wherein the ruthenium substrate comprises an epitaxial _ on the surface of the ruthenium substrate, and the ruthenium substrate on the other insulation layer comprises

(D 67 1344686(D 67 1344686

MEGA06-015TWBMEGA06-015TWB

一絕緣層(較佳為氧化矽)在一矽基底上,且一矽或矽鍺附生層形成 在絕緣層上。 (二)元件層(device layer)2 元件層2通常包括至少一半導體元件(semic〇ndUctor device), 且此元件層2是在基底1的表面内以及/或是表面上。其中,半導 體元件可以是一金氧半電晶體(MOS transistor)2,,例如N型金氧 半電晶體(NMOS transistor ’ n-channel MOS transistor)或 P 型金氧 φ 半電晶體(PMOS transistor ’ p-channel MOS transistor),且此金氧半 電晶體2’包括一源極201、一汲極202與一閘極203,而閘極203 通常是為一多晶矽(poly silicon)、一複晶金屬矽化鎢(tungsten 鲁 polycide)、一矽化鎢(tungsten silicide)、一矽化鈦(titanium silicide)、 一始化石夕(cobalt silicide)或一石夕化物閘極(salicide gate)。另,半導 體元件亦可以是雙載子電晶體(bipolar transistor)、擴散金屬氧化物 半導體(Diffiised MOS,DM0S)、橫向擴散金屬氧化物半導體 # (Lateral Diffbsed M0S ’ LDM0S)、電荷粞合元件(Charged-Coupled Device ’ CCD)、互補式金屬氧化物半導體(CM〇s)感測元件、光敏 二極體(photo-sensitivediode)、電阻元件(由在矽基底内之多晶矽層 或擴散區所形成)。利用這些半導體元件可以形成各種電路,例如 互補式金屬氧化物半導體(CM0S)電路、N型金氧半導體電路、p 型金氧半導體電路、雙載子互補式金屬氧化物半導體(BiCM〇s)電 籲路、互補式金屬氧化物半導體感測器電路、擴散金屬氧化物半導An insulating layer (preferably yttrium oxide) is on one of the substrates, and a tantalum or tantalum layer is formed on the insulating layer. (2) Device layer 2 The device layer 2 usually includes at least one semiconductor device, and the device layer 2 is in the surface of the substrate 1 and/or on the surface. The semiconductor component may be a MOS transistor 2, such as an NMOS transistor 'n-channel MOS transistor or a P-type MOS transistor PMOS transistor. P-channel MOS transistor), and the MOS transistor 2' includes a source 201, a drain 202 and a gate 203, and the gate 203 is usually a poly silicon or a polycrystalline metal. Tungsten (rungsten), tungsten silicide, titanium silicide, cobalt silicide or a salicide gate. In addition, the semiconductor component may also be a bipolar transistor, a diffused metal oxide semiconductor (Diffiised MOS, DM0S), a laterally diffused metal oxide semiconductor # (Lateral Diffbsed MOS' LDM0S), a charge coupled component (Charged -Coupled Device 'CCD), complementary metal oxide semiconductor (CM〇s) sensing element, photo-sensitive diode, resistive element (formed by a polysilicon layer or diffusion region in a germanium substrate). Various circuits can be formed by using these semiconductor elements, such as a complementary metal oxide semiconductor (CMOS) circuit, an N-type MOS circuit, a p-type MOS circuit, and a bi-carrier complementary metal oxide semiconductor (BiCM〇s). Cycling, Complementary Metal Oxide Semiconductor Sensor Circuit, Diffused Metal Oxide Semiconductor

68 134468668 1344686

MEGA 06-015TWB 體電源電路、橫向擴散金屬氧化物半導體電路等^此外,元件層2 籲也包括内部電路20(包括2卜22、23、24)在所有實施例中,穩壓 器或變壓器41在第一實施例中,晶片接外電路4〇(包括42、43) 在第三實施例中,以及靜電放電防護電路44在第四實施例中。 (三)細線路結構(fine-line scheme)6 此細線路結構ό包括複數細線路金屬層(f|ne_iine metal layer)60、複數細線路介電層(fine_nne dielectric layer)30以及複數 φ 在細線路介電層30之開口 30,内的導電栓塞(fme_iine via plug)60’。另’細線路金屬結構63包括細線路金屬層60與導電栓 塞60,,而此細線路金屬結構63結構在本發明中包括:(1)細線路 金屬結構 611、612(包括 612a、612b 及 612c)、614、619、619,、 修 62卜 622(包括 622a、622b 及 622c)、624、629 在第一實施例;(2) 細線路金屬結構631、632(包括632a、632b及632c)、634在第二 實施例;(3)細線路金屬結構63卜632(包括632a、632b及632c)、 φ 634、639、639’在第三實施例;(4)細線路金屬結構61卜612(包括 612a、612b 及 612c)、614、649、659、62 卜 622(包括 622a、622b 及622c)、624、649’及659’在第四實施例。 細線路金屬層60可以是鋁層或銅層,或更具體來說,可以是 以藏鍵方式形成的紹層或者是以镶欲方式形成的銅層。所以,細 線路金屬層60可以是:(1)所有的細線路金屬層60均為鋁層;(2) #所有的細線路金屬層60均為銅層;(3)底層的細線路金屬層60為 iMEGA 06-015TWB body power supply circuit, laterally diffused metal oxide semiconductor circuit, etc. In addition, component layer 2 also includes internal circuit 20 (including 2, 22, 23, 24). In all embodiments, voltage regulator or transformer 41 In the first embodiment, the wafer external circuit 4 (including 42, 43) is in the third embodiment, and the electrostatic discharge protection circuit 44 is in the fourth embodiment. (3) Fine-line scheme 6 This fine line structure includes a plurality of fine-line metal layers (f|ne_iine metal layer) 60, a plurality of fine-line dielectric layers (fine_nne dielectric layers) 30, and a complex number φ in thin lines. The opening 30 of the dielectric layer 30 has a fme_iine via plug 60'. The other 'fine line metal structure 63 includes a thin line metal layer 60 and a conductive plug 60, and the thin line metal structure 63 structure includes in the present invention: (1) fine line metal structures 611, 612 (including 612a, 612b, and 612c) ), 614, 619, 619, repair 62 622 (including 622a, 622b, and 622c), 624, 629 in the first embodiment; (2) fine-line metal structures 631, 632 (including 632a, 632b, and 632c), 634 in the second embodiment; (3) fine line metal structure 63 632 (including 632a, 632b and 632c), φ 634, 639, 639' in the third embodiment; (4) fine line metal structure 61 612 ( 612a, 612b, and 612c), 614, 649, 659, 62, 622 (including 622a, 622b, and 622c), 624, 649', and 659' are included in the fourth embodiment. The fine wiring metal layer 60 may be an aluminum layer or a copper layer, or more specifically, may be a layer formed by a Tibetan bond or a copper layer formed in an inlaid manner. Therefore, the fine-line metal layer 60 may be: (1) all of the fine-line metal layers 60 are aluminum layers; (2) #all of the fine-line metal layers 60 are copper layers; (3) the bottom layer of fine-line metal layers 60 is i

MEGA06-015TWB 紹層’而了頁層的細線路金屬層60為銅層;或是(4)底層的細線路金 屬層60為鋼層,而頂層的細線路金屬層60為鋁層。此外,每一 細線路金屬層60的厚度係介於〇.〇5微米(ym)至2微米之間,而 以介於0.2微米至1微米之間的厚度為較佳者,另細線路金屬層 60若為線路,則其橫向設計標準(寬度)係介於20奈米(nan〇 meter) 至15微米之間,並以介於2〇奈米至2微米之間為較佳者。 在上述内容中,鋁層通常是利用物理氣相沉積(PhysicalVap〇rThe MEGA06-015TWB layer is the thin layer metal layer 60 of the page layer is a copper layer; or (4) the fine line metal layer 60 of the bottom layer is a steel layer, and the fine line metal layer 60 of the top layer is an aluminum layer. In addition, each thin-line metal layer 60 has a thickness of between 微米. 5 micrometers (ym) and 2 micrometers, and a thickness of between 0.2 micrometers and 1 micrometer is preferred. If layer 60 is a line, its lateral design standard (width) is between 20 nanometers and 15 micrometers, and preferably between 2 nanometers and 2 micrometers. In the above, the aluminum layer is usually formed by physical vapor deposition (PhysicalVap〇r

Deposition,pvd)的方式來形成,例如利用濺鑛(spmtering)的方式 來形成,接著透過沈積厚度介於0.1微米至4微米之間(較佳為介 於0.3微米至2微米之間)的一光阻層對此鋁層進行圖案化,再來 對此鋁層進行一溼蝕刻(wet etching)或一乾蝕刻(dry etching),較佳 的方式是為乾式電漿(dryplasma)蝕刻(通常包含氟電漿)。另,在鋁 層下可選擇性形成一黏著/阻障層(adhesi〇a^barder layer),其中此黏 著/阻障層可以是欽、欽鎢合金、氮化鈦或者是上述材料所形成之 複合層;而在鋁層上亦可選擇性形成一抗反射層(例如氮化鈦)。此 外’開口30可選擇性以化學氣相沉積(咖111丨(;心叩〇1<(|叩〇仙〇11, CVD)鎢金屬的方式填滿,接著再以化學機械研磨(chemical mechanical polish,CMP)的方式研磨鎢金屬層,以形成金屬栓塞 60,。 另在上述内容中,銅層通常是利用電鍍與鑲嵌製程(damascene process)的方式來形成’其敘述如下:(1)沈積一銅擴散阻障層(例如 1344686Deposition, pvd) is formed, for example, by means of sprtering, followed by deposition of a thickness between 0.1 micrometers and 4 micrometers (preferably between 0.3 micrometers and 2 micrometers). The photoresist layer is patterned by the photoresist layer, and then the aluminum layer is subjected to a wet etching or a dry etching. Preferably, the dry plasma is etched (usually containing fluorine). Plasma). In addition, an adhesion/barrier layer may be selectively formed under the aluminum layer, wherein the adhesion/barrier layer may be a Qin, a tungsten alloy, a titanium nitride or the like. A composite layer; and an anti-reflective layer (for example, titanium nitride) may be selectively formed on the aluminum layer. In addition, the 'opening 30 can be selectively filled with chemical vapor deposition (the 丨1叩〇(;叩〇叩〇11, CVD) tungsten metal, followed by chemical mechanical polishing (chemical mechanical polish) , CMP) grinds the tungsten metal layer to form the metal plug 60. In the above, the copper layer is usually formed by means of electroplating and damascene process, which is described as follows: (1) deposition one Copper diffusion barrier (eg 1344686)

MEGA 06-015TWB 厚度介於0.05微米至0.25微米之間的氮氧化合物層或氮化物層); _ (2)利用電漿辅助化學氣相沈積(plasma enhanced CVD,PECVD)、 旋轉塗佈(spin-on coating)或高密度電漿化學氣相沉積(High Density Plasma CVD,HDPCVD)的方式沈積厚度介於〇1微米至 2.5微米之間的一細線路介電層3〇,其中此細線路介電層是以 介於0.3微米至1.5微米之間的厚度為較佳者;(3)利用沈積厚度介 於0.1微米至4微米之間的一光阻層來圖案化細線路介電層3〇, • 其中光阻層的厚度又以介於微米至2微米之間為較佳者,接著 對此光阻層進行曝光與顯影,使光阻層形成複數開口以及/或是複 數溝渠’再來去除此光阻層;(4)利用濺鍍或化學氣相沈積的方式, _沈積一黏著/阻障層與一種子層(seed layer)。其中,此黏著/阻障層 包括鈕、氮化鈕、氮化鈦、鈦或鈦鎢合金,或者是由上述材料所 形成之一複合層。另外,此種子層通常是一銅層,而此銅層可以 是利用雜銅金屬、化學氣相沈積銅金屬,或者是先以化學氣相 鲁沈積-銅金屬’然後再麟一銅金屬的方式形成;(5)電鑛厚度介 於0.05微米至2微米之間的一銅層在此種子層上,其中又以電錢 銅層厚度介於G,2微米至丨微米之間的—銅層缺佳者;⑼明 磨(較佳的方式為化學機械研磨)晶圓的方式去除未在細線路介電 層30之開口或溝渠内的銅層、種子層以及黏著/阻障層,直至暴露 出位在黏著/阻障層下之細線路介電層3G為止。在經過化學機械研 Φ磨之後,僅剩下位在開口或溝渠内的金屬,而剩下的金屬則用來 (|>j 71MEGA 06-015TWB Nitrogen oxide layer or nitride layer with a thickness between 0.05 μm and 0.25 μm); _ (2) Using plasma enhanced CVD (PECVD), spin coating (spin) -on coating) or high-density plasma chemical vapor deposition (HDPCVD) to deposit a thin-line dielectric layer 3〇 with a thickness between 〇1 μm and 2.5 μm. The electrical layer is preferably between 0.3 microns and 1.5 microns thick; (3) the thin line dielectric layer is patterned using a photoresist layer having a thickness between 0.1 microns and 4 microns. , wherein the thickness of the photoresist layer is preferably between micrometers and 2 micrometers, and then the photoresist layer is exposed and developed to form a plurality of openings of the photoresist layer and/or a plurality of trenches. Removing the photoresist layer; (4) depositing an adhesion/barrier layer and a seed layer by sputtering or chemical vapor deposition. Wherein, the adhesion/barrier layer comprises a button, a nitride button, a titanium nitride, a titanium or a titanium tungsten alloy, or a composite layer formed of the above materials. In addition, the seed layer is usually a copper layer, and the copper layer may be formed by using copper metal, chemical vapor deposition of copper metal, or by chemical vapor deposition - copper metal and then copper. Forming; (5) a copper layer having an electric ore thickness between 0.05 micrometers and 2 micrometers on the seed layer, wherein the copper layer having a thickness of the copper layer between G, 2 micrometers and 1 micrometer is further (9) The method of polishing (preferably CMP) wafers removes the copper layer, the seed layer, and the adhesion/barrier layer that are not in the openings or trenches of the thin-line dielectric layer 30 until exposed. Out of the thin dielectric layer 3G under the adhesion/barrier layer. After chemical mechanical grinding, only the metal in the opening or trench is left, and the remaining metal is used (|>j 71

MEGA 06-015TWB 作為金屬導體(線路或是平面)或導電栓塞⑼,(連接兩相鄰的細線 路金屬層60)。另外,亦可利用—雙鎮嵌(d〇ubie_damascene)製程’ 於-次電鑛製程與-次化學機械研磨中同時形成導電检塞6〇,以 及金屬線械金屬平面。时㈣⑽⑽丨—卿㈣製程及兩次電 鍵製程係剌於雙鑲嵌製程上。雙鑲嵌製程在上述單次鑲敌製程 中的圖案化-介電層之步驟⑶與沈積金屬層之步驟⑷間,增加更 多沈積與圖案化另一介電層的製程步驟。 細線路介電層30係_化學氣相沈積、電漿_化學氣相沈 積、高密度賴化學氣相沉積或旋塗(spi請)的方式形成。細線路 介電層30的材質包括氧化矽(silic〇n 〇xide)、氮化矽⑼此⑽ nitride)、氮氧化矽(silicon oxynitride)、以電漿輔助化學氣相沈積形 成之四乙氧基矽烷(PECVD TEOS)、旋塗玻璃(s〇g,矽氧化物或 矽氧烷基)、氟矽玻璃(Fluorinated Silicate Glass,FSG)或一低介電 常數⑼〜叫材質’例如黑鑽石薄膜出以⑺丨啦⑽心其係為八卯丨⑹ Materials之產品,公司譯名為應用材料公司(為MEGA 06-015TWB acts as a metal conductor (line or plane) or a conductive plug (9) (connects two adjacent thin-line metal layers 60). In addition, it is also possible to simultaneously form a conductive plug 6〇 and a metal wire metal plane by using the “d〇ubie_damascene” process in the secondary-mineral process and the secondary chemical mechanical polishing. Time (4) (10) (10) 丨-Qing (4) process and two key-key processes are on the dual damascene process. The dual damascene process adds more steps to deposit and pattern another dielectric layer between the step (3) of patterning the dielectric layer in the single enemies process and the step (4) of depositing the metal layer. The fine-line dielectric layer 30 is formed by chemical vapor deposition, plasma_chemical vapor deposition, high-density chemical vapor deposition, or spin coating. The material of the fine-line dielectric layer 30 includes silicium oxide (xic), tantalum nitride (9) nitride, silicon oxynitride, tetraethoxy group formed by plasma-assisted chemical vapor deposition. CVD (PECVD TEOS), spin-on glass (s〇g, yttrium oxide or yttrium oxide), Fluorinated Silicate Glass (FSG) or a low dielectric constant (9) ~ called material 'such as black diamond film out (7) 丨 ( (10) is the product of the 卯丨 (6) Materials, the company translated as Applied Materials (

Novellus公司之產品)或SiLK(IBM公司)之低介電常數的介電材 質。以電漿辅助化學氣相沈積形成的氧化矽、以電漿輔助化學氣 相沈積形成的四乙氧基矽烷或以高密度電漿形成的氧化物具有介 於3.5至4.5之間的介電常數K;以電漿輔助化學氣相沈積形成的 氟矽玻璃或以高密度電漿形成的氟矽玻璃具有介於3 〇至3 5之門 的介電常數值,而低介電常數介電材料則具有介於丨.5至3 5之門Novellus's product) or SiLK (IBM) low dielectric constant dielectric material. Cerium oxide formed by plasma-assisted chemical vapor deposition, tetraethoxydecane formed by plasma-assisted chemical vapor deposition, or oxide formed by high-density plasma having a dielectric constant between 3.5 and 4.5 K; fluorocarbon glass formed by plasma-assisted chemical vapor deposition or fluorocarbon glass formed by high-density plasma having a dielectric constant value between 3 〇 and 35 λ, and a low dielectric constant dielectric material Then have a gate between 丨.5 and 3 5

Mega 06-015TWB 的"電彳數值。低介電常數介電材料,例如黑鑽石細,其係為 籲多孔性,並包括有氫、碳、石夕與氧,其分子式為狀叫。此細 線路介電層3〇通常包括無機材料(in〇rganicma她丨),用以達到厚 度大於2微米。每一細線路介電層3〇的厚度係介於〇 〇5微米至2 微来之間。另’細線路介電層30内的開口 30,是利用難刻或乾 蝕刻的方式钱刻圖案化光阻層形成,其中較佳的餘刻方式係為乾 餘刻。乾钱刻種類包括氟電漿(flu〇rineplasma)。 鲁(四)保護層(passivation 丨ayer)5 保護層5在本發明中扮演著非常重要的角色。保護層5在積 體電路產業中是為-個重要的組成部分,如年由s w〇lf著, •並由Lattice press所發行之“Silic〇n Ργ__出此凡幻⑽”第2 冊所述’保護層5在積體電路製程中是被定義作為最終層,並沈 積在晶圓的整體上表面上。保護層5係為一絕緣、保護層可以 防止在組裝與封裝期間所造成的機械與化學傷害。除了防止機械 •到痕之外,保護層5也可以防止移動離子(mobile i〇n),比如是鈉 (sodium)離子’以及過渡金屬(transiti〇nmeta丨),比如是金、銅,穿 透進入至下方的積體電路元件。另外,保護層5也可以保護下方 的元件與連接線路(細線路金屬結構與細線路介電層)免於受到水 氣(moisture)的侵入。 保護層5通常包括一氮化矽(siiicon nitride)層以及/或是一氮氧 _化石夕(silicon oxynitride)層,且其厚度是介於〇·2微米至丨5微米之 73 1344686Mega "Electricity value of 06-015TWB. A low-k dielectric material, such as a black diamond, is porous, and includes hydrogen, carbon, stagnation and oxygen, and its molecular formula is called. The thin-line dielectric layer 3〇 typically includes an inorganic material (in〇rganicma) to achieve a thickness greater than 2 microns. The thickness of each fine-line dielectric layer 3〇 is between 微米 5 μm and 2 μm. The opening 30 in the thin-line dielectric layer 30 is formed by patterning the photoresist layer by means of hard or dry etching, wherein a preferred residual mode is dry. The dry money type includes flu〇rineplasma. Lu (four) protective layer (passivation 丨ayer) 5 protective layer 5 plays a very important role in the present invention. The protective layer 5 is an important component in the integrated circuit industry, such as the year by sw〇lf, and is issued by the Lattice press "Silic〇n Ρ γ__ 出出幻幻(10)" Book 2 The 'protective layer 5' is defined as a final layer in the integrated circuit process and is deposited on the entire upper surface of the wafer. The protective layer 5 is an insulating and protective layer that prevents mechanical and chemical damage during assembly and packaging. In addition to preventing mechanical marks, the protective layer 5 can also prevent mobile ions (mobile i〇n), such as sodium ions and transition metals (transiti〇nmeta丨), such as gold, copper, penetrating. Enter the integrated circuit components below. In addition, the protective layer 5 can also protect the underlying components and connection lines (fine line metal structure and fine line dielectric layer) from moisture intrusion. The protective layer 5 generally comprises a siiicon nitride layer and/or a silicon oxynitride layer and has a thickness of between 〇2 μm and 丨5 μm 73 1344686

MEGA 06-015TWB 間’並以介於0.3微米至l.o微米之間的厚度為較佳者。其它使用 鲁在保護層5的材料則有以電漿辅助化學氣相沈積形成的氧化石夕' 電漿加強型二氧化四乙基正石夕酸鹽咖動為㈣ 〇rtho.ate ’ PETE0S)之氧化物、卿破璃⑽哪h祕她咖s, PSG)、蝴磷频师⑽咖响。加,BpsG)、以高密度 電漿_>)形成的氧化物。接著,敘述保護層$由複合層組成的: 些範例,其底部至頂部的順序是為:⑴厚度介於〇1微米至1〇微 #米之間(較佳厚度則介於〇·3微米至〇 7微米之間)的氧化物/厚度介 於0.25微米至L2微米之間(較佳厚度則介於〇 35微米至1〇微米 之間)的氮化⑪,這種型式的保護層5通奴覆蓋在雜形成之金 籲屬連接線路上’其中以娜成之金屬連接線路通常包括雜減 钱_的製程;(2)厚度介於0.05微米至〇.35微米(較佳厚度則介 於〇.1微米至0.2微米之間)的氮氧化合物/厚度介於Μ微米至! 2 微米(較佳厚度則介於(H微米至〇·2微米之間)的氧化物/厚度介於 • 〇.2微米至U微嫌佳厚度則介於〇.3微来至〇.5微米之間)的氛 化物/厚度介於0.2微米至1>2微米(較佳厚度則介於〇 3微米至〇 6 微米之間)的氧化物’這種型式的保護層5通常是覆蓋在以銅形成 之金屬連接線路上,其中以銅形成之金屬連接線路通常包括電 鍍化干機械研磨與鑲嵌製程。另,上述兩範例令的氧化物層可 以是糊電雜助化學氣相沈積形成的氧切、電漿加強型二氧 馨化四乙基正矽酸鹽_祕enh_d _%1。池。灿恤, 74 1344686It is preferred that the MEGA is between 06-015 TWB and has a thickness of between 0.3 micrometers and 1.0 micrometers. Other materials using Lu in protective layer 5 are oxidized stone formed by plasma-assisted chemical vapor deposition. Plasma-reinforced tetraethyl silicate is used as (4) 〇rtho.ate 'PETE0S) The oxide, the broken glass (10) which h secret her coffee s, PSG), the butterfly frequency teacher (10) coffee ring. Addition, BpsG), oxide formed by high density plasma _>). Next, the protective layer $ is composed of a composite layer: In some examples, the bottom to top order is: (1) the thickness is between 〇1 μm and 1 〇 micro# meters (the preferred thickness is between 〇·3 μm) Nitride 11 having an oxide/thickness between 0.25 μm and L 2 μm (preferably between 〇35 μm and 1 μm), this type of protective layer 5 Tongnu covers the hybrid line formed by the hybrid. The metal connection line of Nacheng usually includes the process of reducing the amount of money. (2) The thickness is between 0.05 micrometers and 〇.35 micrometers. 〇 〇. 1 μm to 0.2 μm) NOx / thickness between Μ micron to! 2 microns (preferably thickness between (H micron to 〇 · 2 microns) oxide / thickness between • 〇. 2 microns to U micro-thickness is between 〇.3 micro to 〇.5 Between the micron) the thickness of the oxide/thickness between 0.2 microns and 1 > 2 microns (preferably between 〇 3 microns and 〇 6 microns). This type of protective layer 5 is usually covered On a metal connection line formed of copper, a metal connection line formed of copper generally includes an electroplated dry mechanical grinding and damascene process. In addition, the oxide layers of the above two examples may be oxygen-cut, plasma-enhanced dioxygenated tetraethyl ortho-acids formed by paste-assisted chemical vapor deposition _ secret enh_d _%1. Pool. Canvas, 74 1344686

MEGA 06-015TWB PETEOS)之氧化物、利用高密度電漿形成的氧化物。以上的内容 係適用於本發明的所有實施例(第一實施例、第二實施例、第三實 施例與第四實施例)中。 保護層開口 50是利用澄蚀刻或乾#刻的方式形成,其中又以 乾姓刻為較佳方式。在本發明中,保護層開口 50包括:(1)保護層 開口 51卜 512、514、519、519,、52卜 522、524 以及 529 在第一 實施例中;(2)保護層開口 53卜532以及534在第二實施例中;(3) 保護層開口 53卜532、534、539以及539,在第三實施例中;(4) 保護層開口 51 卜 512、514、549、52卜 522、524、549,、559 以 及559’在第四實施例中。此外,保護層開口 5〇的尺寸係介於 微米至200微米之間,並以介於!微米至1〇〇微米之間或5微米 至30微米之間為雛者’另保護賴σ %的形狀可以是圓形、 正方形、長方形❹邊形,所以上祕護層開σ 5G的尺寸是指圓 形的直徑尺寸、正方形的邊長尺寸、多邊形的最長對角線尺寸或 長方形的寬度財ϋ長方形的長度尺悄是介於 i 釐米,並以介於5微米至200微米為較佳者。對於内部電路而言, 其保護層開口 531、532、534的尺寸是介於〇丨微米至⑽微米之 間’並以介於0.3微絲30微米之間為較佳者,對於穩壓器或變 壓器41之保護層開口 519、519,、汹或胁晶片接外電路42、 43之保護層開口 539、539,或對於镍雷姓 賢静魏電防護電路44之保護層 開口 549、549,、559、559,而言,閩 σ 沾 p 開口的尺寸較大,其範圍係介 75 1344686MEGA 06-015TWB PETEOS) oxide, oxide formed using high density plasma. The above is applicable to all of the embodiments (first embodiment, second embodiment, third embodiment, and fourth embodiment) of the present invention. The protective layer opening 50 is formed by means of etching or dry etching, wherein the dry name is preferred. In the present invention, the protective layer opening 50 includes: (1) protective layer openings 51 512, 514, 519, 519, 52, 522, 524, and 529 in the first embodiment; (2) protective layer opening 53 532 and 534 are in the second embodiment; (3) protective layer openings 53 532, 534, 539 and 539, in the third embodiment; (4) protective layer openings 51 512, 514, 549, 52 522 , 524, 549, 559, and 559' are in the fourth embodiment. In addition, the size of the protective layer opening 5〇 is between micrometers and 200 micrometers, and is between! Between micron to 1 〇〇 micron or between 5 micron and 30 micron, the shape of the other protector σ % % can be round, square, rectangular ❹ ,, so the size of the upper secret layer σ 5G is Refers to the diameter of the circle, the length of the square, the longest diagonal of the polygon, or the width of the rectangle. The length of the rectangle is between i cm and preferably between 5 and 200 microns. . For internal circuits, the size of the protective layer openings 531, 532, 534 is between 〇丨 micrometers to (10) micrometers 'and preferably between 0.3 micrometers and 30 micrometers, for a voltage regulator or The protective layer openings 519, 519 of the transformer 41, the protective layer openings 539, 539 of the outer or outer circuits 42, 43 of the yoke or the yoke, or the protective layer openings 549, 549 for the nickel ray 559, 559, for example, the size of the 闽σ dip opening is larger, and its range is 75 1344686

MEGA 06-015TWB 於1微米至150微来之間,並以介於5微米至1〇〇微米之間為較 籲佳者。另外,保護層開口 %暴露出細線路金屬層ό〇最上層之金 屬接塾(輸1 _,用以電性連接保護層上方—-passivation)的金 屬線路或平面。 曰曰片1 〇例如石夕晶圓(s丨丨icon wafer),係使用不同世代的積 體電路製程技術來製造,例如1微米、〇.8微米、ο.6微米、0·5微 米、0.35微米、〇‘25微米、〇 18微米、〇 25微米、〇 13微米、% 籲不米(⑽)65不米、45奈米、35奈米、25奈米技術,而這些積 體電路製程技術的世代是以金氧半電晶體2,之閘極長度(娜 length)或有效通道長度(channd length)來定義。另晶圓的尺 寸大小比如是5吋、6吋、8忖、12忖或18吋等。晶圓10係使用 微影製程來製作,此微影製程包含塗佈(_喻、曝光(exp〇sing) 以及顯影(developing)光阻。用於製作晶圓1〇的光阻,其厚度是介 於〇·1微米至0.4微米之間,並以五倍网步進曝光機⑽啊)或 •掃描機(scanner)曝光此光阻。其中,步進曝光機的倍數是指當光束 從一光罩(通常是以石英構成)投影至晶圓上時,光罩上之圖形縮小 在晶圓上的比例,而五倍(5X)即是指光罩上之圖案比例是為晶圓 上之圖案比例的五倍。使用在先進世代的積體電路製程技術上的 掃描機,通常是以四倍(4X)尺寸比例縮小來改善解析度。步進曝 光機或掃描機所使用的光束波長係為436奈米(g-Hne)、365奈米 籲、248奈米(深紫外光’ duv;、丨93奈米(DUV)、丨57奈米(DUV)MEGA 06-015TWB is between 1 micron and 150 micron and is preferred between 5 micrometers and 1 micrometer. In addition, the protective layer opening % exposes the metal line or plane of the metal layer of the uppermost layer of the fine line metal layer (transmission 1 _ for electrically connecting the upper layer of the protective layer - passivation).曰曰片1 〇, for example, s丨丨icon wafer, is fabricated using different generations of integrated circuit process technology, such as 1 micron, 〇.8 micron, ο.6 micron, 0. 5 micron, 0.35 micron, 〇 '25 micron, 〇 18 micron, 〇 25 micron, 〇 13 micron, % yue not ((10)) 65 m, 45 nm, 35 nm, 25 nm technology, and these integrated circuit processes The generation of technology is defined by the gate length of the MOS transistor 2, or the length of the effective channel. The size of the other wafer is, for example, 5 吋, 6 吋, 8 忖, 12 忖 or 18 吋. Wafer 10 is fabricated using a lithography process that includes coating (exposure, exposure, and developing photoresist). The photoresist used to make the wafer has a thickness of Between 1 micron and 0.4 micron, and exposed by a five-times stepper (10) or a scanner. Wherein, the multiple of the stepper is when the light beam is projected onto the wafer from a reticle (usually composed of quartz), the ratio of the pattern on the reticle is reduced on the wafer, and five times (5X) is It means that the proportion of the pattern on the mask is five times that of the pattern on the wafer. Scanners that use the advanced generation of integrated circuit process technology are usually scaled down by a factor of four (4X) to improve resolution. The beam wavelength used by the stepper or scanner is 436 nm (g-Hne), 365 nm, 248 nm (deep UV 'duv;, 丨93 nm (DUV), 丨57奈Rice (DUV)

76 (D 134468676 (D 1344686

MEGA 06-015TWB 或13.5奈米(極短紫外光,EUV、。Η . &卿)°另,南索引侵潤式(high-index _ nnm麵η)微影技術亦可用以完成晶圓1〇的細線路特徵。 此外,晶圓ίο疋在具有等級1〇(dass 1〇)或更佳(例如等級1) 的無塵室⑼_)中製作。等級1〇的無塵室允許每立方英叹之 最大灰塵粒子數目係為:含有大於或等於1微米之灰塵粒子不超 過1顆、含有大於或等於0.5微米妓塵粒子不超過ig顆、含有 大於或等於G.3微权灰塵粒子*超過3㈣、含有大於或等於^ #微米之灰塵粒子不超過75顆、含有大於或等於〇1微米之灰塵粒 子不超過350顆,而等級丨的無塵室則允許每立方英吸之最大灰 塵粒子數目是為:含有大於或等於α5微米之灰塵粒子不超過】 參顆、含有大於或等於〇.3微米之灰塵粒子不超過3顆、含有大於或 等於0.2微求之灰塵粒子不超過7顆、含有大於或等於〇1微米之 灰塵粒子不超過35顆。 請參閱第15Β圖所示,當使用銅作為細線路金屬層6〇時,則 •需要使用一金屬頂層(metal cap)66(包括66卜662、664、669及669,) 來保》蔓保遵層開口 50所暴露出之銅接墊(c〇pper pa(j),使此銅接塾 免於夂到氧化而侵蝕損壞,並可作為後續晶片的打線接合。此金 屬頂層66包括一鋁(aiuminum)層、一金(g〇ld)層、一鈦(Ti)層一 鈦鎢合金層、一鈕(Ta)層、一氮化鈕(TaN)層或一鎳^層。其中, 當金屬頂層66是為一鋁層時,則在銅接墊與金屬頂層66之間形 •成有一阻障層(barrierlayer),而此阻障層包括鈦、鈦鎢合金、氮化 (i) j 77 1344686MEGA 06-015TWB or 13.5 nm (very short UV, EUV, .Η. & Qing) ° In addition, the Southern Index Invasive (high-index _ nnm surface η) lithography technology can also be used to complete wafer 1细 fine line features. In addition, the wafer ίο疋 is fabricated in a clean room (9)_) having a rating of 1 〇 (dass 1 〇) or better (for example, level 1). The clean room of class 1〇 allows the maximum number of dust particles per cubic inch to be: no more than one dust particle containing 1 micron or more, containing more than or equal to 0.5 micron, no more than ig dust particles, containing more than Or equal to G.3 micro-weight dust particles * more than 3 (four), containing no more than or equal to ^ # microns of dust particles no more than 75, containing more than or equal to 〇 1 micron of dust particles no more than 350, and grade 丨 clean room The maximum number of dust particles allowed per cubic inch of suction is: no more than or equal to α5 micron of dust particles, no more than 3 particles of dust particles greater than or equal to 〇.3 micrometers, containing greater than or equal to 0.2. No more than 7 dust particles are required, and no more than 35 dust particles containing 大于1 μm or more. Referring to Figure 15, when using copper as the thin-line metal layer 6〇, you need to use a metal cap 66 (including 66 662, 664, 669, and 669) to protect the vine The copper pad exposed by the opening 50 (c〇pper pa(j) is used to protect the copper bond from oxidation and damage, and can be used as a wire bonding of the subsequent wafer. The metal top layer 66 includes an aluminum. (aiuminum) layer, a gold (g〇ld) layer, a titanium (Ti) layer, a titanium-tungsten alloy layer, a button (Ta) layer, a nitride button (TaN) layer or a nickel layer. When the metal top layer 66 is an aluminum layer, a barrier layer is formed between the copper pad and the metal top layer 66, and the barrier layer comprises titanium, titanium tungsten alloy, and nitride (i) j. 77 1344686

MEG A 06-015T WB 鈦、鈕' 氮化鈕、鉻(Cr)或鉾。A 士 , 飞螺在本發明的所有實施例中,晶圓 】〇可選擇性形成金屬頂層66。 請參閱第则至第15K圖所示,其係揭露出在如第15A圖 或第⑼圖所示之晶圓10i製造一保護層上方結構 sche_的製程步驟’其中此製程步驟在保護層 上方形成兩層圖案化金屬層,並利用此二圖案化金屬層連接内部 電路及連接^接外電路。惟,_此範取揭露出保護層上方 具有兩層圖案化金屬層,但亦可以使用與第lsc圖至第15K圖所 敘之相同或相似的方式,在保護層上方_—層_化金屬層、 二層圖案化金屬層、四箱案化金屬層或者是更多層的圖案化金 屬層。另外,以下所敘述之内容係適用於本發明的所有實施例中。 百先請參閱第15κ圖所示,一保護層上方結構8形成在—起 始材料㈣呢喊,上,此起始娜系為一半導體製造 作之-晶圓⑴(如第15Α圖或第15Β圖所示)。另,保護層上方結 構8包括有圖案化金屬層8G以及聚合物層(或絕緣層)9G兩部份了 其中圖案化金屬層8〇包括一層、兩層、三層、四層或更多層习 屬曰而且此圖案化金屬層80可以比如是除了最頂層的圖案彳 屬層為金層之外’其餘皆為銅層及其黏著/阻障層(例如絡或 本發明的所有實施例是以圖案化金屬層80包括一展 鲁案化金屬層作為範例,其係包括: 5兩層圖 (g> 78 1344686MEG A 06-015T WB Titanium, button 'nitride button, chrome (Cr) or tantalum. A, Flying Snail In all embodiments of the invention, the wafer 选择性 can selectively form a metal top layer 66. Referring to the first to fifteenth KK, the process step of fabricating a structure sche_ above the protective layer of the wafer 10i as shown in FIG. 15A or (9) is revealed, wherein the process step is above the protective layer. Two patterned metal layers are formed, and the two patterned metal layers are used to connect the internal circuits and connect the external circuits. However, this method reveals that there are two patterned metal layers above the protective layer, but it is also possible to use the same or similar manner as described in the lsc to 15K, above the protective layer. A layer, a two-layer patterned metal layer, a four-box metalized layer, or a plurality of patterned metal layers. In addition, the contents described below are applicable to all embodiments of the present invention. Referring to the 15th figure, a structure 8 above the protective layer is formed on the starting material (4), and the starting Na is a semiconductor manufacturing-wafer (1) (such as the 15th or the first 15Β)). In addition, the structure 8 above the protective layer includes a patterned metal layer 8G and a polymer layer (or insulating layer) 9G. The patterned metal layer 8 includes one layer, two layers, three layers, four layers or more layers. Habitually, and the patterned metal layer 80 can be, for example, except that the topmost pattern of the germanium layer is a gold layer, and the rest are copper layers and their adhesion/barrier layers (eg, or all embodiments of the invention are Taking the patterned metal layer 80 including an exposed metal layer as an example, the system includes: 5 two-layer map (g > 78 1344686

MEGA 06-015TWB (一) 圖案化金屬層801,包括(1)811與821在第一實施例中; ^ (2)831(包括 831a、831b)在第二實施例中;(3)83r、831(包括 831a、 831b)在第三實施例中;以及(4)811與821在第四實施例中。 (二) 圖案化金屬層802,包括(1)812在第一實施例中;(2)832在第 二實施例中;(3)832(包括832a、832b)在第三實施例中;以及(4) 812 在第四實施例中。 另’圖案化金屬層80的材質包括金、銀、銅、鈀、鉑、铑、 φ 釕、鎳,而構成金屬線路或平面的圖案化金屬層80通常是由金屬 堆疊而成的複合層。在第15K圖中,圖案化金屬層801與圖案化 金屬層802均是一複合層,其中複合層的底層是為一黏著/阻障/ 種子層(adhesion/barrier/seed layer)8011、802卜其係包括:(1)8111、 ^ 8121 與 8211 在第一實施例中;(2)831 卜 831 la、831 lb 與 8321 在 第二實施例中;(3)83U、831 la、831 lb、8321a 與 8321b 在第三實 施例中;以及(4)8111、8211與8121在第四實施例中;另,複合 φ 層的頂層是為一厚金屬層8012、8022,其係包括:(1)8112、8122 與8212在第一實施例中;(2)8312、8312a、8312b與8322在第二 實施例中;(3)8312、8312a、8312b、8322a與8322b在第三實施例 中;以及⑷8112、8212與8122在第四實施例中。 在上述内容中’黏著/阻障/種子層8011、8021包括一黏著/阻 障層(圖中未示)以及位在黏著/阻障層上的一種子(seed)層(圖中未 Φ示)’其中此黏著/阻障層的材質可以是鈦、鎢、鈷、鎳、氮化鈦、 79 ㉚ 1344686MEGA 06-015TWB (i) Patterned metal layer 801, including (1) 811 and 821 in the first embodiment; ^ (2) 831 (including 831a, 831b) in the second embodiment; (3) 83r, 831 (including 831a, 831b) is in the third embodiment; and (4) 811 and 821 are in the fourth embodiment. (ii) patterned metal layer 802, including (1) 812 in the first embodiment; (2) 832 in the second embodiment; (3) 832 (including 832a, 832b) in the third embodiment; (4) 812 In the fourth embodiment. Further, the material of the patterned metal layer 80 includes gold, silver, copper, palladium, platinum, rhodium, φ 钌, and nickel, and the patterned metal layer 80 constituting the metal wiring or the plane is usually a composite layer in which metal is stacked. In FIG. 15K, both the patterned metal layer 801 and the patterned metal layer 802 are a composite layer, wherein the underlying layer of the composite layer is an adhesion/barrier/seed layer 8011, 802. The system includes: (1) 8111, ^ 8121 and 8211 in the first embodiment; (2) 831 831 la, 831 lb and 8321 in the second embodiment; (3) 83U, 831 la, 831 lb, 8321a and 8321b are in the third embodiment; and (4) 8111, 8211 and 8121 are in the fourth embodiment; in addition, the top layer of the composite φ layer is a thick metal layer 8012, 8022, which includes: (1) 8112, 8122 and 8212 in the first embodiment; (2) 8312, 8312a, 8312b and 8322 in the second embodiment; (3) 8312, 8312a, 8312b, 8322a and 8322b in the third embodiment; and (4) 8112 , 8212 and 8122 are in the fourth embodiment. In the above, the 'adhesive/barrier/seed layer 8011, 8021 includes an adhesion/barrier layer (not shown) and a seed layer on the adhesion/barrier layer (not shown in the figure). )] The material of the adhesion/barrier layer can be titanium, tungsten, cobalt, nickel, titanium nitride, 79 30 1344686

MEGA 06-015TWB 鈥鎢合金、奴、鉻、銅、鉻銅合金、纽、氮化鈕、上述材質所形 φ 成之合金或是由上述材質所組成的複合層。另’黏著/阻障層可以 利用電鍍(electroplating)、無電電鍵(electroless piating)、化學氣相 沈積或物理氣相沉積(例如濺鍍)的方式形成,其中又以物理氣相沉 積為較佳的形成方式’例如金屬濺鍵製程。另,此黏著/阻障層的 厚度係介於0.02微米至〇.8微米之間,並以介於〇.05微米至〇2 微米之間的厚度為較佳者。 • 黏著/阻障/種子層8011、8021頂層的種子層可有利於後續的 電鍍製程,而且種子層通常是利用物理氣相沉積或濺鍍製程的方 式來升>成。此外’用於種子層的材質可以是金、銅、銀、錄、把、 φ铑、鉑或釕,而且通常是與後續電鍍製程中的厚金屬層材質相同。 另’種子層可以利用電錄、無電電錢、化學氣相沈積或物理氣相 "L積(例如賴)的方式形成’其中又以物理氣相沉積為較佳的形成 方式,例如金屬濺鍍製程。種子層的厚度係介於〇〇5微米至】2 #微米之間’而以介於〇 〇5微米至〇 g微米之間的厚度為較佳者。 。厚金顧8〇12、8〇22是魏電阻導體軸,而且通常是利用 電鑛方式形成,此外,厚金屬層咖2、觀的厚度通常是介於〇 5 微米至100微米之間,並以介於3微米至2〇微米之間的厚度為較 佳者,而厚金屬層隨、8022的材質可以是金、銅、銀、錄:、 铑翻或舒’其中金、銀、把、錢、銘或釕的較佳厚度係介於1.5 微米至微米之間,銅的較佳厚度是介於1 5微米至5〇微米之 80MEGA 06-015TWB 鈥Tungsten alloy, slave, chrome, copper, chrome-copper alloy, neon, nitride button, alloy of the above-mentioned materials, or a composite layer composed of the above materials. Another 'adhesive/barrier layer can be formed by electroplating, electroless piating, chemical vapor deposition or physical vapor deposition (such as sputtering), wherein physical vapor deposition is preferred. The way of formation 'for example, metal splash key process. Further, the thickness of the adhesion/barrier layer is between 0.02 μm and 〇.8 μm, and preferably between 〇.05 μm and 〇 2 μm. • The seed layer of the top layer of the adhesion/barrier/seed layer 8011, 8021 can facilitate subsequent plating processes, and the seed layer is typically grown by physical vapor deposition or sputtering processes. In addition, the material used for the seed layer may be gold, copper, silver, ruthenium, palladium, iridium, platinum or rhodium, and is usually the same material as the thick metal layer in the subsequent electroplating process. In addition, the 'seed layer can be formed by means of electro-recording, electro-pneumatic electricity, chemical vapor deposition or physical vapor phase "L product (for example, Lai), in which physical vapor deposition is preferred, such as metal splashing. Plating process. The thickness of the seed layer is between 〇〇5 μm and Å 2 μm and the thickness between 〇 5 μm and 〇 g μm is preferred. . Thick gold Gu 8〇12, 8〇22 are Wei resistance conductor shafts, and are usually formed by electric ore. In addition, the thickness of the thick metal layer is usually between 〇5 μm and 100 μm, and The thickness is preferably between 3 micrometers and 2 micrometers, and the thick metal layer can be made of gold, copper, silver, or the like: gold, silver, and The preferred thickness of money, inscription or tantalum is between 1.5 micrometers and micrometers, and the preferred thickness of copper is between 15 micrometers and 5 micrometers.

MEGA06O15TWB 間’而鎳的較佳厚度則是介於〇 5微米至6微米之間。#,亦可選 擇性形成一防護/阻障(Cap/barrier)層(圖中未示)在厚金屬層8〇12、 8022上,作為保護或擴散阻障之用。此防護/阻障層可以利用電 鑛、無電電鑛、化學氣相沈積或物理氣相沉積⑽如滅鑛)的方式形 成,並以電鍍方式沈積形成為較佳者。另,防護/阻障層的厚度係 介於0.05微米至5微米之間的範圍,其中又以介於〇 5微米至3 微米之間的厚度為較佳者。此防護/阻障層可以是一鎳層、鈷層或 是飢層。此外’在組裝(assembly)或封裝上,可選擇性形成一組裝 接觸(assembly-contact)層(圖中未示)在厚金屬層8〇12、8〇22或防護 /阻?早層(圖中未示)上’特別是形成在圖案化金屬層最頂層的厚 金屬層或防護/阻障層(圖中未示)上。此組裝接觸層可以作為打線 接合或者是作為焊料助溼劑(solder wettable),進而用來打線 (wirebonding)、金連接(gold connection)、焊料球焊接(s〇lder ball mounting)或焊接(solder connection)。另,組裝接觸層可以是金、 銀、銘、纪、錄或釕。頂端聚合物層(p〇lymer layer)99内的聚合物 層開口 990(包括9919與9929在第一實施例中;9939與9939,在 第三實施例中;以及9949與9949,在第四實施例中)暴露出位在最 頂端之圖案化金屬層80的接觸接塾(contact pad)8000(包括8110與 8120在第一實施例中;8310與8320在第三實施例中;以及8110 與8120在第四實施例中)表面。連接到聚合物層開口 99〇所暴露出 之組裝接觸層可以是一打線導線(bonding wire)、一焊料球(以電鍵 1344686The preferred thickness of nickel between MEGA06O15TWB is between 〇 5 microns and 6 microns. #, Optionally, a protective/blocking layer (not shown) is formed on the thick metal layers 8〇12, 8022 for protection or diffusion barrier. The protective/barrier layer may be formed by means of electromineral, electroless ore, chemical vapor deposition or physical vapor deposition (10) such as ore quenching, and deposited by electroplating to form a preferred one. Further, the thickness of the protective/barrier layer is in the range of from 0.05 μm to 5 μm, with a thickness of between 〇 5 μm and 3 μm being preferred. The protective/barrier layer can be a nickel layer, a cobalt layer or a starved layer. In addition, an assembly-contact layer (not shown) may be selectively formed on the thick metal layer 8〇12, 8〇22 or the protective/resistive layer on the assembly or package (Fig. The upper portion is 'in particular, formed on a thick metal layer or a protective/barrier layer (not shown) on the topmost layer of the patterned metal layer. The assembled contact layer can be used as a wire bond or as a solder wettable, which can be used for wire bonding, gold connection, solder ball soldering or solder connection. ). Alternatively, the assembled contact layer can be gold, silver, Ming, Ji, recorded or 钌. Polymer layer opening 990 in the top polymer layer 99 (including 9919 and 9929 in the first embodiment; 9939 and 9939, in the third embodiment; and 9949 and 9949, in the fourth embodiment) Example) exposing a contact pad 8000 at the topmost patterned metal layer 80 (including 8110 and 8120 in the first embodiment; 8310 and 8320 in the third embodiment; and 8110 and 8120) In the fourth embodiment) the surface. The assembled contact layer exposed to the polymer layer opening 99〇 may be a bonding wire, a solder ball (with a key 1344686)

MEGA 06-015TWB 形成之焊料球或以焊接方式連接一焊料球)、一金屬球(比如是以電 •鍍形成之錫銀合金或以焊接方式連接一錫銀合金)、在其它基底或 晶片上之一金屬凸塊(metalbump)、在其它基底或晶片上之一金凸 塊(gold bump)、在其匕基底或晶片上之一金屬柱(哪加p〇st)或者是 在其它基底或晶片上之一銅柱(copperp〇st)。對於以滅鍵形成的鋁 或是以電鍍形成的銅(利用化學機械研磨鑲嵌製程形成)所製成的 積體電路接觸接整(contact pad),保護層上方的金屬線路或平面可 • 以是下列所述之其中一種型式,由下到上分別是:(1)鈦鎢合金/以 濺鍍形成之金材質的種子層/以電鍍形成之金;鈦/以濺鍍形成之 金材質的種子層/以電鍍形成之金;(3)鈕/以濺鍍形成之金材質的種 春子層/以電鍍形成之金;(4)鉻/以濺鍍形成之銅材質的種子層/以電 鐘形成之銅;(5)鈦鎢合金/以減鑛形成之銅材質的種子層/以電鍵形 成之銅;⑹组/以濺鍍形成之銅材質的種子層/以電鍍形成之銅;⑺ 鈦/以濺鍍形成之銅材質的種子層/以電鍍形成之銅;鉻、鈦鎢合 • 金、鈦或钽/以濺鍍形成之銅材質的種子層/以電鍍形成之銅/以電鍍 形成之鎳;(9)鉻、鈦鎢合金、鈦或纽/以滅鍵形成之銅材質的種子 層/以電鍍形成之銅/以電鍍形成之鎳/以電鍍形成之金、銀、鉑、鈀、 铑或釕;以及(10)鉻、鈦鎢合金、鈦或钽/以濺鍍形成之銅材質的 種子層/以電鍍形成之銅/以電鍍形成之鎳/以無電電鍍形成之金、 銀、鉑、鈀、铑或釕。每一圖案化金屬層80的厚度係介於2微米 籲至50微米之間,並以介於3微米至20微米之間的厚度為較佳厚 Λ 82 1344686MEGA 06-015TWB formed solder balls or soldered to a solder ball), a metal ball (such as tin-silver alloy formed by electroplating or soldered to a tin-silver alloy), on other substrates or wafers One metal bump, one gold bump on another substrate or wafer, one metal pillar on its substrate or wafer (which is p〇st) or other substrate or wafer One of the copper posts (copperp〇st). For an integrated circuit formed by aluminum formed by an erase bond or copper formed by electroplating (formed by a chemical mechanical polishing process), the metal line or plane above the protective layer can be One of the following types, from bottom to top, is: (1) titanium tungsten alloy / gold seed layer formed by sputtering / gold formed by electroplating; titanium / gold seed formed by sputtering Layer / gold formed by electroplating; (3) button / gold spring layer formed by sputtering / gold formed by electroplating; (4) chromium / copper seed layer formed by sputtering / electric clock Copper formed; (5) titanium tungsten alloy / copper seed layer formed by demineralization / copper formed by electric bonding; (6) group / copper seed layer formed by sputtering / copper formed by electroplating; (7) titanium /Secondary seed layer formed by sputtering/copper formed by electroplating; chromium, titanium tungsten; gold, titanium or tantalum/copper seed layer formed by sputtering/copper formed by electroplating/plating Nickel; (9) Chromium, titanium-tungsten alloy, titanium or neon/pre-bonded copper seed layer/electricity Formed copper / nickel formed by electroplating / gold, silver, platinum, palladium, rhodium or ruthenium formed by electroplating; and (10) chromium, titanium tungsten alloy, titanium or tantalum / copper seed layer formed by sputtering / Copper formed by electroplating / Nickel formed by electroplating / Gold, silver, platinum, palladium, rhodium or ruthenium formed by electroless plating. The thickness of each patterned metal layer 80 is between 2 microns and 50 microns, and is preferably between 3 microns and 20 microns thick. 1 82 1344686

MEGA 06-015TWB 度另圖案化金屬層80若是金屬線路,則其橫向設計標準(寬度) Φ係;丨於1微米至200微米之間,並以介於2微米至50微米之間為 較佳者’而圖案化金屬層80若是金屬平面’制是作為電源或接 地參考電縣面,其橫向設計標準(寬度)則是以大於2〇0微米為較 佳者。此外,兩相鄰之金屬線路或平面的最小距離係介於丨微米 至500微米之間,並以介於2微米至15〇微米之間為較佳者。 在本發明的某些應用中,金屬線路或平面可以僅包括以濺鍍 • 方式所形成之厚度介於2微米至6微米間(較佳是介於3微米至5 微米間)的鋁以及位在此鋁層下的一選擇性黏著/阻障層(包括鈦、 鈦鎢合金、氮化鈦、钽或氮化钽層)。 _ 繼續,一接觸結構(contact structure)89可選擇性形成在圖案化 金屬層80的接墊8〇〇〇上^此接觸結構89可以是一金屬凸塊(metal bump)、一焊料凸塊(s〇ider bump)、一焊料球(s〇lder ball)、一金凸 塊(gold bump)、一銅凸塊(copper bump)、一金屬接墊(metaipad)、 # 一焊料接墊(s〇lder pad)、一 金接墊(g〇M pad)、一 金屬柱(metai post)、一焊料柱(solder post)、一金柱(gold post)或一銅柱(copper post)。一凸塊底層金屬(under bump meta卜UBM)層位在此接觸結 構89下,此凸塊底層金屬層包括鈦、鈦鎢合金、氮化鈦、鉻、銅、 鉻銅合金、组、氮化钽、鎳、鎳銳合金、飢或始層,或者是由上 述材料所組成的複合層。此接觸結構89(包含凸塊底層金屬層)可 _以是下列所述之其中一種型式’由下到上分別是:(1)鈦/金接墊(金MEGA 06-015TWB Degree of patterned metal layer 80, if it is a metal line, its lateral design standard (width) Φ system; 丨 between 1 micron and 200 microns, and preferably between 2 microns and 50 microns Whereas the patterned metal layer 80 is a metal plane, it is used as a power source or ground reference electric county, and its lateral design standard (width) is preferably greater than 2 〇 0 μm. In addition, the minimum distance between two adjacent metal lines or planes is between 丨 micrometers and 500 micrometers, and preferably between 2 micrometers and 15 micrometers. In some applications of the invention, the metal lines or planes may comprise only aluminum in the thickness of between 2 microns and 6 microns (preferably between 3 microns and 5 microns) formed by sputtering. A selective adhesion/barrier layer (including titanium, titanium tungsten alloy, titanium nitride, tantalum or tantalum nitride layer) under the aluminum layer. Continuing, a contact structure 89 can be selectively formed on the pads 8 of the patterned metal layer 80. The contact structure 89 can be a metal bump, a solder bump ( S〇ider bump), a solder ball, a gold bump, a copper bump, a metal pad, a solder pad (s〇) A lder pad, a gold pad, a metal post, a solder post, a gold post, or a copper post. An under bump metal (UBM) layer is disposed under the contact structure 89. The underlayer metal layer of the bump includes titanium, titanium tungsten alloy, titanium nitride, chromium, copper, chrome-copper alloy, group, nitride.钽, nickel, nickel sharp alloy, hunger or initial layer, or a composite layer composed of the above materials. The contact structure 89 (including the underlying metal layer of the bump) may be one of the following types: from bottom to top: (1) titanium/gold pads (gold)

83 (D 134468683 (D 1344686

MEGA 06-015TWB 層的厚度係介於1微米至15微米之間);(2)鈦鎢合金/金接墊(金層 φ的厚度係介於1微米至15微米之間);(3)鎳/金接墊(鎳層的厚度係 介於0.5微米至1〇微米之間,金層的厚度則介於〇 2微米至15微 米之間);(4)鈦/金凸塊(金層的厚度係介於7微米至4〇微米之間); (5)鈦鎢合金/金凸塊(金層的厚度係介於7微来至4〇微米之間);⑹ 錄/金凸塊(鎳層的厚度係介於〇5微米至1〇微米之間,金層的厚 度則介於7微米至40微米之間);(7)鈦、鈦鎢合金或鉻/銅/錄/金接 • 塾(銅層的厚度係介於〇.1微米至10微米之間,金層的厚度則介於 0.2微米至15微米之間);(8)鈦、鈦鎢合金、鉻、鉻銅合金或鎳釩 合金/銅/鎳/金凸塊(銅層的厚度係介於〇丨微米至1〇微米之間,金 鲁層的厚度則介於7微米至40微米之間);(9)鈦、鈦鶴合金、鉻、 絡銅合金或鎳釩合金/銅/錄/焊料接墊(銅層的厚度係介於微米 至10微米之間’蟬料層的厚度則介於0.2微米至30微米之間); (1〇)欽、鈦鎢合金、鉻、鉻銅合金或鎳釩合金/銅/鎳/烊料凸塊或焊 鲁料球(銅層的厚度係介於0.1微米至1〇微米之間,焊料層的厚度則 介於10微米至500微米之間);(⑴鈦、鈦鎢合金 、鉻、鉻銅合金 或錄飢合金/銅柱(銅層的厚度係介於10微米至300微米之間);(12) 欽、1太鎢合金、鉻、鉻銅合金或鎳釩合金/銅柱/錄(銅層的厚度係 介於10微米至300微米之間);(13)鈦、鈦鎢合金、鉻、鉻銅合金 或錄飢合金/銅柱/鎳/焊料(銅層的厚度係介於10微米至300微米之 _間’焊料層的厚度則介於1微米至20微米之間);⑽鈦、鈦鎢合 84 1344686MEGA 06-015TWB layer thickness between 1 micron and 15 microns); (2) titanium tungsten alloy / gold pad (gold layer φ thickness is between 1 micron and 15 microns); (3) Nickel/gold pads (the thickness of the nickel layer is between 0.5 μm and 1 μm, and the thickness of the gold layer is between 〇2 μm and 15 μm); (4) Titanium/gold bumps (gold layer) The thickness is between 7 micrometers and 4 micrometers); (5) titanium tungsten alloy/gold bumps (the thickness of the gold layer is between 7 micrometers and 4 micrometers); (6) recording/gold bumps (The thickness of the nickel layer is between 〇5 μm and 1 μm, and the thickness of the gold layer is between 7 μm and 40 μm); (7) Titanium, Titanium-Tungsten Alloy or Chromium/Copper/Record/Gold • • 塾 (the thickness of the copper layer is between 1.1 μm and 10 μm, and the thickness of the gold layer is between 0.2 μm and 15 μm); (8) Titanium, titanium tungsten alloy, chromium, chromium copper Alloy or nickel vanadium alloy / copper / nickel / gold bumps (the thickness of the copper layer is between 〇丨 micron and 1 〇 micron, the thickness of the gold ruthen layer is between 7 microns and 40 microns); (9 Titanium, titanium alloy, chromium, copper alloy or nickel vanadium alloy / copper / recorded / solder pads (the thickness of the copper layer is between microns and 10 microns - the thickness of the layer is between 0.2 microns and 30 microns); (1) Qin, titanium tungsten alloy, chromium, chromium copper Alloy or nickel-vanadium alloy/copper/nickel/twist bump or solder ball (the thickness of the copper layer is between 0.1 micron and 1 micron, and the thickness of the solder layer is between 10 and 500 microns) ((1) Titanium, titanium tungsten alloy, chromium, chrome-copper alloy or hunger alloy/copper column (the thickness of the copper layer is between 10 micrometers and 300 micrometers); (12) Qin, 1 tungsten alloy, chromium , chrome-copper alloy or nickel-vanadium alloy/copper column/recorded (the thickness of the copper layer is between 10 microns and 300 microns); (13) titanium, titanium tungsten alloy, chromium, chrome-copper alloy or hungry alloy/copper Column / nickel / solder (the thickness of the copper layer is between 10 microns and 300 microns - the thickness of the solder layer is between 1 micron and 20 microns); (10) titanium, titanium tungsten 84 1344686

MEGA 06-015TWB 金、鉻、鉻銅合金或鎳釩合金/銅柱/鎳/焊料(銅層的厚度係介於1〇 籲微米至300微米之間,焊料層的厚度則介於2〇微米至1〇〇微米之 間)。另’組裝的方式可以是打線、捲帶自動接合(Tape Aut〇matedMEGA 06-015TWB Gold, Chromium, Chromium-Copper or Nickel-Vanadium Alloy/Copper Column/Nickel/Solder (The thickness of the copper layer is between 1 μm and 300 μm, and the thickness of the solder layer is 2 μm. Between 1 〇〇 micron). Another 'assembly method can be wire bonding, tape automatic bonding (Tape Aut〇mated

Bonding ’ TAB)、破璃覆晶封裝(chip_〇n_glass,c〇G)、晶片直接 封裝(chip-on-board,COB)、球閘陣列基板覆晶封裝(flip chip on BGA substrate)、薄膜覆晶接合(chip-on_fiim ’ c〇F)、堆疊型多晶 片封裝結構(chip-〇n-chip stack interconnection)、石夕基底上堆疊型晶 鲁 片封裝結構(chip-on-Si-substrate stack interconnection)等等。 保護層上方結構8的另一個重要特點是:在圖案化金屬層8〇 上、下或之間係使用聚合物材料作為介電層或是絕緣層。聚合物 材料的使用可製造厚度大於2微米的介電層。由聚合物材料形成 的聚合物層,其厚度可介於2微米至100微米之間,並以介於3 微米至30微米之間的厚度為較佳者。使用在保護層5上的聚合物 層90(包括95、98、99)可以是聚酿亞胺(p〇iyimide,PI)、苯基環丁 •烯(benzocyelobutene,BCB)、聚對二甲苯(paryiene)、環氧基材料 (epoxy-based material) ’例如環氧樹脂或是由位於瑞士之Renens 的 Sotec Microsystems 所提供之 ph〇t〇epoxy SU-8、彈性材料 (elastomer),例如矽酮(silicone)。另,使用在印刷電路板產業中的 焊罩(solder mask)材料可以用來作為頂端聚合物層99(位在所有圖 案化金屬層80上之最頂端的聚合物層)。聚醯亞胺可以是一感光性 Φ材料(photosensitive material)。此外’聚醯亞胺可以是一非離子性Bonding 'TAB), chip flip chip package (chip_〇n_glass, c〇G), chip-on-board (COB), flip chip on BGA substrate, film Chip-on-fiim 'c〇F, chip-〇n-chip stack interconnection, chip-on-Si-substrate stack Interconnection) and so on. Another important feature of the structure 8 above the protective layer is that a polymeric material is used as a dielectric layer or an insulating layer on, under or between the patterned metal layers 8〇. The use of polymeric materials allows the fabrication of dielectric layers having a thickness greater than 2 microns. The polymer layer formed of a polymeric material may have a thickness between 2 microns and 100 microns and is preferably between 3 microns and 30 microns thick. The polymer layer 90 (including 95, 98, 99) used on the protective layer 5 may be polypyridinium (PI), benzocyelobutene (BCB), or parylene ( "paryiene", epoxy-based material such as epoxy resin or ph〇t〇epoxy SU-8, elastomeric material (elastomer) supplied by Sotec Microsystems, Renens, Switzerland, such as anthrone ( Silicone). Alternatively, a solder mask material used in the printed circuit board industry can be used as the top polymer layer 99 (the topmost polymer layer on all of the patterned metal layers 80). The polyimine can be a photosensitive Φ material. In addition, 'polyimine can be a nonionic

(D 85 1344686(D 85 1344686

MEGA06-015TWB 聚醯亞胺(non-_epGiymide),例如由日本的^^⑽丨⑶丨所提 鲁供线基聚酿亞胺㈣沉如记—咖㈣,pim£ltM。另由於銅 並不會擴散或穿透到非離子性聚醯亞胺巾,所以允許銅和聚醯亞 胺之間可以直接接觸’且由於非離子性聚醯亞胺的關係,保護層 上方結構8中之銅線路或平面間的距離可以靠近到1微米,比如 疋1微米至5微米之間’換言之,兩金屬線路或平面間的距離係 可以大於1微米。此外,對於以銅為材質之金屬線路或平面及覆 • 蓋該金屬線路或平面之聚合物層為非離子性聚醯亞胺時,金屬線 路或平面上可以選擇性不需防護層^pr〇tecti〇ncap),例如一鎳防護 層(Ni cap layer)。當然’在形成金屬線路或平面時,也可以形成比 φ如是錄的防護層在銅層上,更可以防止銅離子擴散到聚合物層中。 如第15K圖所示’在聚合物層中形成開口的目的是為了用來 相互連接不同的圖案化金屬層8〇、用來連接下方的細線路金屬層 6〇或者是用來連接外部電路(extemal c〗rcuit)。此聚合物層開口包 φ 括0)9919、9929、9829、9519、9519’、95U、9512 與 9514 在第 一實施例中;(2)9831、9834、9531、9532與9534在第二實施例 中;(3)9939、9939’、9831、9834、9839、9539、9539,、953 卜 9532 與 9534 在第三實施例中;以及(4)9949、9949’、9849,、9549、9511、 9512與9514在第四實施例中。聚合物材料可以是感光性 (photo-sensitive)或是非感光性(non-photo-sensitive)。對於感光性聚 ® 合物’其係利用曝光與顯影的方式來定義及圖案化聚合物層開 86 1344686MEGA06-015TWB Poly-imine (non-_epGiymide), for example, is provided by Japan's ^^(10)丨(3)丨, which is supplied to the base of the base of the imine (IV) Shen Ruji-Cai (4), pim £ltM. In addition, since copper does not diffuse or penetrate into the nonionic polyimide lens, it allows direct contact between copper and polyimine, and the structure above the protective layer due to the relationship of nonionic polyimine. The distance between the copper lines or planes in 8 may be close to 1 micron, such as between 1 micrometer and 5 micrometers. In other words, the distance between the two metal lines or planes may be greater than 1 micrometer. In addition, when the metal line or the plane of the copper material and the polymer layer covering the metal line or the plane are non-ionic polyimide, the metal line or the plane may be selectively free of the protective layer. Tecti〇ncap), such as a nickel cap layer. Of course, when a metal line or a plane is formed, it is also possible to form a protective layer such as a recording layer on the copper layer, and it is possible to prevent copper ions from diffusing into the polymer layer. As shown in Fig. 15K, 'the purpose of forming openings in the polymer layer is to interconnect different patterned metal layers 8 〇, to connect the underlying thin metal layer 6 〇 or to connect external circuits ( Extemal c〗 rcuit). The polymer layer opening package φ includes 0) 9919, 9929, 9829, 9519, 9519', 95U, 9512 and 9514 in the first embodiment; (2) 9831, 9834, 9531, 9532 and 9534 in the second embodiment (3) 9939, 9939', 9831, 9834, 9839, 9539, 9539, 953, 9532 and 9534 in the third embodiment; and (4) 9949, 9949', 9849, 9549, 9511, 9512 With 9514 in the fourth embodiment. The polymeric material can be photo-sensitive or non-photo-sensitive. For photosensitive polycarbonates, which are defined and patterned by exposure and development 86 1344686

MEGA 06-015TWB 口,而對於非感光性聚合物,其係透過第—次塗佈一光阻層在聚 镰合物層上時定義開口,接著對此光阻進行曝光與顯影以形成開口 在光阻中’再來對此光阻開口所暴露出之聚合物層進行祕刻或 乾钱刻以形成開口在聚合物層巾,最後藉由去除光阻完成聚合物 層開口的形成。聚合物層開口的尺寸係介於2微米至1〇〇〇微米之 間’並以介於5微米至200微米之間為較佳者。然而在某些設計 中,聚合物層開口亦有可能會超過〗,〇〇〇微米的尺寸。另,聚合物 •層開口可以被設計成圓形、具有圓角的正方形(comer-rounded square)、矩形或多邊形。 聚合物層95係位於保護層5與圖案化金屬層8〇1最底端之 ^間。透過聚合物層%内的聚合物層開口 950,訊號、電源(Vdd或 Vcc)以及/或是接地參考電壓(Vss)可以在細線路金屬層6〇與圖案 化金屬層80之間進行傳送。對於内部電路2〇(包括21、22、23、 24),聚合物層開口 9531、9532、9534係分別對準保護層開口 53卜 # 532、534,且其聚合物層開口 9531、9532、9534的尺寸是介於1 微米至300微米之間’並以介於3微米至1〇〇微米之間為較佳者。 對於穩壓器或變壓器41,聚合物層開口 9519、9519,、95U、9512、 9514係分別對準保護層開口 519、519’、511、512、514 ;對於晶 片接外電路40(包括42、43),聚合物層開口 9539、9539,、9531、 9532、9534 係分別對準保護層開口 539、539’、531、532、534 ; 春對於靜電放電防護電路44,聚合物層開口 9549、9511、9512、9514 87MEGA 06-015TWB, and for non-photosensitive polymers, the opening is defined by the first coating of a photoresist layer on the poly-composite layer, and then the photoresist is exposed and developed to form an opening. In the photoresist, the polymer layer exposed by the photoresist opening is secretly engraved or dried to form an opening in the polymer layer, and finally the formation of the polymer layer opening is completed by removing the photoresist. The size of the opening of the polymer layer is between 2 microns and 1 inch and is preferably between 5 microns and 200 microns. However, in some designs, the polymer layer opening may also exceed the size of the 〇〇〇 micron. Alternatively, the polymer layer opening can be designed as a circle, a comer-rounded square, a rectangle or a polygon. The polymer layer 95 is located between the protective layer 5 and the bottommost end of the patterned metal layer 8〇1. The signal, power supply (Vdd or Vcc) and/or ground reference voltage (Vss) can be transferred between the thin wiring metal layer 6 and the patterned metal layer 80 through the polymer layer opening 950 in the polymer layer %. For the internal circuit 2〇 (including 21, 22, 23, 24), the polymer layer openings 9531, 9532, and 9534 are respectively aligned with the protective layer openings 53 532, 534, and the polymer layer openings 9531, 9532, 9534 The size is between 1 micrometer and 300 micrometers' and is preferably between 3 micrometers and 1 micrometer. For the regulator or transformer 41, the polymer layer openings 9519, 9519, 95U, 9512, 9514 are respectively aligned with the protective layer openings 519, 519', 511, 512, 514; for the wafer external circuit 40 (including 42, 43), the polymer layer openings 9539, 9539, 9531, 9532, 9534 are respectively aligned with the protective layer openings 539, 539', 531, 532, 534; spring for the electrostatic discharge protection circuit 44, the polymer layer openings 9549, 9511 , 9512, 9514 87

MEGA 06-015TWB 係分別對準保護層開口 549、511、512、514,另聚合物層開口 9519、 9519’' 9511、9512、9514,或聚合物層開口 9539、9539’、953卜 9532、9534或者是聚合物層開口 9549、9511、9512、9514的尺寸 可以較大’其範圍係介於5微米至1〇〇〇微米之間,並以介於1〇 微米至200微米之間為較佳者。在保護層開口 5〇上的聚合物層開 口 950具有兩種開口型式,在第一種開口型式中,聚合物層開口, 例如聚合物層開口 9531,係大於下方的保護層開口 531,且聚合 物層開口 9531的聚合物側壁是位在保護層5上。在此種型式中, 可以形成一個較小的保護層開口 531,進而在細線路金屬層頂端形 成-個較小的接觸接塾,所以此種開口型式允許最頂端之細線路 金屬層的細線路具有較高的繞線密度(r〇ming density);在第二種開 口型式中’聚合物層開口的底部’例如聚合物層開口 9539的底部, 係小於下方的保護層開p 539,且聚合⑽開口(例如聚合物層開 口 9539)的聚合物側壁是位在細線路金屬層頂端之金屬接塾上。而 在此種型式巾’聚合物層95覆蓋住保護層開口_壁,且聚合物 層開口(例如聚合物層開σ 9539)_的斜率小於保護層開口側壁 的斜率’並使後續金屬濺鍍形成之黏著/阻障/種子層_具有較 好的階梯覆蓋(卿c_ge)。較好的黏著/阻障/種子金屬階梯覆蓋 對於晶片之可靠度是很重要的,這是因紐好的姉崎/種子金 屬階梯覆討·止厚金觸的金屬擴朗下方轉路或聚合物 1344686MEGA 06-015TWB is respectively aligned with the protective layer openings 549, 511, 512, 514, the other polymer layer openings 9519, 9519'' 9511, 9512, 9514, or the polymer layer openings 9539, 9539', 953, 9532, 9534 Alternatively, the polymer layer openings 9549, 9511, 9512, 9514 may be larger in size - between 5 microns and 1 inch, and preferably between 1 and 2 microns. By. The polymer layer opening 950 on the protective layer opening 5 has two open patterns. In the first open type, the polymer layer is open, for example, the polymer layer opening 9531 is larger than the lower protective layer opening 531, and is polymerized. The polymer sidewall of the layer opening 9531 is located on the protective layer 5. In this version, a smaller protective layer opening 531 can be formed, thereby forming a smaller contact interface at the top of the thin wiring metal layer, so that the open pattern allows fine lines of the finest fine metal layer at the top end. Having a higher winding density; in the second opening pattern, the 'bottom of the polymer layer opening', such as the bottom of the polymer layer opening 9539, is smaller than the lower protective layer opening p 539, and the polymerization (10) The polymer sidewall of the opening (e.g., polymer layer opening 9539) is on the metal interface at the top of the thin wiring metal layer. In this type of towel, the polymer layer 95 covers the opening of the protective layer, and the slope of the polymer layer opening (for example, the polymer layer opening σ 9539) is smaller than the slope of the sidewall of the opening of the protective layer and the subsequent metal is sputtered. The formed adhesion/barrier/seed layer_ has a good step coverage (clear c_ge). Better adhesion/barrier/seed metal step coverage is important for wafer reliability, which is due to the Nakasaki/Seed metal ladder stepping over the metal extension of the thick gold touch or polymer 1344686

MEGA 06-015TWB 層中’以防止介金屬化合物_Γ侧allicc〇mp_d ; IMQ的產生 ^ 或者是金屬擴散的現象發生。 聚合物層98内的聚合物層開口 98〇係位在圖案化金屬層8〇1 與圖案化金屬層802之間。對於内部電路21、22、23、24 ,聚合 物層開口 983卜9834的尺寸係介於!微米至3〇〇微米之間並以 介於3微米至1〇〇微米之間為較佳者。對於穩壓器或變壓器μ之 聚合物層開口 9829,或晶片接外電路4〇(包括42、43)之聚合物層 •開口 983卜9834、9839或者是靜電放電防護電路44之聚合物層 開口 9849’的尺寸可以較大’其範圍介於5微米至微米之間, 並以介於10微米至2〇〇微米之間為較佳者。 • 由頂端聚合物層99内的聚合物層開口 990所暴露出之圖案化 金屬層802最頂端的接墊可用來連接外部電路,或者是在晶片測 試(chip testing)中作為探針的接觸點。對於内部電路21、^、^、 24’頂端聚合物層99並未設有聚合物層開口;另,穩_或變壓 •器41之聚合物層開口 9919、9929,或晶片接外電路4〇(包括42、 43)之聚合物層開口 9939或者是靜電放電防護電路44之聚合物層 開口 9949、9949’的尺寸可以較大’其範圍介於5微米至^麵微 米之間’並以介於1〇微米至2〇〇微米之間為較佳者。 輸入保護層上方結構8中的訊號、電源或接地參考電壓係透 過細線路結構6而傳送至内部電路20、穩壓器或變壓器41、晶片 •接外電路4〇或者是靜電放電防護電路44中。另,細線路金屬結 89 1344686MEGA 06-015TWB layer 'to prevent the intermetallic compound _ Γ side allicc 〇 mp_d; IMQ generation ^ or metal diffusion phenomenon occurs. The polymer layer opening 98 in the polymer layer 98 is between the patterned metal layer 8〇1 and the patterned metal layer 802. For internal circuits 21, 22, 23, 24, the size of the polymer layer opening 983, 9834 is between! It is preferred that the micron is between 3 microns and between 3 microns and 1 inch. For the polymer layer opening 9829 of the voltage regulator or transformer μ, or the polymer layer of the wafer external circuit 4 (including 42, 43), the opening 983, 9834, 9839 or the polymer layer opening of the ESD protection circuit 44 The size of 9849' can be larger 'with a range between 5 microns and microns, and preferably between 10 microns and 2 microns. • The topmost pad of patterned metal layer 802 exposed by polymer layer opening 990 in top polymer layer 99 can be used to connect external circuitry or as a contact point for probes in chip testing . The polymer layer opening is not provided for the internal circuit 21, ^, ^, 24' top polymer layer 99; in addition, the polymer layer opening 9919, 9929 of the stabilization or transformer 41, or the wafer external circuit 4 The polymer layer opening 9939 of 〇 (including 42, 43) or the polymer layer opening 9949, 9949' of the ESD protection circuit 44 may be larger in size, ranging from 5 micrometers to 2 micrometers, and It is preferred between 1 μm and 2 μm. The signal, power or ground reference voltage in the structure 8 above the input protection layer is transmitted to the internal circuit 20, the voltage regulator or the transformer 41, the wafer/external circuit 4A, or the ESD protection circuit 44 through the fine line structure 6. . In addition, fine line metal knot 89 1344686

MEGA 06-015TWB 構63可以是以最短路徑方式(例如以約略對準的堆疊方式)所形成 φ 之細線路金屬層60以及導電栓塞60’,如第ISA圖所示之631、 632、634、639 與 639’。 製作保護層上方結構8的微影技術係顯著不同於製作保護層 下方積體電路的微影技術。保護層上方的微影製程同樣也包括有 塗佈、曝光與顯影光阻。用來形成保護層上方結構8的光阻有兩 種型式,其係為:(1)濕膜光阻(liquidphotoresist),其係利用單一或 # 多重的旋轉塗佈方式或者是印刷(printing)方式形成。此濕膜光阻的 厚度係介於3微米至60微米之間,而以介於5微米至40微来之 間為較佳者;以及(2)乾膜光阻(dry film Photoresist),其係利用貼合 ^ 方式(laminating method)形成。此乾膜光阻的厚度係介於30微米至 300微米之間’而以介於50微米至150微米之間為較佳者。另外, 光阻可以是正型(p0sitive-type)或負型(negative-type),而在獲得更 好解析度上,則以正型厚光阻(p0Sitive-type thick photoresist)為較佳 鲁者。當聚合物層是為感光性材質時,可以僅利用微影製程(無須蝕 刻製程)來圖案化聚合物層上。利用一對準機(aligner)或一倍(IX) 步進曝光機曝光此光阻。此一倍(1X)係指當光束從一光罩(通常係 以石英或玻璃構成)投影至晶圓上時,光罩上之圖形縮小在晶圓上 的比例,且在光罩上之圖案比例係與在晶圓上之圖案比例相同。 對準機或一倍步進曝光機所使用的光束波長係為436奈米 _ (g line)、397 奈米(h-line)、365 奈米(i-line)、g/h line(結合 g-line 與 90 1344686The MEGA 06-015 TWB structure 63 may be a thin line metal layer 60 and a conductive plug 60' formed in a shortest path manner (for example, in a roughly aligned stacking manner), as shown in ISA, 631, 632, 634, 639 and 639'. The lithography technique for fabricating the structure 8 above the protective layer is significantly different from the lithography technique for fabricating the integrated circuit under the protective layer. The lithography process above the protective layer also includes coating, exposure and development photoresist. There are two types of photoresist used to form the structure 8 above the protective layer, which are: (1) liquid photoresist, which utilizes single or multiple multiple spin coating or printing methods. form. The thickness of the wet film photoresist is between 3 microns and 60 microns, and preferably between 5 microns and 40 microns; and (2) dry film photoresist, It is formed by a laminating method. The thickness of the dry film photoresist is between 30 microns and 300 microns' and is preferably between 50 microns and 150 microns. Further, the photoresist may be a positive-type or a negative-type, and in order to obtain a better resolution, a p-sitive-type thick photoresist is preferred. When the polymer layer is a photosensitive material, the polymer layer can be patterned using only a lithography process (without etching process). The photoresist is exposed using an aligner or a double (IX) stepper. This double (1X) refers to the ratio of the pattern on the reticle to the wafer when the light beam is projected onto the wafer from a reticle (usually composed of quartz or glass), and the pattern on the reticle The ratio is the same as the pattern on the wafer. The beam wavelength used by the aligner or double stepper is 436 nm (g line), 397 nm (h-line), 365 nm (i-line), g/h line (combined G-line with 90 1344686

MEGA 06-015TWB h-line)或 g/h/i line(結合 g-line、h-line 與 i-line)。使用光東波長為 φ帥line或Une的一倍步進曝光機(或一倍對準機)可在厚光阻 或厚感光性聚合物的曝光上’提供較大的光強度(lightimensity)。 由於保護層5可以保護下方的金氧半電晶體以及細線路結構 6免於受到水氣的侵入以及鈉或其它移動離子和金、銅或其它過渡 金屬的穿透,所以一積體電路晶圓上的保護層上方結構8可以在 一等級10或者是較不嚴密的(less stringent)環境下(例如等級1〇〇) • 的無塵室中進行處理。一等級1〇〇的無塵室允許每立方英呎之最 大灰塵粒子數目係為:含有大於或科5微米之灰絲子不超過 1顆、含有大於或等於1微米之灰塵粒子不超過1〇顆、含有大於 _或等於0.5微米之灰塵粒子不超過丨⑻顆、含社於或等於〇 3微 米之灰塵粒子不超過3〇〇顆、含有大於或等於〇 2微米之灰塵粒子 不超過75〇顆、含有大於或等於〇1微米之灰塵粒子不超過遞 顆。 • 元件層2包括有内部電路2〇(包括2卜22、23與24)在所有實 施例中’以及⑴穩壓器或變壓器41在第一實施例中;⑵晶片接外 電路40(包括42、43)在第三實施例中;(3)靜電放電防護電路44 在第四實施例中。在本發明之所有實施例中,内部電路2〇(包括 21、22、23、24)包括-訊號節點(signal n〇岭且此訊號節點㈤㈣ node)疋不與外礼曰片外部)電路連接。而當内部電路的訊號需 籲要連接至外σ卩電路時’在連接料部電路之前,城必須先經過 1344686MEGA 06-015TWB h-line) or g/h/i line (combined with g-line, h-line and i-line). Using a double stepper (or double aligner) with a wavelength of guangdong or Une can provide greater light imensity on exposure to thick photoresist or thick photosensitive polymer. Since the protective layer 5 can protect the underlying metal oxide semiconductor and the fine circuit structure 6 from moisture intrusion and penetration of sodium or other mobile ions and gold, copper or other transition metals, an integrated circuit wafer The upper protective layer structure 8 can be processed in a clean room of a level 10 or a less stringent environment (e.g., level 1). A Class 1 clean room allows the maximum number of dust particles per cubic inch to be: no more than 1 gray yarn greater than or 5 micrometers, no more than 1 micron dust particles greater than or equal to 1 micron. Particles containing more than _ or equal to 0.5 μm of dust particles not exceeding 丨 (8), dust particles containing 于 3 μm or less, no more than 3 、, dust particles containing 大于 2 μm or more, not exceeding 75 〇 Particles containing more than or equal to 1 micron of dust particles do not exceed the number of particles. • Component layer 2 includes internal circuitry 2 (including 2, 22, 23, and 24) in all embodiments 'and (1) voltage regulator or transformer 41 in the first embodiment; (2) wafer external circuitry 40 (including 42 43) In the third embodiment; (3) Electrostatic discharge protection circuit 44 is in the fourth embodiment. In all embodiments of the present invention, the internal circuit 2 (including 21, 22, 23, 24) includes a - signal node (signal n (the signal node (5) (four) node) is not connected to the external circuit) . When the signal of the internal circuit needs to be connected to the external σ卩 circuit, the city must pass 1344686 before connecting the circuit.

MEGA 06-015TWB 一晶片接外電路’例如晶片三態緩衝器、晶片接外驅動器、晶片 鲁接外接收器或其它晶片接外輸入/輸出(1/0)電路。因此,内部電路 並不包括晶片接外電路。MEGA 06-015TWB A chip-terminated external circuit such as a wafer tri-state buffer, a wafer-terminated external driver, a wafer-terminated external receiver, or other external-input/output (1/0) circuit. Therefore, the internal circuit does not include a chip-terminated circuit.

在本發明中,内部電路2〇(包括2卜22、23、24)除了可以是 一反或閘(NOR gate)或一反及閘(nAND gate)之外,亦可以是一反 相器(inverter)、一且閘(ANDgate)、一或閘(〇Rgate)、一靜態隨機 存取記憶體單元(SRAM cell)、一動態隨機存取記憶體單元(DRAM • ceU)、一非揮發性記憶體單元(non-volatile memory cell)、一快閃記 憶體單元(flash memory cell)、一可消除可程式唯讀記憶體單元 (EPROMcell)、一唯讀記憶體單元(R0Mcell)、一磁性隨機存取記 鲁憶體(magnetic RAM,MRAM)單元、一感測放大器(sense amplifier)、一運放算大器(0perati〇nai ampijfier,Amp、opa)、 一加法器(adder)、一多工器(multiplexer)、一雙工器(dipiexer)、一 乘法器(multiplier)、一類比/數位轉換器(A/D converter)、一數位/ # 類比轉換器(D/A converter)、一互補式金屬氡化物半導體感測元件 单元(CMOS sensor cell)、一 光敏一極體(photo-sensitive diode)、一 互補式金屬氧化物半導體、一雙載子互補式金氧半導體、一雙載 子電路(bipolar circuit)或類比電路(analog circuit)。 此外,内部電路20(包括2卜22、23、24)是至少由一金氧半 電晶體(MOStransistor)所構成’例如反或閘、或閘、且閘或反及閘 •是至少由一金氧半電晶體所構成,另金氧半電晶體可以是“通道 92 (I) 1344686In the present invention, the internal circuit 2 (including 2, 22, 23, 24) may be an inverter (NOR gate) or a reverse gate (nAND gate), or may be an inverter ( Inverter, AND gate, one or gate (Rgate), a static random access memory cell (SRAM cell), a dynamic random access memory cell (DRAM • ceU), a non-volatile memory Non-volatile memory cell, a flash memory cell, an erasable programmable read-only memory cell (EPROMcell), a read-only memory cell (R0Mcell), a magnetic random memory Take a magnetic RAM (MRAM) unit, a sense amplifier, an op amp (0perati〇nai ampijfier, Amp, opa), an adder, a multiplexer (multiplexer), a dipiexer, a multiplier, an analog/digital converter (A/D converter), a digital/# analog converter (D/A converter), a complementary metal a CMOS sensor cell, a photo-sensitive diode, and a mutual A complementary metal oxide semiconductor, a two-carrier complementary MOS, a bipolar circuit or an analog circuit. In addition, the internal circuit 20 (including 2, 22, 23, 24) is composed of at least one MOS transistor (such as a reverse thyristor, or a gate, and a gate or a gate) is at least one gold Oxygen semi-transistor, another gold-oxygen semi-transistor can be "channel 92 (I) 1344686

MEGA06-015TWB 寬度(Channel width)/通道長度(Channel length)*’ 比值介於 〇,1 至 5 φ 之間或是介於0.2至2之間的一 N型金氧半電晶體,或是‘‘通道 寬度/通道長度”比值介於〇 2至1〇之間或是介於〇 4至4之間的 一 P型金氧半電晶體。在第一實施例中,内部電路2〇(包括21、 22'23、24)可以是一電源管理晶片(power management chip)或是一 電源供應晶片(p0Wer SUpply chip),此電源管理晶片與電源供應晶 片是至少由一金氧半電晶體所構成,且金氧半電晶體可以是“通 ❿ 道寬度/通道長度”比值介於4,000至400,000之間或是介於4,000 至40,麵之間的一 p型金氧半電晶體,或是“通道寬度/通道長 度比值介於2,000至200,000之間或是介於2,〇〇〇至2〇,〇〇〇之間 •的一 N型金氧半電晶體,而流經金屬線路或平面81、82的電流則 疋介於500毫安培至5〇安培之間或是介於5〇〇毫安培至5毫安培 之間。 另,内部電路20可以利用它的峰值輸入或輸出電流(即流經金 •屬線路或平面的電流)來定義,或者是以它的金氧半電晶體尺寸(通 道寬度除以通道長度的比值)來定義。一晶片接外電路4〇(包括 42、43) ’也可以利用它的峰值輸入或輸出電流(即流經金屬線路或 平面的電流)來定義,或者是以它的金氧半電晶體尺寸(通道寬度除 以通道長度的比值)來定義。而此内部電路2〇以及晶片接外電路 40(包括42、43)的定義係適用於本發明之所有實施例中。 • 因此,本發明可透過保護層下方的細線路金屬結構及保護層 93 1344686MEGA06-015TWB Width (Channel length) / Channel length * 'An N-type MOS semi-transistor with a ratio between 〇, 1 to 5 φ or between 0.2 and 2, or ' A 'Phase Width/Channel Length' ratio is between 〇2 and 1 或是 or a P-type MOS transistor between 〇4 and 4. In the first embodiment, the internal circuit 2〇 21, 22'23, 24) may be a power management chip or a power supply chip (p0Wer SUpply chip), the power management chip and the power supply chip are composed of at least one MOS transistor And the MOS transistor may be a p-type MOS transistor or a "p-channel width/channel length" ratio between 4,000 and 400,000 or between 4,000 and 40, or " A N-type MOS transistor with a channel width/channel length ratio between 2,000 and 200,000 or between 2, 〇〇〇 to 2 〇, and flowing through a metal line or plane 81 The current of 82 is between 500 mA and 5 amps or between 5 mA and 5 mA. . Alternatively, internal circuit 20 can be defined by its peak input or output current (i.e., current flowing through a gold line or plane) or by its MOS half-transistor size (channel width divided by channel length ratio) ) to define. A wafer-terminated external circuit 4〇 (including 42, 43) ' can also be defined by its peak input or output current (ie, current flowing through a metal line or plane), or by its MOS half-crystal size ( The channel width is divided by the ratio of the channel lengths to define. The definition of the internal circuit 2 and the external circuit 40 (including 42, 43) is applicable to all embodiments of the present invention. • Therefore, the present invention can penetrate the fine line metal structure and protective layer under the protective layer 93 1344686

WEGA06-015TWB 上方的金觀路或平面分職接同—線路树巾至少二金氧半電The Jinguan Road or the plane above WEGA06-015TWB is connected to the same line - the line tree towel is at least two gold and a half

晶體的閘極與閘極、閘極與源極、_與汲極、源極與源極、源 極與汲極或者是汲極與汲極。 以下將敘述與啸本發明所有實施射 之圖案化金屬㈣與細線路金屬層60兩者間的尺寸特徵: 特性(electrical characteristic)。 (1)金屬線路之厚度 • 每一圖案化金屬層80的厚度係介於2微米至150微米之間, 並以”於3微敍2〇微权财較佳者,而每—細祕金屬層6〇 的厚度則介於G.05财至2微米之間,並財於Q 2微来至】微 ^米之間為較佳者。 對於依照本發明之實施例所設計的一晶圓,一保護層上方圖 案化金屬層的厚度係大於任—細線路金屬層的厚度,以者的^ 度比是介於2至250之間的範圍,而以介於4至2〇之間的範圍為 • 較佳者。 (2)介電層之厚度 每一保護層上方介電層(通常為有機材料,例如聚合物)的厚 度,如聚合物層90的厚度,係介於2微米至ι5〇微米之間,並以 ”於3微米至30微米之間為較佳者,而每一細線路介電層见(通 常為無機材料,例如氧化物或氮化物)的厚度則介於〇 〇5微米至2 微米之間,並以介於〇·2微米至丨微米之間為較佳者。 94 1344686The gate and gate of the crystal, the gate and source, the _ and drain, the source and source, the source and drain, or the drain and drain. The dimensional characteristics between the patterned metal (4) and the fine-line metal layer 60 of all the embodiments of the present invention will be described below: electrical characteristics. (1) Thickness of metal wiring • The thickness of each patterned metal layer 80 is between 2 micrometers and 150 micrometers, and is preferably "3 micro-syntax 2 micro-gains, and each fine metal The thickness of the layer 6 则 is between G.05 and 2 μm, and is preferably between Q 2 and μm. For a wafer designed according to an embodiment of the present invention The thickness of the patterned metal layer above a protective layer is greater than the thickness of the fine-layer metal layer, and the ratio is between 2 and 250, and between 4 and 2 The range is • preferably. (2) Thickness of Dielectric Layer The thickness of the dielectric layer (usually an organic material such as a polymer) above each protective layer, such as the thickness of the polymer layer 90, is between 2 μm and Between 5 μm and between 3 μm and 30 μm is preferred, and the thickness of each fine-line dielectric layer (usually an inorganic material such as an oxide or nitride) is between 〇 Between 5 microns and 2 microns, and preferably between 〇 2 microns and 丨 microns. 94 1344686

MEGA 06-015TWB 對於依照本發明之實施例所設計的晶圓,一保護層上方介電 ^層的厚度係大於任一細線路介電層的厚度,且兩者的厚度比係介 於2至250之間的範圍,而以介於4至20之間的範圍為較佳者。 ⑶金屬層之片電阻(sheet resistance)與電阻 一金屬層的片電阻是藉由計算金屬電阻率(metal resistivity)除 以金屬厚度而得。一銅(厚度為5微米)材質之保護層上方圖案化金 屬層的片電阻大約為每平方(per square)4毫歐姆(miii_〇hm),而對 • 於一金(厚度為4微米)材質之保護層上方圖案化金屬層的片電阻 則大約為每平方5.5毫歐姆。一保護層上方圖案化金屬層的片電阻 係介於每平方0.1毫歐姆至每平方1〇毫歐姆之間的範圍,並以介 _於每平方1毫歐姆至每平方7毫歐姆之間的範圍為較佳者。以濺 鑛形成之紹(厚度為0.8微米)材質的細線路金屬層,其片電阻大約 為每平方35毫歐姆,而對於以鑲嵌製程形成一銅(厚度為〇 9微米) 材質的細線路金屬層,其片電阻則大約為20毫歐姆。一細線路金 •屬層的片電阻係介於每平方1〇毫歐姆至每平方400毫歐姆之間的 $巳圍,並以介於每平方15毫歐姆至每平方1〇〇毫歐姆之間的範圍 為較佳者。 一金屬線路的單位長度電阻(resistance per unit length)是藉由 6十算片電阻除以其寬度崎。保護層上方@案化金>|層的橫向設 計標準(寬度)係介於】微米至2〇〇微米之間,並以介於2微米至 鲁5〇微米之間為較佳者,而細線路金屬層的橫向設計標準(寬度)則 95 1344686MEGA 06-015TWB For a wafer designed according to an embodiment of the present invention, the thickness of the dielectric layer above a protective layer is greater than the thickness of any fine wiring dielectric layer, and the thickness ratio of the two is between 2 and A range between 250, and a range between 4 and 20 is preferred. (3) Sheet resistance and resistance of a metal layer The sheet resistance of a metal layer is obtained by calculating the metal resistivity divided by the thickness of the metal. The sheet resistance of a patterned metal layer over a protective layer of copper (5 micron thick) is approximately 4 milliohms per square (miii_〇hm), and is equivalent to one gold (thickness of 4 microns) The sheet resistance of the patterned metal layer above the protective layer of the material is approximately 5.5 milliohms per square. The sheet resistance of the patterned metal layer over a protective layer ranges from 0.1 milliohms per square to 1 milliohm per square, and is between 1 milliohm per square to 7 milliohms per square. The range is preferred. A fine-line metal layer formed by sputtering (0.8 micron thickness) has a sheet resistance of about 35 milliohms per square, and a thin line metal formed of a copper (thickness 〇9 μm) material in a damascene process. The layer has a sheet resistance of approximately 20 milliohms. The sheet resistance of a fine-line gold-based layer is between $1 milliohms per square to 400 milliohms per square, and is between 15 milliohms per square to 1 milliohm per square. The range between the two is preferred. The resistance per unit length of a metal line is divided by its width and resistance by a factor of six. The lateral design standard (width) of the @案化金>| layer above the protective layer is between μm and 2μm, and preferably between 2 microns and 5 μm. The horizontal design standard (width) of the fine-line metal layer is 95 1344686

MEGA 06-015TWB 是介於20奈米至15微米之間,並以介於2〇奈米至2微米之間為 φ較佳者。一保護層上方圖案化金屬層的每毫米電阻(resistance per mm)係介於母毫米長(resistance per mm length)2毫歐姆至每毫米長 5歐姆之間,並以介於每毫米長5〇毫歐姆至每毫米長25歐姆之 間為較佳者,而一細線路金屬層的每毫米電阻則是介於每毫米長 500毫歐姆至每毫米長3,000歐姆之間,並以介於每毫米長5〇〇毫 歐姆至每毫米長5〇〇歐姆之間為較佳者。 • 對於依照本發明之實施例所設計的晶圓,一保護層上方圖案 化金屬層的單位長度電阻係小於任一細線路金屬層的單位長度電 阻,且兩者的單位長度電阻比(細線路金屬層比保護層上方圖案化 金屬層)係介於3至250之間的範圍,而以介於1〇至3〇之間的範 圍為較佳者。 (4)金屬線路之單位長度電容(capacitance阿_ 1如她) 單位長度電容係與介電質的類型和厚度、金屬線路的寬度、 籲距離和厚度以及水平方向和垂直方向上的卵金屬有關。聚醜亞 胺的介電常數大約為3.3,而苯基環丁稀的介電常數則大約為2 5。 接著,請先參閱至第20圖所示,其係揭露出在同一圖案化金屬層 802上’-圖案化金屬層8〇2χ具有兩相鄰的圖案化金屬層8吻 與圖案化金屬層8G2z,以及在圖案化金屬層8()2下具有—圖案化 金屬層801w,且此圖案化金屬層8〇lw是利用一聚合物層98與圖 攀案化金屬層802分隔。同樣地,第2〇圖也揭露出在同一細線路金 96 1344686MEGA 06-015TWB is between 20 nm and 15 microns and is preferably between 2 and 2 microns. The resistance per mm of the patterned metal layer above a protective layer is between 2 milliohms of resistance per mm length to 5 ohms per millimeter and is 5 inches per millimeter. Between milliohms and 25 ohms per millimeter is preferred, and the resistance per millimeter of a thin-line metal layer is between 500 milliohms per millimeter and 3,000 ohms per millimeter, and is between millimeters per millimeter. It is preferred to be between 5 ohms and ohms to 5 ohms per millimeter. • For a wafer designed in accordance with an embodiment of the present invention, the unit length resistance of the patterned metal layer over a protective layer is less than the unit length resistance of any thin line metal layer, and the unit length resistance ratio of both (fine lines The metal layer is in the range of between 3 and 250 than the patterned metal layer above the protective layer, and is preferably in the range of between 1 and 3 Torr. (4) The capacitance per unit length of the metal line (capacitance A _ 1 as her) The capacitance per unit length is related to the type and thickness of the dielectric, the width of the metal line, the distance and thickness of the metal, and the egg metal in the horizontal and vertical directions. . The polyaniline has a dielectric constant of about 3.3, while the phenylcyclobutadiene has a dielectric constant of about 25. Next, please refer to FIG. 20, which is exposed on the same patterned metal layer 802'-the patterned metal layer 8〇2χ has two adjacent patterned metal layers 8 kiss and patterned metal layer 8G2z And having a patterned metal layer 801w under the patterned metal layer 8() 2, and the patterned metal layer 8〇lw is separated from the patterned metal layer 802 by a polymer layer 98. Similarly, the second map is also revealed in the same fine line gold 96 1344686

MEGA 06-015TWB 屬層602上,一細線路金屬層602x具有兩相鄰的細線路金屬層 鲁602y與細線路金屬層6〇2z,以及在細線路金屬層6〇2下具有一細 線路金屬層601w,且此細線路金屬層601W是利用一細線路介電 層30與細線路金屬層602分隔。 圖案化金屬層802x與細線路金屬層6〇2x之單位長度電容包 括有二個組成要素··⑴板極電容(plate capacitance),Cxw(pF/mm), 其係為金屬線路或平面寬度除以介電質厚度之比值的一函數; •耦合電容(coupling caPacitance),CcxKVH:),其係為金屬線路或 平面厚度除以相鄰金屬線路或平面之間的間距(Kne spacing)之比 值的一函數:以及⑺邊緣電容汾㈣㈣⑶^加㈣,^^^^, _其係為金屬線路或平面之厚度、相鄰金屬線路或平面之間的間距 與介電質厚度之m案化金制的每絲電容係介於每 毫米長0.1pF(pico Farads)至每毫米長2pF,並以介於每毫米長 〇JpF至每絲長!聊之間為較佳者,而—細線路金屬層的每毫 籲米電容則是介於每毫米長〇.2pF至每毫米長4奸,並以介於每毫米 長〇.4pF至每毫米長2pF之間為較佳者。 。。對於依照本發明之實施例所設計的晶圓,一圖案化金屬層的 單位長度電容係小於任—細線路金屬層的單位長度電容且兩者 的單位長度電容比(細線路金屬層比圖案化金屬層)是介於! 5至 之間的範圍’而以介於2至1G之間的範圍為較佳者。 ♦ (5)金屬線路之電阻電容常數(RC _tam) 97 1344686On the MEGA 06-015TWB genus layer 602, a thin line metal layer 602x has two adjacent fine line metal layer ruins 602y and fine line metal layers 〇2z, and has a fine line metal under the thin line metal layer 〇2 Layer 601w, and the thin line metal layer 601W is separated from the thin line metal layer 602 by a thin line dielectric layer 30. The unit length capacitor of the patterned metal layer 802x and the thin line metal layer 6〇2x includes two components: (1) plate capacitance, Cxw (pF/mm), which is divided by metal line or plane width. a function of the ratio of the thickness of the dielectric; • Coupling cacacitance, CcxKVH:), which is the ratio of the metal line or plane thickness divided by the spacing between adjacent metal lines or planes (Kne spacing). A function: and (7) edge capacitance 汾 (4) (four) (3) ^ plus (four), ^ ^ ^ ^, _ which is the thickness of the metal line or plane, the spacing between adjacent metal lines or planes and the thickness of the dielectric material Each wire capacitance is between 0.1pF (pico Farads) per mm and 2pF per mm, and is between JpF and mm per mm long! Between the chats is better, and the thickness of each wire of the fine-line metal layer is between 2pF per mm long and 4 centimeters per mm, and is between 4pF and mm per mm. It is better between 2pF and longer. . . For a wafer designed in accordance with an embodiment of the present invention, the unit length capacitance of a patterned metal layer is less than the unit length capacitance of any of the fine line metal layers and the unit length capacitance ratio of the two (fine line metal layer ratio patterning) Metal layer) is between! A range between 5 and 5 is preferred as a range between 2 and 1 G. ♦ (5) Resistance and capacitance constant of metal lines (RC _tam) 97 1344686

MEGA 06-015TWB -金屬線路上的訊號傳遞時間係利賊容延遲(Rc d卿)來 鲁計算。基於上述(3)與⑷之内容’-圖案化金屬層的阻容延遲是介 於每毫米長_3至⑺帅丨⑺勵⑽的範圍之間,並以介於每毫 米長0.25至2pS(piC0 second)的範圍之間為較佳者,而一細線路金 屬層的阻容延賴是介於每毫綠1() n_ps(pieQ se(xmd)的範 圍之間’並以介於每毫米長40至500ps(pic〇sec〇nd)的範圍之間為 較佳者。 ~ • 對於依照本發明之實施例所設計的晶圓,一圖案化金屬層的 單位長度阻容傳遞時間(RC paopagation time)係小於任一細線路金 屬層的單位長度阻容傳遞時間,且兩者的單位長度阻容傳遞延遲 春時間(RC Paopagation delay time)比(細線路金屬層比圖案化金屬層) 是介於5至500之間的範圍,並以介於1〇至3〇之間為較佳者。 再來,請參閱回第15C圖至第15L圖所示,其係揭露出在已 元成之晶圓10(如第15A圖或第15B圖所示)上,形成保護層上方 # 結構8的製作步驟。每一圖案化金屬層80係利用浮凸製程(與保護 層5下的鑲嵌銅製程作為對比)來形成。請參閱第15C圖所示,一 聚合物層95沈積在保護層5上,並透過聚合物層開口 950暴露出 保護層開口 50所暴露的金屬接墊600。假若此聚合物是為液體形 式(liquid form) ’其係可以利用旋轉塗佈或者是印刷的方式來沈積 形成,而假若此聚合物為一乾膜(dry film),則此乾膜可以利用一 •貼合方式來形成。對於感光性聚合物,聚合物層95係利用對準機 1344686MEGA 06-015TWB - The signal transmission time on the metal line is delayed by Rc dqing. Based on the contents of (3) and (4) above - the retardation delay of the patterned metal layer is between _3 and (7) lengths per minute (7), and 0.25 to 2 pS per millimeter ( The range between piC0 second) is preferred, and the resistance of a thin-line metal layer is between 1 () n_ps (pieQ se (xmd) range) and between mm and mm. A range of 40 to 500 ps (pic〇sec〇nd) is preferred. ~ • For a wafer designed in accordance with an embodiment of the present invention, a patterned metal layer has a unit length resistance transfer time (RC paopagation) Time) is less than the resistance transfer time per unit length of any fine-line metal layer, and the ratio of the RC Paopagation delay time of the unit length (the thin-line metal layer to the patterned metal layer) is Between 5 and 500, and between 1 〇 and 3 为 is preferred. Again, please refer back to Figure 15C to Figure 15L, which is revealed in the Yuancheng On the wafer 10 (as shown in FIG. 15A or FIG. 15B), a fabrication step of the top layer 8 of the protective layer is formed. Each patterned metal layer The 80 series is formed using an embossing process (as opposed to the inlaid copper process under the protective layer 5). Referring to Figure 15C, a polymer layer 95 is deposited on the protective layer 5 and exposed through the polymer layer opening 950. The metal pad 600 exposed by the protective layer opening 50. If the polymer is in a liquid form, it can be formed by spin coating or printing, and if the polymer is a dry film (dry film), the dry film can be formed by a bonding method. For the photosensitive polymer, the polymer layer 95 is made by using an alignment machine 1344686

KtEGA〇6-〇i5TWB 或倍(IX)步進曝光機通過光罩的光線來進行曝光,並透過顯影 •而在聚合物層95中形成聚合物層開口 950 ;當聚合物為非感光性 時,則必須使用光阻,並透過傳統的微影製程來圖案化出聚合物 層開D 950。圖案化聚合物層的方式,可以是下列的方式:在塗佈 光阻之前’可選擇性沈積一硬遮罩(hard mask,例如一氧化石夕層, 圖中未示)在聚合物層95上’而在蝕刻聚合物層開口期間,此硬遮 罩具有一緩慢的蝕刻速率(etchrate)。另,圖案化聚合物層95的方 # 式(即聚合物層95具有聚合物層開口 950)亦可利用網板印刷的方 式(screen printing method),藉由使用具有圖案化孔洞(h〇ie)之一金 屬網板(metal screen)來形成,而且網板印刷的方式不需要進行曝光 ^以及顯影。此外,假如聚合物層為一乾膜,在貼合至晶圓上之前, 可以先在一張乾膜中形成孔洞,所以在這種方式並不需要進行曝 光與顯影。另’由於可以形成聚合物層95在保護層5上,因此位 在保護層5上之最下方的圖案化金屬層80可以形成在由聚合物層 擎 95之上表面所提供之較為平坦的平面上,所以可以防止圖案化金 屬層80之相鄰線路間產生漏電流的現象,以及防止圖案化金屬層 8〇與保護層下之細線路金屬結構之間產生耦合的情形,因此可以 提供較好的電性(electrical performance)。然而在某些應用上,亦可 省略聚合物層95而節省費用。聚合物層開口 950係對準於保護層 開口 50,且聚合物層開口 950可以是大於或小於保護層開口 5〇。 ® 此外’保護層開口 與聚合物層開口 950的形成方式也可以是先 99 1344686KtEGA〇6-〇i5TWB or 倍 (IX) stepper is exposed through the light of the reticle, and through the development, the polymer layer opening 950 is formed in the polymer layer 95; when the polymer is non-photosensitive , you must use the photoresist and pattern the polymer layer D 950 through the traditional lithography process. The manner in which the polymer layer is patterned may be in the following manner: a hard mask (for example, a layer of oxidized stone, not shown) in the polymer layer 95 may be selectively deposited before the photoresist is applied. The hard mask has a slow etch rate during the opening of the etched polymer layer. In addition, the square pattern of the patterned polymer layer 95 (ie, the polymer layer 95 has the polymer layer opening 950) may also utilize a screen printing method by using patterned holes (h〇ie). One of the metal screens is formed, and the method of screen printing does not require exposure and development. In addition, if the polymer layer is a dry film, holes can be formed in a dry film before bonding to the wafer, so exposure and development are not required in this manner. In addition, since the polymer layer 95 can be formed on the protective layer 5, the lowermost patterned metal layer 80 on the protective layer 5 can be formed on a relatively flat surface provided by the upper surface of the polymer layer 95. Therefore, it is possible to prevent leakage current from occurring between adjacent lines of the patterned metal layer 80, and to prevent coupling between the patterned metal layer 8 and the fine-line metal structure under the protective layer, thereby providing better Electrical performance. However, in some applications, the polymer layer 95 may also be omitted to save cost. The polymer layer opening 950 is aligned with the protective layer opening 50, and the polymer layer opening 950 may be larger or smaller than the protective layer opening. ® In addition, the formation of the protective layer opening and the polymer layer opening 950 may also be the first 99 1344686

MEGA 06-015TWB 沈積聚合物層95在保護層5上,接著形成聚合物層開口 95〇,最 •後再形成保遵層開口 50,而在此方式中,聚合物層開口 950的尺 寸約與保護層開口 50的尺寸相同。 "月同時參閱第15D圖至第15H圖所示,其係揭露出形成圖案 化金屬層801的一浮凸製程。在第15D圖中,沈積一黏著/阻障/ 種子層8011在聚合物層95上、在聚合物層開口 950中以及在保 護層開口 50中,其中以濺鍍為沈積形成黏著/阻障/種子層8〇11的 鲁較佳方式。對於形成厚金屬層的材質為金時,黏著/阻障/種子層 8〇11的形成係先利用濺鍍方式形成厚度3,〇〇〇埃(人)之一鈦鎢合金 或鈦的黏著/阻障層’接著再濺鍍形成厚度L000埃的一金種子層。 參對於形成厚金屬層的材質為銅時’黏著/阻障/種子層剛的形成 係先利用濺鍍方式形成厚度500埃之一鉻金屬的黏著/阻障層、形 成厚度1,_埃之-鈦金屬雜著/轉層或者是形成厚度3,刪 埃之-鈦鎢合金的黏著/阻障層’接著再錢鍵形成厚度5,_埃的 籲銅種子層。第15E圖係揭露出-光阻層71沈積且圖案化在黏著 /阻障/種子層8011的種子層上。光阻層71係以旋轉塗佈的方式塗 佈形成,接著_-對準機或—倍(1χ)步進曝光機進行曝光,並 再進行顯影後’於光阻層71中形成光阻層開口 71〇。光阻層開口 71〇是用來定義後續製程中與聚合物層開口 95〇及保護層開口邓 接觸之金屬線路或平面的形成,而且此接觸是在暴露出之金屬接 墊600上’並連接此暴露出之金屬接墊細。第既圖中以電鑛 100 1344686MEGA 06-015TWB deposit polymer layer 95 on protective layer 5, followed by formation of polymer layer opening 95〇, and finally forming conformal opening 50, in this manner, polymer layer opening 950 is approximately the same size The protective layer openings 50 are the same size. "Monthly, as shown in Figs. 15D to 15H, which exposes a embossing process for forming the patterned metal layer 801. In Fig. 15D, an adhesion/barrier/seed layer 8011 is deposited on the polymer layer 95, in the polymer layer opening 950, and in the protective layer opening 50, wherein the adhesion is deposited by sputtering to form an adhesion/barrier/ The preferred mode of the seed layer 8〇11. When the material for forming the thick metal layer is gold, the adhesion/barrier/seed layer 8〇11 is formed by sputtering to form a thickness of 3, one of the titanium alloys or the adhesion of titanium. The barrier layer' is then sputtered to form a gold seed layer having a thickness of L000 angstroms. When the material for forming a thick metal layer is copper, the adhesion/barrier/seed layer is formed by sputtering to form an adhesion/barrier layer of a thickness of 500 angstroms of chromium metal to form a thickness of 1, Å. - Titanium hybrid/transfer layer or formation of a thickness of 3, the adhesion/barrier layer of the titanium-tungsten alloy, followed by a bond to form a copper seed layer having a thickness of 5, Å. Figure 15E reveals that the photoresist layer 71 is deposited and patterned on the seed layer of the adhesion/barrier/seed layer 8011. The photoresist layer 71 is formed by spin coating, and then exposed by a _-aligner or a 1-step (1 Å) stepper, and then developed to form a photoresist layer in the photoresist layer 71. The opening 71 is closed. The photoresist layer opening 71 is used to define the formation of a metal line or plane in contact with the polymer layer opening 95 and the protective layer opening Deng in a subsequent process, and the contact is on the exposed metal pad 600 and connected This exposed metal pad is fine. The first picture shows the electric mine 100 1344686

MEGA 06-015TWB 的方式形成一厚金屬層8012在光阻層開口 7i〇所暴露出的種子層 φ上。此厚金屬層8012可以是厚度介於].5微米至5〇微米之間的一 金層’或者是厚度介於2微米至200微米之間的一銅層。一防護/ 阻障層(cap/barrier layer,圖中未示)可利用電鍍或無電電鍍的方式 選擇性形成在厚金屬層8012上。一組裝/接觸層(assemb丨y/c〇mact layer,圖中未示)亦可利用電鍍或無電電鍍的方式進一步地選擇性 形成在厚金屬層8012以及防護/阻障層上。此組裝/接觸層可以是 • 厚度介於0.01微米至5微米之間的一金層、一鈀層或一釕層。接 著,如第15G圖所示,去除光阻層71。繼續,在第15H圖中,利 用自我對準(self-aligned)溼蝕刻或乾蝕刻的方式,去除未被厚金屬 •層8012覆蓋的黏著/阻障/種子層8011。當利用溼蝕刻方式進行去 除時’在圖案化金屬層801側壁的底部會形成凹陷部 (undercut)8011’,其中此凹陷部8011’係位在厚金屬層8〇12下方, 而當使用異向性乾餘刻(anis〇tr〇pies dry etch)時,則不會有上述之 • 凹陷部8011,的產生。 請同時參閱第151圖與第15J圖所示,其係揭露出以第15(: 圖至第15H圖所述之製程而形成一聚合物層98以及圖案化金屬芦 802的步驟。另,第151圖與第15J圖所示之製程可以重複用在形 成第三金屬層、第四金屬層或者是更多的金屬層上。如果保護層 上方結構8僅包括兩金屬層(圖案化金屬層8〇1與圖案化金屬層 鲁8〇2),一防護聚合物層(cap polymer layer}"沈積在圖案化金屬層 101The MEGA 06-015TWB pattern forms a thick metal layer 8012 on the seed layer φ exposed by the photoresist layer opening 7i. The thick metal layer 8012 can be a gold layer having a thickness between -5 micrometers and 5 micrometers or a copper layer having a thickness between 2 micrometers and 200 micrometers. A cap/barrier layer (not shown) may be selectively formed on the thick metal layer 8012 by electroplating or electroless plating. An assembly/contact layer (assemb丨y/c〇mact layer, not shown) may also be further selectively formed on the thick metal layer 8012 and the barrier/barrier layer by electroplating or electroless plating. The assembly/contact layer can be: a gold layer, a palladium layer or a layer of germanium having a thickness between 0.01 microns and 5 microns. Next, as shown in Fig. 15G, the photoresist layer 71 is removed. Continuing, in Figure 15H, the adhesion/barrier/seed layer 8011 that is not covered by the thick metal layer 8012 is removed by self-aligned wet or dry etching. When the removal by wet etching is performed, 'undercut 8011' is formed at the bottom of the sidewall of the patterned metal layer 801, wherein the depressed portion 8011' is located below the thick metal layer 8〇12, and when the anisotropic is used In the case of an anis〇tr〇pies dry etch, there is no such thing as the depression 8011. Please also refer to FIGS. 151 and 15J for the steps of forming a polymer layer 98 and patterning metal reed 802 by the process described in FIG. 15 to FIG. 15H. The process shown in FIG. 151 and FIG. 15J may be repeatedly used to form a third metal layer, a fourth metal layer or more metal layers. If the structure 8 above the protective layer includes only two metal layers (patterned metal layer 8) 〇1 and the patterned metal layer Lu 8〇2), a cap polymer layer" deposited on the patterned metal layer 101

MEGA 06-015TWB 802(現在的最頂端)以及未被圖案化金屬層8〇2所覆蓋之聚合物層 98上,如第15K圖所示。聚合物層開口 _係形成在頂端聚合物 層99中,並暴露出作為連接外部電路的接觸接塾麵。在某些應 用上’例如當厚金麟隨為金時,可選擇性省略頂端聚合物層 99。第15K圖係揭露出同時具有細線路結構6與保護層上方結構 8的晶圓’其係以頂端聚合物層99之聚合物層開口 _暴露出接 觸接墊8000。 將晶圓鑛切(切割)成複數個單獨晶片,此單獨晶片的接觸接墊 麵可利用下觸述之方式連接外部電路,其係為:⑴一打線製 程的打線導線(金線、銘線或銅線);(2)其它基底上的凸塊(金凸塊、 鋼凸塊、焊料凸塊或其它金屬凸塊),此基底可以是石夕晶片、石夕基 底、陶莞基底、有機基底、球型柵狀陣列(BGA)基底、可撓性(_卿 基底、可撓性捲帶(flexible tape)或玻璃基底,且位在此基底上的凸 塊问度係介於1微米至30微米之間,而以介於5微米至2〇微米 之間為較佳者;(3)其它基底上的柱體(金柱、銅柱、焊料柱或其它 金屬柱),此基底可以是矽晶片、矽基底、陶瓷基底、有機基底、 球型栅狀陣列(BGA)基底、可撓性(flexibie)基底、可撓性捲帶 (flexible tape)或玻璃基底,且位在此基底上的柱體高度係介於1〇 微米至200微米之間’而以介於3 〇微米至丨2 〇微米之間為較佳者; (4)一導線架(lead frame)或一可撓性捲帶(flexibie tape)之金屬導線 端上的凸塊(金凸塊、銅凸塊、焊料凸塊或其它金屬凸塊),此基底MEGA 06-015TWB 802 (now the topmost) and polymer layer 98 not covered by patterned metal layer 8〇2, as shown in Figure 15K. The polymer layer opening is formed in the top polymer layer 99 and exposed as a contact interface for connecting an external circuit. In some applications, the top polymer layer 99 may optionally be omitted, such as when thick gold is used as gold. Fig. 15K reveals that the wafer having both the fine wiring structure 6 and the structure 8 above the protective layer is opened by the polymer layer opening _ of the top polymer layer 99. The wafer ore is cut (cut) into a plurality of individual wafers, and the contact pad surface of the individual wafers can be connected to an external circuit by means of a lower contact, which is: (1) a wire bonding wire of one wire manufacturing process (gold wire, Ming wire) Or copper wire); (2) bumps on other substrates (gold bumps, steel bumps, solder bumps or other metal bumps), which may be Shi Xi wafer, Shi Xi base, pottery base, organic a substrate, a ball grid array (BGA) substrate, a flexible (_clear substrate, a flexible tape or a glass substrate), and the bumps on the substrate are between 1 micron and Between 30 microns, preferably between 5 microns and 2 microns; (3) Columns on other substrates (gold, copper, solder or other metal columns), the substrate can be a germanium wafer, a germanium substrate, a ceramic substrate, an organic substrate, a spherical grid array (BGA) substrate, a flexible substrate, a flexible tape or a glass substrate, and located on the substrate The height of the cylinder is between 1 μm and 200 μm' and between 3 〇μm and 丨2 〇μm Preferably, (4) a lead frame or a bump on a metal wire end of a flexible tape (flexibie tape) (gold bump, copper bump, solder bump or other metal bump) ), this base

MEGA 06-015TWB 上的凸塊高度係介於聰至3G微米之間,而以介於5微来至2〇 微米之間為較佳者。 、在某些應用中’形成在接觸接墊麵上之接觸結構89可用 於連接外。卩電路’如第1几圖所示。一凸塊底層金屬層卿 形成在接觸結構89下,肋作為黏著和擴散阻障之^此接觸結 構89可以是:⑴利用電鍍或網板印刷方式形成之焊料接墊(厚度 介於〇·1鮮至30微米之間,以介於丨微綠⑴微米之間為較 佳者),或者是焊料凸塊(高度介於1〇微米至2〇〇微米之間,而以 介於3〇微米至】2〇微米之間為較佳者)。接著,再利用一迴焊㈣如 reflow)製程將其形成一球形的烊料球(祕柳以s〇ider 焊料 接塾或焊料凸塊可以是:1.含錯量高的焊料(喊⑽⑽㈣,例如 含有重量百分比超過85%之鉛成份的錫鉛合金(pbSn); 2共晶焊料 (eutectic),例如含有重量百分比約37%之鉛成份與重量百分比約 63%之焊料成份的錫鉛合金;3.無鉛焊料(1從(1_&沈5〇1如1>),例如錫 銀合金(SnAg)或錫銅銀合金(SnCuAg)。另,凸塊底層金屬層891 可以是下列所述之複合層(由下到上之排列),包括:鈦/錄、鈦/銅/ 鎳、鈦鎢合金/錄、鈦鎢合金/銅/錄、鈦/錄/金、鈦/銅/鎳/金、鈦鎢 合金/鎳/金、鈦鎢合金/銅/鎳/金、鈦/銅/鎳/鈀、鈦鎢合金/銅/錄/把、 鉻/鉻銅合金、鎳釩合金/銅、鎳/銅、鎳釩合金/金、鎳/金或鎳/把; (2)利用電鍍方式形成之金接墊(厚度介於01微米至1〇微米之間, 而以介於1微米至5微米之間為較佳者),或者是金凸塊(高度介於The height of the bumps on MEGA 06-015TWB is between Cong and 3G microns, and between 5 microns and 2 microns is preferred. In some applications, the contact structure 89 formed on the contact pad surface can be used for connection. The 卩 circuit is as shown in the first figure. A bump underlayer metal layer is formed under the contact structure 89, and the rib acts as an adhesion and diffusion barrier. The contact structure 89 can be: (1) a solder pad formed by electroplating or screen printing (thickness is 〇·1) Fresh to 30 microns, preferably between 丨 micro green (1) micron), or solder bumps (between 1 〇 micron and 2 〇〇 micron, and 3 〇 micron) To 2) between the micron is preferred). Then, using a reflow process (four), such as reflow process, it is formed into a spherical ball of sputum. The sputum will be soldered or the solder bumps can be: 1. High-distortion solder (scream (10) (10) (four), For example, tin-lead alloy (pbSn) containing more than 85% by weight of lead component; 2 eutectic solder, such as tin-lead alloy containing about 37% by weight of lead component and about 63% by weight of solder component; 3. Lead-free solder (1 from (1_& sink 5〇1 as 1), such as tin-silver alloy (SnAg) or tin-copper-silver alloy (SnCuAg). Further, the under bump metal layer 891 may be a composite as described below Layer (from bottom to top), including: titanium / record, titanium / copper / nickel, titanium tungsten alloy / record, titanium tungsten alloy / copper / record, titanium / record / gold, titanium / copper / nickel / gold, Titanium-tungsten alloy/nickel/gold, titanium-tungsten alloy/copper/nickel/gold, titanium/copper/nickel/palladium, titanium-tungsten alloy/copper/recorded/copper, chromium/chromium-copper alloy, nickel-vanadium alloy/copper, nickel/ Copper, nickel-vanadium alloy/gold, nickel/gold or nickel/bar; (2) gold pads formed by electroplating (thickness between 01 micron and 1 micron, and between 1 micron and 5 micron) Better Or gold bumps (height between

MEGA 06-015TWB 5微米至40微米之間,而以介於10微米至20微米之間為較佳者)。 此外,凸塊底層金屬層891可以是:鈦、鈦鶴合金、组、氮化组、 鈦/銅/鎳之複合層(由下到上之排列)或鈦鎢合金/銅/錄之複合層(由 下到上之排列);(3)利用植球製程(ball mounting)形成之金屬球 (metalball)。此金屬球可以是一焊料球、表面塗佈一鎳層的一銅球 (copper ball)、表面塗佈一鎳層與一焊料層的一銅球或者是表面塗 佈一鎳層與一金層的一銅球。另,金屬球的直徑係介於1〇微米至 500微米之間,並以介於50微米至300微米之間為較佳者。此外, 金屬球可以直接焊接在由聚合物層開口 990所暴露出之接觸接墊 8000的表面上或者是凸塊底層金屬層891上,而形成來焊接金屬 球的凸塊底層金屬層891可以是下列所述之複合層(由下到上之排 列),其係包括:鈦/鎳、鈦/銅/鎳、鈦鎢合金/鎳、鈦鎢合金/銅/鎳、 鈦/鎳/金、鈦/銅/鎳/金、鈦鎢合金/鎳/金、鈦鎢合金/銅/錄/金、鈦/ 銅/鎳/鈀、鈦鎢合金/銅/鎳/鈀、鉻/鉻銅合金、鎳釩合金/銅、鎳/銅、 鎳釩合金/金、鎳/金或鎳/纪。另外,在黏著金屬球之後,通常會需 要進行一迴焊(s〇lder reflow)製程。 在形成接觸結構89之後’利用鋸切或切割的方式分割晶圓上 的晶片’以進行封裝雜裝來連接至併部電路,其中組裝的方法 可以是打線(連接至外部有機、陶究、玻璃切基底上的接坠或 者是連接至-導線架或—可撓性捲帶的導線)、捲帶自動接合 (TAB)、捲帶式⑼ *^(taPe_ehiP_earrier· ’ TCP)雖、玻璃覆晶封 1344686MEGA 06-015TWB is between 5 microns and 40 microns, and preferably between 10 microns and 20 microns. In addition, the under bump metal layer 891 may be: titanium, titanium alloy, group, nitrided layer, titanium/copper/nickel composite layer (from bottom to top) or titanium tungsten alloy/copper/recorded composite layer (Arrangement from bottom to top); (3) Metal ball formed by ball mounting. The metal ball may be a solder ball, a copper ball coated with a nickel layer on the surface, a copper ball coated with a nickel layer and a solder layer, or a nickel layer and a gold layer coated on the surface. a copper ball. Further, the diameter of the metal sphere is between 1 Å and 500 μm, and preferably between 50 μm and 300 μm. In addition, the metal ball may be directly soldered on the surface of the contact pad 8000 exposed by the polymer layer opening 990 or on the under bump metal layer 891, and the under bump metal layer 891 formed to solder the metal ball may be Composite layers (from bottom to top) as described below, including: titanium/nickel, titanium/copper/nickel, titanium tungsten alloy/nickel, titanium tungsten alloy/copper/nickel, titanium/nickel/gold, titanium /copper/nickel/gold, titanium tungsten alloy/nickel/gold, titanium tungsten alloy/copper/record/gold, titanium/copper/nickel/palladium, titanium tungsten alloy/copper/nickel/palladium, chromium/chromium copper alloy, nickel Vanadium alloy / copper, nickel / copper, nickel vanadium alloy / gold, nickel / gold or nickel / K. In addition, after the metal ball is adhered, a s〇lder reflow process is usually required. After the contact structure 89 is formed, 'the wafer on the wafer is sliced or cut by sawing or cutting' to perform package miscellaneous to be connected to the parallel circuit, wherein the method of assembly may be wire bonding (connecting to external organic, ceramic, glass) The pendant on the substrate is either connected to the lead frame or the flexible tape, the tape automatic bonding (TAB), the tape reel (9) *^(taPe_ehiP_earrier· 'TCP), glass-sealed 1344686

MEGA 06-015TWB 裝(COG)、晶>;直接封裝(c〇B)、球閘陣列基板覆晶封裝(师物 on BGA substrate)、薄膜覆晶接合(c〇F)、薄膜覆晶封裝(啊〇n flex)堆叠型夕曰曰片封裝結構(chip_〇n chip他成interc〇nnecti〇n)、 矽基底上堆疊型晶片封裝結構(chip_〇n_Si substrate灿故 interconnection)等等。 在第UC圖至第1张圖中所示之浮凸製程中,其係揭露出形 成-圖案化金屬層的步驟是為:形成黏著/阻障/種子層一次,隨後 • 形成一光阻層以及電鍍此圖案化金屬層也是只有一次,最後再去 除光阻層’並絲侧金·覆蓋之姆/轉/軒層去除。 此種型式的製程稱為單次浮凸製程(singl_b〇ss process),亦即此 籲製程在去除未翻案化金屬層覆蓋的黏著/轉/種子層之前,僅包 括一次的微影製程以及一次的電鍍製程。MEGA 06-015TWB (COG), crystal >; direct package (c〇B), flip-chip array substrate flip-chip package (under-bGA substrate), film flip-chip bonding (c〇F), thin film flip chip package (〇 〇 n flex) stacked 曰曰 片 package structure (chip_〇n chip he into interc〇nnecti〇n), 矽 substrate stacked chip package structure (chip_〇n_Si substrate possible connection) and so on. In the embossing process shown in the UC to the first drawing, the step of exposing the formed-patterned metal layer is to form the adhesion/barrier/seed layer once, and then to form a photoresist layer. And the plating of the patterned metal layer is also only once, and finally the photoresist layer is removed and the wire side gold/overlay/turn/xuan layer is removed. This type of process is called a single embossing process (singl_b〇ss process), which means that the process includes only one lithography process and one time before removing the adhesion/transfer/seed layer covered by the unreversed metal layer. Electroplating process.

形成金屬栓塞 雙浮凸製程(double-embossing process)可以透過同—勸著/ 阻障/種子層來形成-圖案化金屬層與一金屬栓塞㈨㈣,而在 去除未被圖案化金屬層覆蓋的黏著/阻障/種子層之前,完成兩a 微影製程以及電鑛製程’其中第一次的微影製程與電:二 來形成圖案化金屬層’而第二次的微影製程與電鍍製程則:來 請同時參卿16A 第16D圖所示,其係揭露出在 圖或第15B圖所示之晶圓1()上形成保護層上方結構8 程。雙浮凸製程有和第15C圖至第15G圖所示之單次製程=同的 105 1344686A double-embossing process can be formed by the same-persuasion/barrier/seed layer-patterned metal layer and a metal plug (9) (4), while removing the adhesion not covered by the patterned metal layer Before the barrier/seed layer, complete the two a lithography process and the electro-mine process 'the first lithography process and electricity: two to form the patterned metal layer' and the second lithography process and electroplating process : At the same time, please refer to Figure 16A, Figure 16D, which reveals that the structure above the protective layer is formed on the wafer 1() shown in Fig. 15B. The double embossing process has the same single process as shown in Fig. 15C to Fig. 15G = the same 105 1344686

MEGA 06-015TWBMEGA 06-015TWB

製作步驟。在第15G圖中,其係將光阻去除,並留下未在厚金屬 層隨下的轉轉/種子層则卜至此雙浮凸製㈣步驟開始 與早次洋凸製程有所不同,請同時參閱第16a圖至第亂圖所示, 其係揭露出藉由使用-雙浮凸製程形成圖案化金屬層剛與金屬 栓塞8卵’以及使用—單次浮凸製鄉成最之金制如2的方 式:形成本發明所有實施射保護層上方之酿化金屬層結構的 範例彻第欠賴影製程與额製㈣朗案化金屬層 1如第1犯圖至第15G圖所示。接著’請同時參閱第财圖 與第视圖所示’在黏著/阻障/種子層8㈣的種子層以及利用電 鑛形成的厚金屬層8G12上’沈積—紐層72,並對此光阻層72 進仃圖案化’使光阻層72 :⑴在厚金屬層觀上形成光阻層開 2〇並利用光阻層開口 720暴露出厚金屬層_2;以及/或是 ()在黏著/阻障/種子層_的種子層上形成光阻層開口徽並Production steps. In Figure 15G, it removes the photoresist and leaves the transition/seed layer that is not under the thick metal layer. The double embossing (4) step starts from the early sub-convex process, please At the same time, as shown in Fig. 16a to the chaotic diagram, it is revealed that the pattern metal layer is formed by using the double embossing process and the metal plug is just the same as the metal plug 8 and the use of a single embossing system is the best gold system. The method of 2: forming an example of the structure of the brewed metal layer above all of the radiation protection layers of the present invention is completely inferior to the shadow process and the quota (4) of the metallization layer 1 as shown in the first to the fifteenth. Then, please refer to the 'Funding/Blocking/Seed Layer 8 (4) seed layer and the thick metal layer 8G12 formed by the electric ore to form the 'deposition-new layer 72' and the photoresist The layer 72 is patterned to make the photoresist layer 72: (1) forming a photoresist layer on the thick metal layer and exposing the thick metal layer_2 by using the photoresist layer opening 720; and/or () bonding a photoresist layer opening emblem is formed on the seed layer of the barrier/seed layer_

利用此光崎開π 720’暴露出黏著/啡/種子層觀的種子層。 續在光阻層72移除之前,實施第二次電鍍製程以在光阻層開 72〇内七成金屬栓塞898。另外’在黏著/阻障/種子層 8011的種The seed layer of the adhesive/morph/seed layer was exposed using this light π 720'. Continued before the photoresist layer 72 is removed, a second electroplating process is performed to open the metal plug 898 within 72 开 of the photoresist layer. In addition, the type of adhesion/barrier/seed layer 8011

子層上亦可形成水平準位低於金屬检塞柳之一金屬層驟此 金屬層898可用在封裝用途上。此金屬層898,可以是比厚金屬層 8012薄’也可以是比厚金屬層驗厚,當金屬層 898’的厚度小於 厚金屬層8012的厚度時,例如小於5微米(在較佳的情況是介於! 微米至3微*之間)’金屬層驟可以絲雜比厚金屬層8012 106 ⑧ 1344686The metal layer 898 can also be formed on the sub-layer to have a horizontal level lower than that of the metal plug. The metal layer 898 may be thinner than the thick metal layer 8012 or may be thicker than the thick metal layer. When the thickness of the metal layer 898' is less than the thickness of the thick metal layer 8012, for example, less than 5 microns (in the preferred case) Is between! Micron to 3 micro*) 'Metal layer can be finer than thick metal layer 8012 106 8 1344686

MEGA06-015TWB 繞線密度高的連接線路(interconnection),然而當金屬層柳,的厚 •度大於厚金屬層8012的厚度時,例如大於5微米(在較佳的情況是 介於5微米至1〇微米之間),金屬層898,可以用來製作比厚金屬 層8012電阻更低的連接線路。再來,請參閱第16c圖所示,去除 光阻層72,以暴露出厚金屬層8012、金屬栓塞898、金屬層卯8, 以及未在厚金屬層8012與金屬層898,下的黏著/阻障/種子8〇n。 明參閱第16D圖所示,利用澄姓刻(wet etch)以及/或是乾姓刻 籲 etch)去除未在厚金屬層8012與金屬層898,下的黏著/阻障/種子層 801卜因此,圖案化金屬層80卜金屬栓塞898與金屬層898,形成 在第16D圖所示的這個階段中。繼續請參閱第16E圖所示,一聚 合物層98形成在金屬栓塞898、金屬層898,、圖案化金屬層8〇】 以及暴露出的第一聚合物層95上。請參閱第16F圖所示,利用研 磨、機械研磨或化學機械研磨製程,平坦化聚合物層98的表面, 直至暴露出金屬栓塞898為止。再來,請同時參閱第16G圖至第 籲ΐόκ圖所示’其係揭露出利用如第况圖至第1SK圖所述之相同 單次浮凸製程形成一圖案化金屬層802的製作步驟。繼續,請參 閱第16L圖所示’最後沈積且圖案化一頂端聚合物層99以完成一 具有兩圖案化金屬層801、802的保護層上方結構8。此外,在組 裝(assembly)以及/或是封裝上,亦可如第】几圖所示,形成一接觸 結構89在聚合物層開口 990暴露出的接觸接墊8〇⑻上。另,第 籲15D圖至第15G圖和第16A圖至第i6D圖所述之用來形成圖案化 1344686MEGA06-015TWB is a high-density connection, however, when the thickness of the metal layer is greater than the thickness of the thick metal layer 8012, for example, greater than 5 microns (preferably between 5 microns and 1) Between the micron), the metal layer 898 can be used to make a lower resistance than the thick metal layer 8012. Then, referring to FIG. 16c, the photoresist layer 72 is removed to expose the thick metal layer 8012, the metal plug 898, the metal layer 卯8, and the adhesion under the thick metal layer 8012 and the metal layer 898. Barrier/seed 8〇n. Referring to Figure 16D, the adhesion/barrier/seed layer 801 under the thick metal layer 8012 and the metal layer 898 is removed by using the wet etch and/or the dry etch. The patterned metal layer 80, the metal plug 898 and the metal layer 898, are formed in this stage as shown in Fig. 16D. Continuing to see Figure 16E, a polymer layer 98 is formed over metal plug 898, metal layer 898, patterned metal layer 8 and exposed first polymer layer 95. Referring to Figure 16F, the surface of polymer layer 98 is planarized by a grinding, mechanical or chemical mechanical polishing process until metal plug 898 is exposed. Further, please refer to the description of Fig. 16G to Fig. ́, which shows the fabrication steps of forming a patterned metal layer 802 by the same single embossing process as described in the first to the first FIG. Continuing, refer to the final deposition and patterning of a top polymer layer 99 as shown in Figure 16L to complete a protective layer over structure 8 having two patterned metal layers 801, 802. In addition, a contact structure 89 may be formed on the contact pads 8 (8) exposed by the polymer layer openings 990, as shown in the figures, on the assembly and/or the package. In addition, the first 15D to 15G and the 16A to the i6D are used to form the pattern 1344686

MEGA 06-015TWB 金屬層801以及金屬栓塞898之雙浮凸製程的製作步驟亦可重 φ複使用在形成第二圖案化金屬層(最頂端之金屬層)與第二金屬检 塞(圖中未示)上,且此第二金屬栓塞可以用來作為連接至外部電路 的接觸結構。最後,有關第16A圖至第16L圖的敘述與解說係適 用於本發明之所有實施例中。 請參閱第17A圖至第17J圖所示,其係揭露出一保護層上方 結構8形成圖案化金屬層8(H、圖案化金屬層8〇2以及圖案化金屬 • 層803的製程步驟,其中圖案化金屬層801與圖案化金屬層8〇2 是利用一雙浮凸製程來形成,而圖案化金屬層8〇3則是利用一單 次浮凸製程來形成。首先,如第15D圖至第15G圖和第16A圖至 φ第16D圖所述’利用第一次的雙浮凸製程來形成圖案化金屬層801 以及金屬栓塞898。接著,如第16E圖至第16F圖所示之製程步 驟,在形成一聚合物層98之後,平坦化此聚合物層98,直至暴露 出金屬栓塞898為止。繼續請參閱第ι7Α圖所示,在形成圖案化 鲁金屬層802前的製程步驟係與第16F圖以雙浮凸製程形成圖案化 金屬層801、金屬栓塞898與聚合物層98的製程步驟相同。然而, 為了能容納一額外的金屬層,第17A圖之圖案化金屬層8〇1與金 屬拾塞898的設計係略微地與第i6F圖之圖案化金屬層801與金 屬栓塞898的設計有所不同。再來,請同時參閱第17A圖至第17G 圖所示,重複第15D圖至第15G圖和第16A圖至第16D圖所述 之製程步驟以形成一圖案化金屬層8〇2、一金屬栓塞897和一聚合 108 ⑧MEGA 06-015TWB metal layer 801 and metal plug 898 double embossing process can also be used to form a second patterned metal layer (topmost metal layer) and a second metal plug (not shown) The second metal plug can be used as a contact structure for connection to an external circuit. Finally, the description and illustration of Figures 16A through 16L are applicable to all embodiments of the present invention. Referring to FIGS. 17A to 17J, a process step of forming a patterned metal layer 8 (H, a patterned metal layer 8〇2, and a patterned metal layer 803) is disclosed. The patterned metal layer 801 and the patterned metal layer 8〇2 are formed by a double embossing process, and the patterned metal layer 8〇3 is formed by a single embossing process. First, as shown in FIG. 15D 15G and 16A to φ16D, 'the first double embossing process is used to form the patterned metal layer 801 and the metal plug 898. Then, as shown in FIGS. 16E to 16F In the step, after forming a polymer layer 98, the polymer layer 98 is planarized until the metal plug 898 is exposed. Continue to see the process steps before forming the patterned metal layer 802 as shown in FIG. Figure 16F is the same as the process of forming the patterned metal layer 801, the metal plug 898 and the polymer layer 98 by a double embossing process. However, in order to accommodate an additional metal layer, the patterned metal layer 8A of Figure 17A Slightly ground with the design of the metal pick 898 The design of the patterned metal layer 801 of the i6F is different from that of the metal plug 898. In addition, please refer to FIGS. 17A to 17G, and repeat the 15D to 15G and 16A to 16D. The process steps illustrated to form a patterned metal layer 8〇2, a metal plug 897, and a polymer 108 8

MEGA 06-015TWB 物層97,並賴金屬栓塞897。在第m圖中,其係以下列方 式形成·⑴沈積-_阻_子層则;⑵沈積朋案化一光 阻層;⑶在此光阻層内的開口電鍍一厚金屬層8〇22 ;以去除 此先阻層,以形成如第17A圖所示之結構。再來,請參閱第17B 圖所示,沈積並_化4阻層74,以形成光阻賴口 在厚 金屬層8〇22上,或者是直接形成光阻層開口 740,在黏著/阻障/種 子層隨的種子層上。請參閱第沉圖,利用電鍵的方式在光 阻層開口 74〇與光阻層開口 ,内形成金屬检塞聊與金屬層 且此金屬層897可以絲作域金屬層898,姻的用途。 請同時參閱第17D圖至第17Ε圖所示,去除光阻層74,並將未在 厚金屬層8〇22與金屬層897,下的黏著/阻障/種子層8021去除。請 同時多閱第17F圖至第i7G圖所示,再來沈積—聚合物層%,並 平坦化此聚合物層97,直至暴露金屬栓塞897為止。接著,請同 時參閱第17Η圖至第171圖所示,其係揭露出使用—單次浮凸製 私來升/成圖案化金屬層’的步驟,敘述如下··⑴沈積黏著/阻 Ρ章/種子層㈣;(2)沈積並圖案化—級層;⑶電娜成一厚金 屬層8032;以及⑷去除光阻層,並以自我對準触刻(sdf命& e㈣ 的方式去除未在厚金屬層8〇32下之黏著/(!且障/種子層8〇31。最 後叫參閱第m圖所示,其係揭露出藉由沈積一頂端聚合物層 99 ’以及贿化頂端聚合歸99碱聚合物層開口 暴露出作 1344686MEGA 06-015TWB layer 97, and metal plug 897. In the mth figure, it is formed in the following manner: (1) deposition--resistance_sub-layer; (2) deposition of a photoresist layer; (3) electroplating of a thick metal layer 8 〇 22 in the photoresist layer The first resist layer is removed to form a structure as shown in FIG. 17A. Then, as shown in FIG. 17B, the photoresist layer 74 is deposited and patterned to form a photoresist barrier on the thick metal layer 8〇22, or directly forms the photoresist layer opening 740, in the adhesion/barrier. / Seed layer on the seed layer. Referring to the first sinking diagram, the opening of the photoresist layer 74 〇 and the photoresist layer are formed by means of a key, and a metal check layer and a metal layer are formed therein, and the metal layer 897 can be used as a domain metal layer 898. Referring to FIGS. 17D to 17th, the photoresist layer 74 is removed, and the adhesion/barrier/seed layer 8021 which is not under the thick metal layer 8〇22 and the metal layer 897 is removed. Please read the pattern of the 17F to the i7G at the same time, and then deposit the polymer layer % and planarize the polymer layer 97 until the metal plug 897 is exposed. Next, please refer to the 17th to 171th drawings, which reveals the steps of using a single embossing to lift/form the patterned metal layer, as described below. (1) Deposit adhesion/resistance chapter / seed layer (four); (2) deposited and patterned - level layer; (3) enamel into a thick metal layer 8032; and (4) remove the photoresist layer, and remove it by self-aligned etch (sdf life & e (four) Adhesion of the thick metal layer 8〇32/(! and the barrier/seed layer 8〇31. Finally, as shown in the mth figure, it is revealed by depositing a top polymer layer 99' and bribing the top polymerization. 99 alkali polymer layer opening exposed as 1344686

MEGA 06-015TWB 為連接線路(interconnection)連接至外部電路之一接觸接整8000的 一完整結構。 請參閱第18A圖至第181圖所示,其係揭露出一保護層上方 結構形成圖案化金屬層80卜圖案化金屬層802以及圖案化金屬層 803的另一種製程步驟,其中圖案化金屬層8〇1與圖案化金屬層 803係利用一單次浮凸製程來形成,而第二層金屬層則是利用一雙MEGA 06-015TWB is a complete structure for one of the external circuits connected to the external circuit 8000 for the connection. Referring to FIGS. 18A to 181, another process step of forming a patterned metal layer 80 and a patterned metal layer 802 and a patterned metal layer 803 is disclosed. 8〇1 and patterned metal layer 803 are formed by a single embossing process, while the second metal layer is utilized by a pair

浮凸製程來形成。首先請參閱第18A圖所示,其係利用如第15D 圖至第15H圖所述之單次浮凸製程來形成圖案化金屬層8〇1。接 著,以第151圖所述之製程步驟,沈積形成一聚合物層98,並對 聚合物層98進行随化,則彡成聚合物層開口 98()暴露出圖案化 金屬層80卜然而’為了能容納一額外的金屬層,第i8A圖之圖 案化金屬層则與聚合物層開σ _的設計係略微地與第⑸圖之 圖案化金屬I 801與聚合物層開口 98〇的設計有所不同。再來, 請參閱第18Β圖至第18G圖所示,其係揭露出使用—雙浮凸製程 來形成-圖案化金屬層以及一金屬栓塞897的製程步驟並 敘述如下:⑴請參閱第18B圖所示,沈積形成—黏著/阻 層8021 ; (2)請參閲第丨% 光阻層72,並對光阻層 灯圖案化_献_㈣72G,接著在触層7 厚金屬層8022;以及⑶去除光—二 如第18D圖所不之結構。冉 傅*來’明參閱第18Ε圖所示 一光阻層73,並圖宰化η伞 積升ν成 圃荼化此先阻層73以形成光阻層開口 no在厚金 1344686The embossing process is formed. First, referring to Fig. 18A, the patterned metal layer 8〇1 is formed by a single embossing process as described in Figs. 15D to 15H. Next, in the process step described in FIG. 151, a polymer layer 98 is deposited and the polymer layer 98 is subjected to a chemical composition, and then the polymer layer opening 98 () exposes the patterned metal layer 80. In order to accommodate an additional metal layer, the patterned metal layer of the i8A pattern is slightly different from the design layer of the polymer layer σ _ and the patterned metal I 801 and the polymer layer opening 98 第 of the (5) figure. Different. Referring again to FIGS. 18 to 18G, the process steps of forming a patterned metal layer and a metal plug 897 using a double embossing process are disclosed and described as follows: (1) Please refer to FIG. 18B As shown, the deposition forms an adhesion/resist layer 8021; (2) see the 丨% photoresist layer 72, and patterned the photoresist layer lamp, followed by a thick metal layer 8022 in the contact layer 7; (3) Removal of light - two structures as shown in Fig. 18D.傅 傅 来 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明 明

MEGA 06-015TWB 屬層8022上’以及/或是形成光阻層開口 73〇,在黏著/阻障/種子層 φ 8021的種子層上。繼續’利用電鍍的方式,在光阻層開口 730、 730内形成金屬栓塞897與金屬層(metai piece)897’,而此金屬層 897’可以用來作為如第16D圖所述之金屬層898,的相同用途。請 參閱第18F圖至第18G圖所示,去除光阻層73 ,以及將未在厚金 屬層8022與金屬層897’下的黏著/阻障/種子層8〇21去除。請參閱 第18H圖所示,再來沈積一聚合物層97,並平坦化此聚合物層97 • 直至暴露金屬栓塞897為止。最後,請參閱第181圖所示,其係揭 露出利用第17H圖至第171圖所述之單次浮凸製程形成圖案化金 屬層803,並藉由沈積一頂端聚合物層99以及圖案化此頂端聚合 _物層99形成聚合物開口 990暴露出作為連接線路(interc〇nnecti〇n) 連接至外部電路之一接觸接墊8〇〇〇的一完整結構。 。月同時參閱第19A圖至19G圖所示,其係揭露出在如第μα 圖或第15B圖所示之晶圓10上形成一保護層上方結構8的製程, ♦其中圖案化金屬層8〇1是利用一雙浮凸製程來形成,而圖案化金 屬層8〇2則是利用-單次浮凸製程來形成。首先,在第嫩圖中, 利用第15D圖至第15G圖和第16A圖至第16F圖所述之雙浮凸製 程步驟形成圖案化金屬層8〇卜金屬栓塞的8、金屬層哪,和聚合 物層98。接著,請同時參閱第撤圖至第圖所示,其係利用 如第15C圖至第15K圖所述之相同單次浮凸製程步驟形成一圖案 111 (s) 1344686 ^ΕΟΑΟδ-Ο^χψΒThe MEGA 06-015TWB is on the layer 8022 and/or forms the photoresist layer opening 73A on the seed layer of the adhesion/barrier/seed layer φ 8021. Continuing with the manner of electroplating, a metal plug 897 and a metal layer 897' are formed in the photoresist layer openings 730, 730, and the metal layer 897' can be used as the metal layer 898 as described in FIG. 16D. , the same use. Referring to Figs. 18F to 18G, the photoresist layer 73 is removed, and the adhesion/barrier/seed layer 8〇21 which is not under the thick metal layer 8022 and the metal layer 897' is removed. Referring to Figure 18H, a polymer layer 97 is deposited and the polymer layer 97 is planarized until the metal plug 897 is exposed. Finally, referring to FIG. 181, it is revealed that the patterned metal layer 803 is formed by the single embossing process described in FIGS. 17H to 171, and a top polymer layer 99 is deposited and patterned. The top polymer layer 99 forms a polymer opening 990 exposing a complete structure that is connected as a connection line to one of the contact pads 8 of the external circuit. . Referring also to FIGS. 19A to 19G, the process of forming a protective layer upper structure 8 on the wafer 10 as shown in FIG. 51 or FIG. 15B is revealed, wherein the patterned metal layer 8 is patterned. 1 is formed by a double embossing process, and the patterned metal layer 8 〇 2 is formed by a single embossing process. First, in the first embodiment, the double embossing process steps described in the 15D to 15G and the 16A to 16F are used to form the patterned metal layer 8 and the metal layer 8 and the metal layer, and Polymer layer 98. Next, please refer to the same figure as shown in the figure, which uses the same single embossing process as described in Figures 15C to 15K to form a pattern 111 (s) 1344686 ^ΕΟΑΟδ-Ο^χψΒ

化金屬層8〇2、-聚合物層97、—頂部魏聚合滅"及一聚合 物層開口 990暴露出接觸接墊8〇〇〇,在此不再詳加敘述。 最後,請參閱19Η圖所示,將晶圓鋸切(切割)成複數個單獨 a曰片,並透過單獨晶片上的接觸接墊8000連接外部電路,例如利 用打線製程的打線導線的’(如金線、紹線或銅線)連接外部電路。 接下來,請參閱第21A圖至第21M圖所示,其係為本發明综 合上述各實施顺鑛層上方結構之技細容而細在動態隨機The metal layer 8〇2, the polymer layer 97, the top polymerization and the polymer layer opening 990 expose the contact pads 8〇〇〇, and will not be described in detail herein. Finally, as shown in Figure 19, the wafer is sawed (diced) into a plurality of individual a-chips and connected to external circuits through contact pads 8000 on separate wafers, such as wire bonding wires using wire bonding processes (eg Gold wire, wire or copper wire) is connected to an external circuit. Next, please refer to FIG. 21A to FIG. 21M, which are detailed in the dynamic randomness of the present invention in combination with the above-mentioned various implementations of the structure above the ore layer.

存取。己憶體(DRAM)s曰曰片上之-範例。首先請參閱第21A圖所示, 在如第15A圖或第ι5Β圖所示之晶圓1()中具#複數動態隨機存 取記憶體單元(圖中未示)、複數晶片接外電路40 α及複數内部電 路20,另有至少一電子保險絲(dectricalfijse,ε也记)25及至少一 雷射保險絲(laser㈣26分卿成在晶圓ω的細線路結構6内, 且此細線路結構6包括有四個接點,分別為第—接點、第二接點、access. The example of the memory (DRAM) s on the film. First, as shown in FIG. 21A, in the wafer 1 () shown in FIG. 15A or FIG. 5A, there are # complex dynamic random access memory cells (not shown), and the plurality of chip external circuits 40 The alpha and complex internal circuits 20, and at least one electronic fuse 25 and at least one laser fuse (laser 26) are formed in the fine line structure 6 of the wafer ω, and the fine circuit structure 6 includes There are four contacts, which are the first contact, the second contact,

第三接點與細接點(时未示),的子保_ 25包括有一第一 端點與-第二端點(圖中未示)’並湘此電子保險絲25的第一端 點與第二端點分別連接細線路結構6的第—接點與第二接點(比如 是細線路結構6中的一第一細線路金屬層之一第一接點及一第二 接點),此外雷射保險絲26也包括有一第一端點與一第二端點(圖 中未朴亦利用此雷射紐絲26的第一端點與第二端點分別連接 細線路結構6的第三接點與第四接點(比如是細線路結構6中的一 第二細線路金屬層之-第-接點及—第二接點),至於有關晶圓ι〇 ⑧ 1344686The third contact and the thin contact (not shown), the sub-protection _ 25 includes a first end point and a second end point (not shown) and the first end point of the electronic fuse 25 The second end point is respectively connected to the first contact and the second contact of the thin circuit structure 6 (for example, a first contact and a second contact of one of the first thin circuit metal layers in the fine circuit structure 6), In addition, the laser fuse 26 also includes a first end point and a second end point (the first end point and the second end point of the laser wire 26 are connected to the third line of the fine line structure 6 respectively. The contact point and the fourth contact point (for example, a second thin line metal layer in the fine line structure 6 - the first contact point and the second contact point), as for the relevant wafer ι〇8 1344686

MEGA 06-015TWB 的結構及形成方法請參考上述第圖的内容所述,而設於基底 參1上的内部電路2〇請參考第圖系列中有關内部電路2〇的部 分’晶片接外電路4〇的部分則請參考第三實施例中有關晶片接外 電路40的相關部分。 在上述内容中,電子保險絲25是由厚度介於2〇0埃至2,〇〇〇 埃之間的一多晶矽(p〇ly silicon)層251以及位於多晶矽層251上而 厚度’I於1,000埃至3,〇〇〇埃之間的一金屬石夕化㈤丨丨以如)層252構 φ 成’其中金屬石夕化層252的材質包括鈦、# '鎳或鶴,而電子保 險絲25在未燒斷前的片電阻係介於〗歐姆至15歐姆之間,此外 在電子保險、絲25上以及/或是下具有介電常數小於3的一絕緣層, φ此絕緣層包括一氧矽化合物。另,雷射保險絲26的材質包括銅、 鋁或多晶矽,且保護層5的一開口 526形成在此雷射保險絲26上, 此開口 526係暴露出位在雷射保險絲20上的一氧化矽(siliC0n oxide)層(圖中未示)。 籲 接著’在繼續後續步驟之前’進行第一次晶圓電性測試,以 找出晶圓10内完全好的晶粒、完全壞的晶粒以及可修復的晶粒, 並對可修復的晶粒進行雷射修補(laser repairp雷射修補是以雷射 麟雷射保險絲26的方式,使雷射保險絲26的第-端點與第二 端點形成斷路’如第21B圖所示,令可修補的晶粒變成完全好的 晶粒。再來,請參閱第21C圖所示’形成一聚合物層95在保護層 Φ 5、保護層開口 5〇所暴露出之金屬接墊_以及開口 526所暴露 113 ⑧ 1344686For the structure and formation method of MEGA 06-015TWB, please refer to the contents of the above figure, and the internal circuit 2 provided on the substrate 〇1, please refer to the part of the series of internal circuits 2〇's external circuit 4 For the part of the 〇, please refer to the relevant part of the third embodiment for the external circuit of the wafer. In the above, the electronic fuse 25 is a polysilicon layer 251 having a thickness of between 2 Å and 2 Å, and is located on the polysilicon layer 251 and has a thickness of 'I1. 000 angstroms to 3, a metal stone between the 〇〇〇 ( 五 五 五 五 五 五 丨丨 层 层 层 层 层 层 252 252 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中 其中25 The sheet resistance before unburning is between ohm and 15 ohms, and further has an insulating layer on the electronic fuse, the wire 25 and/or the dielectric constant less than 3, φ the insulating layer comprises a Oxime compound. In addition, the material of the laser fuse 26 includes copper, aluminum or polysilicon, and an opening 526 of the protective layer 5 is formed on the laser fuse 26, and the opening 526 exposes the niobium oxide located on the laser fuse 20 ( siliC0n oxide) layer (not shown). The next wafer electrical test was performed 'before continuing the next step' to find the perfect grain, completely bad grain and repairable grain in the wafer 10, and the repairable crystal The laser is repaired by laser (laser repairp laser repair is in the form of a laser-based laser fuse 26, causing the first end of the laser fuse 26 to form an open circuit with the second end point) as shown in Fig. 21B. The repaired crystal grains become completely fine crystal grains. Next, please refer to FIG. 21C to form a metal layer 95 in the protective layer Φ 5, the protective layer opening 5 暴露 exposed metal pads _ and the opening 526 Exposed 113 8 1344686

MEGA 06-015TWB 出之氧化石夕層Jl ’然後對此聚合物詹95進行圖案化’使聚合物層 鲁95形成複數聚合物層開口 950。繼續,請參閱第21D圖所示形 成一黏著/阻障/種子層8011在聚合物層95以及聚合物開口 95〇暴 露出的部分上’然後請參閱第21E圖所示,在黏著/阻障/種子層 8011上形成一圖案化的光阻層71,並在此光阻層7丨的光阻層開 口 710内沈積一厚金屬層8〇12,如第21F圖所示。請同時參閱第 21G圖至第训圖所示’去除光阻層,然後將未在厚金屬層8〇12 •下的黏著/阻障/種子層8011去除’進而形成圖案化金屬層801,此 圖案化金屬層801包括圖案化金屬層8〇ia(包含厚金屬層8〇12&及 黏著/阻障/種子層8011a)與圖案化金屬層8〇lb(包含厚金屬層 8012b及黏著/阻障/種子層8〇Ub)。其中,圖案化金屬層用於 相互連接内部電路20’並使内部電路20可透過圖案化金屬層斷 傳輸訊號或資料,或是藉由圖案化金屬層801a提供内部電路20 所需之電源,而圖案化金屬層8〇lb則作為重新配置線路之用,使 •連接晶片接外電路40的金屬接墊600利用重配置線路重新定位到 一不同位置的接觸接墊。請參閱第211圖所示,形成一頂端聚合物 層99在暴露出之聚合物層95以及圖案化金屬層8〇1(包括8〇丨&與 801b)上,然後圖案化此頂端聚合物層99 ’以形成聚合物開口 暴露出圖案化金屬層801b連接至外部電路的一接觸接墊8〇〇〇。接 著"Tk擇進行第二次晶圓電性測試,以找出晶圓1〇内完全好的 φ aa粒、凡全壞的晶粒以及可修復的晶粒,並對晶圓1〇内可修復的 114 1344686The oxidized stone layer Jl' of MEGA 06-015TWB is then patterned to polymerize the polymer layer 95 to form a plurality of polymer layer openings 950. Continuing, see Figure 21D to form an adhesion/barrier/seed layer 8011 on the polymer layer 95 and the exposed portion of the polymer opening 95'. See also Figure 21E for the adhesion/barrier. A patterned photoresist layer 71 is formed on the seed layer 8011, and a thick metal layer 8〇12 is deposited in the photoresist layer opening 710 of the photoresist layer 7丨, as shown in FIG. 21F. Please also refer to the 'removal of the photoresist layer, and then remove the adhesion/barrier/seed layer 8011 which is not under the thick metal layer 8〇' to form the patterned metal layer 801 as shown in FIG. 21G to the first drawing. The patterned metal layer 801 includes a patterned metal layer 8〇ia (including a thick metal layer 8〇12& and an adhesion/barrier/seed layer 8011a) and a patterned metal layer 8〇b (including a thick metal layer 8012b and adhesion/resistance). Barrier/seed layer 8〇Ub). Wherein, the patterned metal layer is used to interconnect the internal circuit 20' and allow the internal circuit 20 to transmit signals or data through the patterned metal layer, or to supply the power required by the internal circuit 20 by the patterned metal layer 801a. The patterned metal layer 8 lb is used as a reconfiguration line to reposition the metal pads 600 connecting the wafer external circuitry 40 to a different location of the contact pads using the reconfiguration circuitry. Referring to FIG. 211, a top polymer layer 99 is formed on the exposed polymer layer 95 and the patterned metal layer 8〇1 (including 8〇丨& and 801b), and then the top polymer is patterned. Layer 99' exposes a contact pad 8'' to expose the patterned metal layer 801b to an external circuit. Then, “Tk select the second wafer electrical test to find out the complete φ aa grain in the wafer, all the bad grains and the repairable grains, and the wafer is within 1 inch. Repairable 114 1344686

MEGA 06-015TWB 晶粒進行電子修離^ ,其方式是在%鮮至腦微 籲t時間β把加於〇.〇5安培至2安培的一電流通過電子保險絲 巩以在刚婦至_婦時_,絲松αι魏至〗安择 的-電流通過電子餘絲25為較佳者),使電伟_ Μ燒斷, 讓電子保險絲25的金屬石夕化層252形成-缺口 252,,令電子保險 絲25的第-端點與第二端點之間的電流是透過多晶石夕層⑸傳 遞,而金屬石夕化層252形成斷路,如第21J圖所示,讓可修復的 _晶粒變成完全好的晶粒。此時,躺之電子紐絲Μ的片電阻是 介於K)〇歐姆至1G,_歐姆之間。接著,進行後抓㈣鑛切(切 步驟3 ’亦可在進行晶圓鋸切(蝴说選擇性進行第三次晶 圓電选顧以找出晶圓1〇内完全好的晶粒、完全壞的晶粒以及 可修復的晶粒。 口月 > 閱第21K圖所不’將完成保護層上方結構的晶圓川鑛切 ⑽J)成複數個晶片10,,且該些晶片1〇,的接觸接墊_〇可利用 鲁打線製程的打線導線(金線、銘線或鋼線)連接至外部電路,另外 此時可以選擇性將前述第三次晶圓電性測試所找出之完全壞的晶 粒於晶_切(_)舒棄謂省後續步驟於此完全壞的晶 粒上進行封襄的費用。請參閲瓜圖所示,利用一黏著層㈣晶 片1〇固疋在一封裝基板上,例如有機基板13,接著進行打線步 驟’以一打、線導線的,連接接觸接塾麵與接墊Μ。繼續,進行 擊封裝步驟(例如球間陣列封裝,BGA),以-封裝層17封裝固定在 115 1344686MEGA 06-015TWB die for electronic repair ^, the way is in the fresh to the brain micro-attack t time to add a current to the 〇. 〇 5 amp to 2 amps through the electronic fuse Gong to the woman to _ women When _, 丝松αι魏至〗 ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ ─ The current between the first end point and the second end point of the electronic fuse 25 is transmitted through the polycrystalline layer (5), and the metal slab layer 252 forms an open circuit, as shown in Fig. 21J, allowing repairable _ The grains become completely fine grains. At this time, the sheet resistance of the electronic wire entangled is between K) 〇 ohm to 1G, _ ohm. Then, after the scratching (four) ore cutting (cutting step 3 ' can also be performed on the wafer sawing (the selective third wafer selection to find out the complete fine grain in the wafer, completely Bad grains and repairable grains. Mouth month> No. 21K does not 'complete the wafers (10) J of the structure above the protective layer into a plurality of wafers 10, and the wafers are 1 〇, The contact pads _ 〇 can be connected to the external circuit by using the wire of the rug line process (gold wire, Ming wire or steel wire), and at this time, the third wafer electrical test can be selectively found completely. The bad grain in the crystal_cut (_) is the next step in the province to save the cost of sealing on the completely bad grain. Please refer to the melon figure, using an adhesive layer (four) wafer 1 〇 疋On a package substrate, such as the organic substrate 13, followed by a wire bonding step of "connecting the contact pads and the pads" with a dozen wires, and continuing the bonding step (eg, ball-to-array package, BGA) to - Encapsulation layer 17 package is fixed at 115 1344686

MEGA 06-015TWB 有機基板13上的晶片i〇,。此外,固定在有機基板13上的晶片⑴, 鲁亦可是堆疊型式的兩個晶片1〇’或者是多個晶片1〇,。請參閱第 應圖所不’其係為兩晶片1G’彻墊高墊η堆疊形成在有機基 板13上的-範例,如圖所示,兩晶片1〇,均利用打線導線89,連接 接觸接塾麵與同-接墊15,惟此兩晶片1〇,的打線導線89,亦 可分別連接不同的接塾15上,而非連接到同一接塾15上。另, 上述的封裝基板亦可以是導線架(leadfeame),而墊高塾^的材質 % 比如矽或銅。 凊參閱第21N圖所示’其係為第21L圖應用在一動態隨機存 取記憶體的俯視示意圖,如圖所示,一動態隨機存取記憶體的輸 _入/輸出接妓沿著動賴機存取記憶體的中赠設置然而利用 第21L圖所示之晶片1〇’,動態隨機存取記憶體可以透過作為重新 配置線路之圖案化金制祕職射央_人/輸㈣塾重新 配置顺圍哺人/輸丨雜,令動態隨機存取記碰可使用在封 馨裝(例如堆疊封裝)中的打線接合上。 —在完成封裝步驟之後’進行第—次晶片電性測試,並篩選出 二王好的晶片10’、完全壞的晶片10’以及可修復的晶片10’,接 著將好的4 10’進行預燒(bum_in),並於絲預燒後,再次進行 日日片電1·生測5式’以挑選出品質良好的晶片1〇,。至於第一次晶片電 癱性測試_出之可修復的晶片1G,則再次進行上述的電子修補步 驟’使此可修復的晶片1G’變成好的晶片1G,,接著可選擇進行第 116 1344686MEGA 06-015TWB Wafer i on the organic substrate 13. Further, the wafer (1) fixed on the organic substrate 13 may be a stacked wafer of two wafers 1' or a plurality of wafers 1'. Please refer to the same figure as the two wafers 1G'---------------------------------------- The top surface and the same-pad 15 are provided, but the wire bonding wires 89 of the two wafers may be connected to different interfaces 15 instead of the same interface 15. In addition, the above package substrate may also be a lead frame, and the material of the pad is 矽, such as 矽 or copper.凊Refer to Figure 21N, which is a schematic top view of the application of the 21st L picture in a dynamic random access memory. As shown in the figure, a dynamic random access memory has an input/output interface. In the case of the memory access device of the memory access device, however, using the chip 1' shown in Fig. 21L, the dynamic random access memory can be transmitted through the patterning of the reconfigured line as the secret cell _man/transmission (four)塾Reconfigure the sniffing/transmission doping, so that the dynamic random access counter can be used in the wire bonding in the stencil (such as stacked package). - Performing the first wafer electrical test after completing the packaging step, and screening out the two good wafers 10', the completely bad wafers 10' and the repairable wafers 10', and then prepending the good 4 10' After baking (bum_in), and after the wire is pre-fired, the Japanese wafer 1 is again tested and the type 5 is selected to select a wafer of good quality. As for the first wafer electrical test - the repairable wafer 1G, the above-described electronic repairing step is performed again to make the repairable wafer 1G' into a good wafer 1G, and then optional 116 1344686

MEGA 06-015TWB 二次晶片電性測試,以挑選出確實變成好的晶片1〇,,繼續將這此 籲變成好的晶片10’進行預燒’並於完成預燒後,再次進行晶片電性 測試,以挑選出品質良好的晶片10,。 上述的方法以及詳細說着了可應用於隨機存取記憶 體之外’亦可顧於其它_的記㈣上,例如快喂憶體°、靜 態隨機存取記憶體,或者是應用在一邏輯(丨ogic)晶片上。 【圖式簡單說明】 •第1A圖為習知具有-穩壓器或變壓器的電路示意圖。 第1B圖為本發明具有一穩壓器或變壓器的電路示意圖。 第ic圖為本發明利用保護層上方金屬線路或平面輸送電麗μ 和接地參考電壓Vss結構的電路示意圖。 φ第2A圖為習知具有一穩壓器或變壓器的俯視示意圖。 第2B圖為本發明具有一穩壓器或變壓器的俯視示意圖。 第2C圖為本發明利用保護層上方金屬線路或平面輸送賴μ • 和接地參考電壓Vss結構的俯視示意圖。 第3A圖為習知具有一穩壓器或變壓器的剖面示意圖。 第3B圖為本發明具有一穩壓器或變壓器的剖面示意圖。 第3C圖為本發明利用保護層上方金屬線路或平面輸送電壓· 和接地參考電壓Vss結構的剖面示意圖。 第3D圖為本發明具有一穩壓器或變壓器的剖面示意圖。 • 第4圖為本發明之變壓器。 第5A圖為習知内部電路的電路示意圖。 117 ⑤ 1344686MEGA 06-015TWB Secondary wafer electrical test to select a wafer that has indeed become a good one, continue to turn this into a good wafer 10' for pre-burning' and after the pre-burning is completed, the wafer is re-fired. Test to pick out a good quality wafer 10,. The above method and the detailed description can be applied to the random access memory, which can also be considered on other _ (4), such as fast memory, static random access memory, or application in a logic. (丨ogic) on the wafer. [Simple diagram of the diagram] • Figure 1A is a schematic diagram of a circuit with a regulator or transformer. FIG. 1B is a schematic diagram of a circuit having a voltage regulator or a transformer according to the present invention. The first ic diagram is a circuit diagram of the structure of the present invention using the metal line or plane above the protective layer to transport the NMOS and the ground reference voltage Vss. φ Figure 2A is a top plan view of a conventional voltage regulator or transformer. 2B is a top plan view of the present invention having a voltage regulator or transformer. 2C is a top plan view showing the structure of the metal line or the plane above the protective layer and the ground reference voltage Vss. Figure 3A is a schematic cross-sectional view of a conventional voltage regulator or transformer. Figure 3B is a schematic cross-sectional view of a voltage regulator or transformer of the present invention. Figure 3C is a schematic cross-sectional view showing the structure of the metal line or plane transport voltage and the ground reference voltage Vss over the protective layer of the present invention. Figure 3D is a schematic cross-sectional view of a voltage regulator or transformer of the present invention. • Figure 4 is a transformer of the present invention. Figure 5A is a circuit diagram of a conventional internal circuit. 117 5 1344686

MEGA 06-015TWB 第5B圖為本發明第二實施例之一電路示意圖。 ^ 第5C圖為本發明之反相器。 第5D圖為本發明之内部驅動器。 第5E圖為本發明之内部三態緩衝器。 第5F圖為本發明之一記憶體單元透過内部三態緩衝器、保護屏上 的金屬線路或平面以及保護層下的細線路金屬結構連接到—内部 電路之電路示意圖。 鲁第5G圖為本發明之一記憶體單元透過通過電路、保護層上的金屬 線路或平面以及保護層下的細線路金屬結構連接到一内部電路之 電路示意圖。 φ第圖為本發明之一記憶體單元透過閂鎖電路、保護層上的金屬 線路或平面以及保護層下的細線路金屬結構連接到一内部電路之 電路示意圖。 第51圖為本發明之一記憶體單元透過通過電路、内部驅動器、保 春遵層上的金屬線路或平面以及保護層下的細線路金屬結構連接到 一内部電路之電路示意圖。 第5J圖為本發明之一記憶體單元透過閂鎖電路、内部驅動器、保 護層上的金屬線路或平面以及保護層下的細線路金屬結構連接到 一内部電路之電路示意圖。 第5K:圖為本發明第二實施例之一電路示意圖。 第5L圖為本發明之内部接收器。 (Dj 118 1344686MEGA 06-015TWB Figure 5B is a circuit diagram showing a second embodiment of the present invention. ^ Figure 5C is an inverter of the present invention. Figure 5D is an internal drive of the present invention. Figure 5E is an internal tristate buffer of the present invention. Figure 5F is a circuit diagram showing a memory cell of the present invention connected to an internal circuit through an internal tristate buffer, a metal line or plane on the protective screen, and a thin line metal structure under the protective layer. The Luthe 5G diagram is a circuit diagram of a memory cell of the present invention connected to an internal circuit through a circuit, a metal line or plane on the protective layer, and a thin line metal structure under the protective layer. Figure φ is a circuit diagram showing a memory cell of the present invention connected to an internal circuit through a latch circuit, a metal line or plane on the protective layer, and a thin line metal structure under the protective layer. Figure 51 is a circuit diagram showing a memory cell of the present invention connected to an internal circuit through a circuit, an internal driver, a metal line or plane on a spring layer, and a thin line metal structure under the protective layer. Figure 5J is a circuit diagram showing a memory cell of the present invention connected to an internal circuit through a latch circuit, an internal driver, a metal line or plane on the protective layer, and a thin-line metal structure under the protective layer. Section 5K: Figure is a circuit diagram of a second embodiment of the present invention. Figure 5L is an internal receiver of the present invention. (Dj 118 1344686

MEGA 06-015TWB 第5M圖為本發明之内部三態緩衝器。 I第5N圖為本發明之一内部電路透過保護層下的細線路金屬結 構、保護層上的金屬線路或平面以及内部三態緩衝器連接到一記 憶體單元之電路示意圖。 第50圖為本發明之一内部電路透過保護層下的細線路金屬結 構、保護層上的金屬線路或平面以及通過電路連接到一記憶體單 元之電路示意圖。 鲁第5P目為本發明之一内部電路透過保護層下的細線路金屬結構、 保濩層上的金屬線路或平面以及閂鎖電路連接到一記憶體單元之 電路示意圖。 $第5Q圖為本發明之一内部電路透過保護層下的細線路金屬結 構保》蒦層上的金屬線路或平面、内部接收器以及通過電路連接 到一記憶體單元之電路示意圖。 第圖為本發明之一内部電路透過保護層下的細線路金屬結 ♦構似層上的金屬線路或平面、内部接收器以及閃鎖電路連接 到一記憶體單元之電路示意圖。 第5S圖為本發明利用保護層上方的金屬線路或平面連接類比電路 之電路示意圖。 第5T圖為本發明之運算放大器。 第6A圖為習知内部電路的俯視示意圖。 ♦第6B圖為本發明第二實施例之俯視示意圖。 119 1344686 MEGA 06-015TWB 第7A圖為習知内部電路的剖面示意圖。MEGA 06-015TWB Figure 5M is an internal tristate buffer of the present invention. The fifth 5N is a circuit diagram showing the internal circuit of the present invention connected to a memory cell through a fine-line metal structure under the protective layer, a metal line or plane on the protective layer, and an internal tri-state buffer. Figure 50 is a circuit diagram showing an internal circuit of the present invention through a thin-line metal structure under a protective layer, a metal line or plane on a protective layer, and a circuit connected to a memory cell. Lu 5th is a schematic diagram of a circuit in which an internal circuit of the present invention is connected to a memory cell through a thin-line metal structure under the protective layer, a metal line or plane on the protective layer, and a latch circuit. $5Q is a schematic diagram of a circuit in which an internal circuit of the present invention is protected by a thin circuit metal structure under a protective layer, a metal line or plane on the germanium layer, an internal receiver, and a circuit connected to a memory cell. The figure is a schematic diagram of a circuit in which an internal circuit of the present invention is connected to a memory cell through a thin circuit metal junction under a protective layer, a metal line or plane on a layer, an internal receiver, and a flash lock circuit. Fig. 5S is a circuit diagram showing the use of a metal circuit or a planar connection analog circuit above the protective layer in the present invention. Figure 5T is an operational amplifier of the present invention. Figure 6A is a top plan view of a conventional internal circuit. ♦ Figure 6B is a top plan view of a second embodiment of the present invention. 119 1344686 MEGA 06-015TWB Figure 7A is a schematic cross-sectional view of a conventional internal circuit.

案化金屬層之剖面示意 第7B圖為本發明第二實施例具有單層圖 圖。 第7C 圖。 圖為本發明第二實施例具有兩層圖案化金屬層 之剖面示意 層和最底層圖案化金屬層之 第7D圖為本發明第二實施例在保護 間具有一聚合物層的剖面示意圖。 第8A圖為習知晶圓的電路示意圖。Cross-sectional view of the cased metal layer Fig. 7B is a view showing a single layer of the second embodiment of the present invention. Figure 7C. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 7D is a cross-sectional view showing a cross-sectional schematic layer and a bottommost patterned metal layer having two patterned metal layers according to a second embodiment of the present invention, which is a cross-sectional view showing a polymer layer between guards according to a second embodiment of the present invention. Figure 8A is a circuit diagram of a conventional wafer.

第8B圖為本發明第三實施例之一電路示意圖。 第8C圖為本發明第三實施例之—電路示意圖。 第8D圖為本發明第三實施例之一電路示意圖。 第8E圖為本發明第三實施例之一電路示意圖。 第8F圖為本發明第三實施例之一電路示意圖。 第9A圖為習知晶圓的俯視示意圖。 第9B圖為本發明第三實施例之一俯視示意圖。 第9C圖為本發明第三實施例之-俯視示意圖。 第9D圖為本發明第三實施例之一俯視示意圖。 第10A圖為習知晶圓的剖面示意圖。 第10B圖 圖。 為本發明第三實施例具有單層圖案化金屬層之剖面示音Figure 8B is a circuit diagram showing a third embodiment of the present invention. Figure 8C is a circuit diagram of a third embodiment of the present invention. Figure 8D is a circuit diagram showing a third embodiment of the present invention. Figure 8E is a circuit diagram showing a third embodiment of the present invention. Figure 8F is a circuit diagram showing a third embodiment of the present invention. Figure 9A is a top plan view of a conventional wafer. Figure 9B is a top plan view of a third embodiment of the present invention. Figure 9C is a top plan view of a third embodiment of the present invention. Figure 9D is a top plan view of a third embodiment of the present invention. Figure 10A is a schematic cross-sectional view of a conventional wafer. Figure 10B. A cross-sectional representation of a single layer patterned metal layer in accordance with a third embodiment of the present invention

120 1344686120 1344686

MEGA 06-015TWB 第10C圖為本發明第三實施例具有兩層圖案化金屬層之剖面示意 圖0 • ^ 第10D圖為本發明第三實施例在保護層和單層圖案化金屬層最底 層之間具有一聚合物層的剖面示意圖。 第10E圖為本發明第二實施例在保護層和兩層圖案化金屬層最底 層之間具有一聚合物層的剖面示意圖。 第10F圖為習知晶圓具有一打線接合的剖面示意圖。 •第10G圖為本發明第三實施例具有一打線接合的剖面示意圖。 第10H圖為本發明第三實施例具有一打線接合的剖面示意圖。 第101圖為本發明第三實施例具有一打線接合的剖面示意圖。 Φ第11Α圖為本發明之晶片接外驅動器。 第11Β圖為本發明之晶片接外接收器。 第UC圖為本發明之晶片三態緩衝器。 第11D圖為本發明之晶片接外驅動器。 第11E圖為本發明之晶片三態緩衝器。 第11F圖為本發明之靜電放電防護電路。 第11G圖為本發明之串聯驅動器。 第12A _f知外部供應電源直接輸人電壓到内部電路且具有一 靜電放電護電路獅外部供應電騎產生之電壓或電流突波的 電路示意圖。 第12B圖為本發明第四實施例之一電路示意圖。 121 1344686MEGA 06-015TWB FIG. 10C is a schematic cross-sectional view showing a two-layer patterned metal layer according to a third embodiment of the present invention. FIG. 10D is a third embodiment of the present invention in the bottom layer of the protective layer and the single-layer patterned metal layer. A schematic cross-sectional view of a polymer layer. Figure 10E is a schematic cross-sectional view showing a polymer layer between a protective layer and a bottom layer of two patterned metal layers in accordance with a second embodiment of the present invention. Figure 10F is a schematic cross-sectional view of a conventional wafer having a wire bond. • Fig. 10G is a schematic cross-sectional view showing a third embodiment of the present invention having a wire bonding. Fig. 10H is a schematic cross-sectional view showing a wire bonding according to a third embodiment of the present invention. Figure 101 is a cross-sectional view showing a third embodiment of the present invention having a wire bonding. Φ Figure 11 is a wafer external drive of the present invention. Figure 11 is a wafer external receiver of the present invention. The UC diagram is a wafer tristate buffer of the present invention. Figure 11D is a wafer external drive of the present invention. Figure 11E is a wafer tristate buffer of the present invention. Figure 11F is an electrostatic discharge protection circuit of the present invention. Figure 11G is a series drive of the present invention. The 12A _f knows that the external power supply directly inputs the voltage to the internal circuit and has a circuit diagram of the voltage or current surge generated by the external supply of the electrostatic discharge protection circuit. Figure 12B is a circuit diagram showing a fourth embodiment of the present invention. 121 1344686

MEGA 06-015TWB 第獻圖至第则為本發明形成保護層上方結構之MEGA 06-015TWB The first to the first is the structure of the upper layer of the protective layer of the present invention.

第圖至第则為本發明形成保護層上方結構 ^ 第20圖為本發明之—剖面示_。 矛〇驟 第21A圖至第21M圖為本發明顧於動態隨機存取記憶體之流程 示意圖。 第21N圖為本發明應用於動態隨機存取記憶體之俯視示意圖。 【主要元件符號說明】 1基底 2’金氧半電晶體 6細線路結構 1〇晶圓 u黏著層 15接塾 Θ塾高墊 21内部電路 23内部電路 25電子保險絲 30細線路介電看 4〇晶片接外電路 42晶片接外電路 44靜電放電防護電路 2元件層 5保護層 8保護層上方結構 10’晶片 13有機基板 17封裝層 20内部電路 22内部電路 24内部電路 26雷射保險絲 30’ 開口 41穩壓器或變壓器 43晶片接外電路 45靜電放電防護電路 123 (S)The figures to the first embodiment form the structure above the protective layer of the present invention. Fig. 20 is a cross-sectional view of the present invention. Spears Steps 21A to 21M are diagrams showing the flow of the present invention in consideration of dynamic random access memory. Figure 21N is a top plan view of the present invention applied to a dynamic random access memory. [Main component symbol description] 1 substrate 2' gold oxide semi-transistor 6 fine circuit structure 1 〇 wafer u adhesive layer 15 connection high pad 21 internal circuit 23 internal circuit 25 electronic fuse 30 fine line dielectric view 4〇 Wafer Outer Circuit 42 Wafer Outer Circuit 44 Electrostatic Discharge Protection Circuit 2 Component Layer 5 Protective Layer 8 Protective Layer Above Structure 10' Wafer 13 Organic Substrate 17 Package Layer 20 Internal Circuit 22 Internal Circuit 24 Internal Circuit 26 Laser Fuse 30' Opening 41 voltage regulator or transformer 43 chip external circuit 45 electrostatic discharge protection circuit 123 (S)

13446861344686

MEGA 06-015TWB 50保護層開口 60’導電栓塞 61’細線路金屬結構 63細線路金屬結構 69細線路金屬結構 72光阻層 74光阻層 φ 81金屬線路或平面 83金屬線路或平面 83t重配置金屬線路 89’打線導線 鲁90聚合物層 97聚合物層 99頂端聚合物層 • 201源極 203閘極 212内部驅動器 213内部三態缓衝器 214感測放大器 216通過電路 • 217閂鎖電路 60細線路金屬層 61細線路金屬結構 62細線路金屬結構 66金屬頂層 71光阻層 73光阻層 80圖案化金屬層 82金屬線路或平面 83r•金屬線路或平面 89接觸結構 89t錫船凸塊 95聚合物層 98聚合物層 200内部結構 202汲極 211反相器 212’内部接收器 213’内部三態緩衝器 215靜態隨機存取記憶體單元 216’通過電路 217’閂鎖電路 124 1344686MEGA 06-015TWB 50 protective layer opening 60' conductive plug 61' fine line metal structure 63 fine line metal structure 69 fine line metal structure 72 photoresist layer 74 photoresist layer φ 81 metal line or plane 83 metal line or plane 83t reconfiguration Metal line 89' wire conductor Lu 90 polymer layer 97 polymer layer 99 top polymer layer • 201 source 203 gate 212 internal driver 213 internal tristate buffer 214 sense amplifier 216 through circuit • 217 latch circuit 60 Fine line metal layer 61 fine line metal structure 62 fine line metal structure 66 metal top layer 71 photoresist layer 73 photoresist layer 80 patterned metal layer 82 metal line or plane 83r • metal line or plane 89 contact structure 89t tin boat bump 95 Polymer layer 98 polymer layer 200 internal structure 202 drain 211 inverter 212' internal receiver 213' internal tristate buffer 215 static random access memory unit 216' through circuit 217' latch circuit 124 1344686

MEGA 06-015TWB 218運算放大器 251多晶矽層 252’ 缺口 410參考電壓產生器 421晶片接外驅動器 421”第二級 422’第一級 511保護層開口 514保護層開口 519’保護層開口 522保護層開口 526 開口 531保護層開口 532保護層開口 534保護層開口 539保護層開口 549保護層開口 559保護層開口 600金屬接墊 602細線路金屬層 602y細線路金屬層 219差動電路 252金屬矽化層 400晶片接外結構 410’電流鏡電路 421’第一級 422晶片接外接收器 422”第二級 512保護層開口 519保護層開口 521保護層開口 524保護層開口 529保護層開口 531’保護層開口 532’保護層開口 534’保護層開口 539’保護層開口 549’保護層開口 559’保護層開口 601w細線路金屬層 602x細線路金屬層 602z細線路金屬層 125 1344686MEGA 06-015TWB 218 Operational Amplifier 251 Polysilicon Layer 252' Notch 410 Reference Voltage Generator 421 Wafer Outer Driver 421" Second Stage 422' First Stage 511 Protective Layer Opening 514 Protective Layer Opening 519' Protective Layer Opening 522 Protective Layer Opening 526 opening 531 protective layer opening 532 protective layer opening 534 protective layer opening 539 protective layer opening 549 protective layer opening 559 protective layer opening 600 metal pad 602 fine line metal layer 602y fine line metal layer 219 differential circuit 252 metal germanium layer 400 wafer External structure 410' current mirror circuit 421' first stage 422 wafer external receiver 422" second stage 512 protective layer opening 519 protective layer opening 521 protective layer opening 524 protective layer opening 529 protective layer opening 531 'protective layer opening 532 'Protective layer opening 534' protective layer opening 539' protective layer opening 549' protective layer opening 559' protective layer opening 601w fine line metal layer 602x fine line metal layer 602z fine line metal layer 125 1344686

MEGA 06-015TWB 611細線路金屬結構 612a細線路金屬結構 612c細線路金屬結構 618細線路金屬結構 619’細線路金屬結構 622細線路金屬結構 622b細線路金屬結構 624細線路金屬結構 631細線路金屬結構 632細線路金屬結構 632b細線路金屬結構 632a’細線路金屬結構 632c’細線路金屬結構 634’細線路金屬結構 639細線路金屬結構 649細線路金屬結構 659細線路金屬結構 661金屬頂層 664金屬頂層 669’金屬頂層 720光阻層開口 612細線路金屬結構 612b細線路金屬結構 614細線路金屬結構 619細線路金屬結構 621細線路金屬結構 622a細線路金屬結構 622c細線路金屬結構 629細線路金屬結構 631’細線路金屬結構 632a細線路金屬結構 632c細線路金屬結構 632b,細線路金屬結構 634細線路金屬結構 638細線路金屬結構 639’細線路金屬結構 649’細線路金屬結構 659’細線路金屬結構 662金屬頂層 669金屬頂層 710光阻層開口 720’光阻層開口 广S) 126 1344686MEGA 06-015TWB 611 fine line metal structure 612a fine line metal structure 612c fine line metal structure 618 fine line metal structure 619' fine line metal structure 622 fine line metal structure 622b fine line metal structure 624 fine line metal structure 631 fine line metal structure 632 fine line metal structure 632b fine line metal structure 632a' fine line metal structure 632c' fine line metal structure 634' fine line metal structure 639 fine line metal structure 649 fine line metal structure 659 fine line metal structure 661 metal top 664 metal top 669 'Metal top layer 720 photoresist layer opening 612 fine line metal structure 612b fine line metal structure 614 fine line metal structure 619 fine line metal structure 621 fine line metal structure 622a fine line metal structure 622c fine line metal structure 629 fine line metal structure 631' Fine line metal structure 632a fine line metal structure 632c fine line metal structure 632b, fine line metal structure 634 fine line metal structure 638 fine line metal structure 639' fine line metal structure 649' fine line metal structure 659' fine line metal structure 662 metal Top 669 metal Layer 710 photoresist layer openings 720 'wide photoresist layer openings S) 126 1344686

MEGA 06-015TWB 730光阻層開口 740光阻層開口 8〇1圖案化金屬層 801b圖案化金屬層 802圖案化金屬層 802y圖案化金屬層 803圖案化金屬層 812圖案化金屬層 831圖案化金屬層 831b圖案化金屬層 832a圖案化金屬層 891凸塊底層金屬層 897’金屬層 898’金屬層 980聚合物層開口 2101 N型金氧半電晶體 2103 N型金氧半電晶體 2104P型金氧半電晶體 2107N型金氧半電晶體 2109’N型金氧半電晶體 2111 N型金氧半電晶體 730’光阻層開口 740’光阻層開口 801a圖案化金屬層 801w圖案化金屬層 802x圖案化金屬層 802z圖案化金屬層 811圖案化金屬層 821圖案化金屬層 831a圖案化金屬層 832圖案化金屬層 832b圖案化金屬層 897金屬栓塞 898金屬栓塞 950聚合物層開口 990聚合物層開口 2102P型金氧半電晶體 2103’N型金氧半電晶體 2104’P型金氧半電晶體 2108 P型金氧半電晶體 2110’P型金氧半電晶體 2112P型金氧半電晶體 127 ⑧ 1344686MEGA 06-015TWB 730 photoresist layer opening 740 photoresist layer opening 8〇1 patterned metal layer 801b patterned metal layer 802 patterned metal layer 802y patterned metal layer 803 patterned metal layer 812 patterned metal layer 831 patterned metal Layer 831b patterned metal layer 832a patterned metal layer 891 bump bottom metal layer 897' metal layer 898' metal layer 980 polymer layer opening 2101 N-type gold oxide semi-transistor 2103 N-type gold oxide semi-transistor 2104P type gold oxygen Semi-transistor 2107N type gold oxide semi-transistor 2109'N-type gold oxide semi-transistor 2111 N-type gold oxide semi-transistor 730' photoresist layer opening 740' photoresist layer opening 801a patterned metal layer 801w patterned metal layer 802x Patterned metal layer 802z patterned metal layer 811 patterned metal layer 821 patterned metal layer 831a patterned metal layer 832 patterned metal layer 832b patterned metal layer 897 metal plug 898 metal plug 950 polymer layer opening 990 polymer layer opening 2102P type gold oxide semi-transistor 2103'N type gold oxide semi-transistor 2104'P type gold oxide semi-transistor 2108 P type gold oxide semi-transistor 2110'P type gold oxide semi-transistor 2112P type gold oxide semi-transistor 127 8 1344686

MEGA 06-015TWB 2113N型金氧半電晶體 2115N型金氧半電晶體 2117N型金氧半電晶體 2119N型金氧半電晶體 2121 N型金氧半電晶體 2123行選擇電晶體 2124’N型金氧半電晶體 2126 P型金氧半電晶體 2128 P型金氧半電晶體 2129’N型金氧半電晶體 2130’N型金氧半電晶體 2132 P型金氧半電晶體 2134電阻器 2136P型金氧半電晶體 4102 P型金氧半電晶體 4104P型金氧半電晶體 4106P型金氧半電晶體 4108N型金氧半電晶體 4110P型金氧半電晶體 4112電導電晶體 4201 N型金氧半電晶體 2114P型金氧半電晶體 2116P型金氧半電晶體 2118P型金氧半電晶體 2120N型金氧半電晶體 2122行選擇電晶體 2124N型金氧半電晶體 2125 N型金氧半電晶體 2127N型金氧半電晶體 2129N型金氧半電晶體 2130N型金氧半電晶體 2131 P型金氧半電晶體 2133電容器 2135N型金氧半電晶體 4101 P型金氧半電晶體 4103 P型金氧半電晶體 4105 P型金氧半電晶體 4107N型金氧半電晶體 4109P型金氧半電晶體 4111電導電晶體 4199節點 4202 P型金氧半電晶體 128MEGA 06-015TWB 2113N gold oxide semi-transistor 2115N type gold oxide semi-transistor 2117N type gold oxide semi-transistor 2119N type gold oxide semi-transistor 2121 N-type gold oxide semi-transistor 2123 line selection transistor 2124'N-type gold Oxygen semi-transistor 2126 P-type gold oxide semi-transistor 2128 P-type gold oxide semi-transistor 2129'N-type gold oxide semi-transistor 2130'N-type gold oxide semi-transistor 2132 P-type gold oxide semi-transistor 2134 resistor 2136P Type MOS semi-transistor 4102 P-type gold oxide semi-transistor 4104P type gold oxide semi-transistor 4106P type gold oxide semi-transistor 4108N type gold oxygen semi-transistor 4110P type gold oxide semi-transistor 4112 electric conductive crystal 4201 N-type gold Oxygen semi-transistor 2114P type gold oxide semi-transistor 2116P type gold oxide semi-transistor 2118P type gold oxide semi-transistor 2120N type gold oxide semi-transistor 2122 row selection transistor 2124N type gold oxygen semi-transistor 2125 N-type gold oxygen half Transistor 2127N type gold oxide semi-transistor 2129N type gold oxide semi-transistor 2130N type gold oxide semi-transistor 2131 P-type gold oxide semi-transistor 2133 capacitor 2135N type gold oxide semi-transistor 4101 P-type gold oxide semi-transistor 4103 P Type MOS semi-transistor 4105 P-type MOS semi-transistor 4107N 4109P metal oxide semiconductor transistor-type metal-oxide-semiconductor transistor 4111 electrically conducting crystalline node 4199 4202 P-type metal-oxide-semiconductor transistor 128

1344686 MEGA 06-015TWB 4203 N型金氧半電晶體 ^ 4205 N型金氧半電晶體 4207 N型金氡半電晶體 4204 P型金氧半電晶體 4206 P型金氧半電晶體 4208 P型金氧半電晶體 4209N型金氧半電晶體 4331逆偏歷二極體 4210P型金氧半電晶體 4332逆偏壓二極體 4333逆偏壓二極體 6111細線路金屬結構 6121細線路金屬結構 _ 612lb細線路金屬結構 6141細線路金屬結構 6121a細線路金屬結構 6121c細線路金屬結構 6190金屬接塾 6190’金屬接墊 6290金屬接墊 6191細線路金屬結構 6311細線路金屬結構 鲁6321細線路金屬結構 6321a細線路金屬結構 6321b細線路金屬結構 6321c細線路金屬結構 6341細線路金屬結構 6390金屬接塾 • 6391細線路金屬結構 6391’細線路金屬結構 6490金屬接墊 6490’金屬接墊 8000接觸接墊 8011黏著/阻障/種子層 8011’凹陷部 8011a黏著/阻障/種子層 8011b黏著/阻障/種子層 8012厚金屬層 8012a厚金屬層 8012b厚金屬層 鲁 8〇2丨黏著/阻障/種子層 8022厚金屬層 129 129 13446861344686 MEGA 06-015TWB 4203 N-type gold oxide semi-electric crystal ^ 4205 N-type gold oxide semi-transistor 4207 N-type gold-bismuth semi-transistor 4204 P-type gold oxide semi-transistor 4206 P-type gold oxide semi-transistor 4208 P-type gold Oxygen semi-transistor 4209N type gold oxide semi-transistor 4331 inverse bias diode 4210P type gold oxide semi-transistor 4332 reverse bias diode 4333 reverse bias diode 6111 fine line metal structure 6121 fine line metal structure _ 612lb fine line metal structure 6141 fine line metal structure 6121a fine line metal structure 6121c fine line metal structure 6190 metal joint 6190' metal joint 6290 metal joint 6191 fine line metal structure 6311 fine line metal structure Lu 6321 fine line metal structure 6321a Fine line metal structure 6321b fine line metal structure 6321c fine line metal structure 6341 fine line metal structure 6390 metal joints • 6391 fine line metal structure 6391' fine line metal structure 6490 metal pad 6490' metal pad 8000 contact pad 8011 adhesive / barrier / seed layer 8011 'recess 8011a adhesion / barrier / seed layer 8011b adhesion / barrier / seed layer 8012 thick metal layer 8012a thick metal layer 8012b thick metal layer Lu 8〇2丨 adhesion/barrier/seed layer 8022 thick metal layer 129 129 1344686

MEGA 06-015TWB 8031黏著/阻障/種子層 8110接觸接墊 8112厚金屬層 8121黏著/阻障/種子層 8211黏著/阻障/種子層 8310接觸接墊 8311a黏著/阻障/種子層 8312厚金屬層 8312b厚金屬層 8321黏著/阻障/種子層 8321b黏著/阻障/種子層 8322a厚金屬層 9511聚合物層開口 9514聚合物層開口 9519’聚合物層開口 9532聚合物層開口 9539聚合物層開口 9549聚合物層開口 9831聚合物層開口 9839聚合物層開口 9919聚合物層開口 8032厚金屬層 8111黏著/阻障/種子層 8120接觸接墊 8122厚金屬層 8212厚金屬層 8311黏著/阻障/種子層 8311b黏著/阻障/種子層 8312a厚金屬層 8320接觸接墊 8321a黏著/阻障/種子層 8322厚金屬層 8322b厚金屬層 9512聚合物層開口 9519聚合物層開口 9531聚合物層開口 9534聚合物層開口 9539’聚合物層開口 9829聚合物層開口 9834聚合物層開口 9849’聚合物層開口 9929聚合物層開口 130 1344686MEGA 06-015TWB 8031 Adhesive / Barrier / Seed Layer 8110 Contact Pad 8112 Thick Metal Layer 8121 Adhesive / Barrier / Seed Layer 8211 Adhesive / Barrier / Seed Layer 8310 Contact Pad 8311a Adhesive / Barrier / Seed Layer 8312 Thick Metal layer 8312b thick metal layer 8321 adhesion/barrier/seed layer 8321b adhesion/barrier/seed layer 8322a thick metal layer 9511 polymer layer opening 9514 polymer layer opening 9519' polymer layer opening 9532 polymer layer opening 9539 polymer Layer opening 9549 polymer layer opening 9831 polymer layer opening 9839 polymer layer opening 9919 polymer layer opening 8032 thick metal layer 8111 adhesion/barrier/seed layer 8120 contact pad 8122 thick metal layer 8212 thick metal layer 8311 adhesion/resistance Barrier/Seed Layer 8311b Adhesive/Barrier/Seed Layer 8312a Thick Metal Layer 8320 Contact Pad 8321a Adhesive/Barrier/Seed Layer 8322 Thick Metal Layer 8322b Thick Metal Layer 9512 Polymer Layer Opening 9519 Polymer Layer Opening 9531 Polymer Layer Opening 9534 polymer layer opening 9539' polymer layer opening 9829 polymer layer opening 9834 polymer layer opening 9849' polymer layer opening 9929 polymer layer opening 130 1344686

MEGA 06-015TWB 9939聚合物層開口 9939’聚合物層開口 _ 9949聚合物層開口 9949’聚合物層開口MEGA 06-015TWB 9939 polymer layer opening 9939' polymer layer opening _ 9949 polymer layer opening 9949' polymer layer opening

131131

Claims (1)

1344686 f ibX- jjr/J.1344686 f ibX- jjr/J. 申請專利範圍 一種晶片,包括: 一穩壓元件; 一内部電路(internal circuit),包括—電晶體. 碎基底’承載該穂壓元件與該内部電路; 一第一金屬線路,連接該穩壓元件,且該第—金屬 線 路包括厚度介於0.2微米至丨微米之間的一第一銅層; 一第二金屬線路,連接該内部電路; 一絕緣層,位在該矽基底、該穩壓元件、該内部電路、 該第一金屬線路及該第二金屬線路之上; 複數介電層,位在該石夕基底與該絕緣層之間; 一聚合物層,位在該絕緣層之上,且該聚合物層的厚 度介於2微米至150微米之間,該聚合物層的厚度大於每 一該些介電層的厚度;以及 第二金屬線路’位在該絕緣層之上,且該第三金屬 線路連接該第一金屬線路以及連接該第二金屬線路,該第 二金屬線路包括厚度介於3微米至20微米之間的一第二銅 層。 2. 如申凊專利範圍第1項所述之晶片,其中該穩壓元件輸 出一電壓值時,該電壓值與一設定目標電壓值之間的差 值除以該設定目標電壓值之百分比係小於10〇/〇。 3. 如申請專利範圍第2項所述之晶片,其中該設定目標電 壓值係介於0.5伏特至1〇伏特之間。 132Patent application A wafer comprising: a voltage stabilizing element; an internal circuit comprising: a transistor. a broken substrate carrying the rolling element and the internal circuit; a first metal line connecting the voltage stabilizing element And the first metal line comprises a first copper layer having a thickness between 0.2 μm and 丨 micron; a second metal line connecting the internal circuit; an insulating layer on the germanium substrate, the voltage stabilizing element The internal circuit, the first metal line and the second metal line; a plurality of dielectric layers between the stone substrate and the insulating layer; a polymer layer positioned above the insulating layer And the thickness of the polymer layer is between 2 microns and 150 microns, the thickness of the polymer layer is greater than the thickness of each of the dielectric layers; and the second metal line is located above the insulating layer, and the A third metal line connects the first metal line and connects the second metal line, the second metal line including a second copper layer having a thickness between 3 microns and 20 microns. 2. The wafer according to claim 1, wherein when the voltage regulator outputs a voltage value, a difference between the voltage value and a set target voltage value is divided by a percentage of the set target voltage value. Less than 10 〇 / 〇. 3. The wafer of claim 2, wherein the set target voltage value is between 0.5 volts and 1 volt. 132 如申請專利範圍第1項所述之 Μ 部電路為 —反或閘(NOR gate)。 J·如申請專利範圍第1項所述之 —或閘(OR gate)。 丨.如申請專利範圍第1項研述 一且閘(AND gate)。 、如申請專利範圍第1項所述之晶片,其中該内部 反及閘(NAND gate)。 之 晶片,其中該内部電路為 日日片,其中該内部電路為 電路為 .如申請專利範圍第1項戶片、七+ a μ , 吗步丄喟所述之晶片,其中該内部電路為 一靜態隨機存取記憶體單元(SRAM eeU)。 ’ 9,:申請專利範圍第1項所述之晶片,其中該内部電路為 動態隨機存取記憶體單元(DRAM ce丨丨)。 1〇,如申請專利範圍第i項所述之晶片,其中該内部電路 為非揮發性§己憶體單元(non-volatile memory cell;)。The internal circuit as described in item 1 of the patent application is -NOR gate. J. As described in the first item of the patent scope - or gate (OR gate).丨 If you apply for the patent scope, the first item is an AND gate. The wafer of claim 1, wherein the internal NAND gate. a chip, wherein the internal circuit is a day-to-day film, wherein the internal circuit is a circuit, such as the wafer of the first item of the patent application, seven + a μ, and the internal circuit is one Static Random Access Memory Unit (SRAM eeU). 9. The wafer of claim 1, wherein the internal circuit is a dynamic random access memory cell (DRAM ce). 1) The wafer of claim i, wherein the internal circuit is a non-volatile memory cell. U.如申請專利範圍第1項所述之晶片,其中該内部電路 為—快閃記憶體單元(flash mem〇ry cell)。 12.如申請專利範圍第1項所述之晶片,其中該内部電路 為一可消除可程式唯讀記憶體單元(epr〇M ceU)。 13·如申請專利範圍第i項所述之晶片,其中該内部電路 為—唯讀記憶體單元(ROM cell)。 ’如申請專利範圍第1項所述之晶片,其中該内部電路 為一磁性隨機存取記憶體(magnetic RAM,MRAM)單元。 •如申請專利範圍第1項所述之晶片,其中該内部電路 為一感測放大器(sense amplifier)。 133 15 •、如申請專利範圍第1項所述之晶片,其中該内部電路 i 7 為—運算放大器(Operational Amplifier)。 ?,、如申請專利範圍第1項所述之晶片,其中該内部電路 為—加法器(adder)。 18.、如申請專利範圍第1項所述之晶片,其中該内部電路 為—多工器(Multiplexer)。U. The wafer of claim 1, wherein the internal circuit is a flash mem 〇 cell. 12. The wafer of claim 1, wherein the internal circuit is an erasable programmable read only memory unit (epr〇M ceU). 13. The wafer of claim i, wherein the internal circuit is a ROM cell. The wafer of claim 1, wherein the internal circuit is a magnetic random access memory (MRAM) unit. The wafer of claim 1, wherein the internal circuit is a sense amplifier. 133 15 • The wafer of claim 1, wherein the internal circuit i 7 is an Operational Amplifier. The wafer of claim 1, wherein the internal circuit is an adder. 18. The wafer of claim 1, wherein the internal circuit is a multiplexer. 19·、如申請專利範圍第1項所述之晶片,其中該内部電路 為—雙工器(Diplexer)。 2〇 如申請專利範圍第1項所述H其中該内部電路 為一乘法器(Multiplier)。 21. 如申請專利範圍第i項所述之晶片,其中該内部電路 為—類比/數位轉換器(A/D c〇nverter)。 22. 如申請專利範圍第i項所述之晶片,其中該内部電路 為—數位/類比轉換器(D/A C⑽verterp 23‘如申請專利範圍第i項所述之晶片,其中該内部電路19. The wafer of claim 1, wherein the internal circuit is a duplexer. 2〇 As described in item 1 of the patent application, wherein the internal circuit is a multiplier. 21. The wafer of claim i, wherein the internal circuit is an analog/digital converter (A/D c〇nverter). 22. The wafer of claim i, wherein the internal circuit is a digital/analog converter (D/A C (10) verterp 23 ‘the wafer of claim i, wherein the internal circuit 為—互補式金屬氧化半導體感測元件單元(CMOS sens〇r cell) 〇 如申清專利範圍第1項所述之晶片,其中該内部電路 為一光敏二極體(photo-sensitive diode)。 25·如申請專利範圍第i項所述之晶片,其中該内部電路 為—雙載子互補式金氧半導體(BiCM〇s circuh)。 26. 如申請專利範圍第i項所述之晶片,其中該内部電路 為—雙載子電路(bipolar Circuit)單元。 27. 如申請專利範圍第i項所述之晶片,其中該第一金屬 134 1344686 線路更包括一含鈦金屬層。 28. 如申請專利範圍第1項所述之晶片’其中該第-金屬 線路更包括一氮化鈦層。 29. 如申請專利範圍第i項所述之晶片,其中該第一金屬 線路更包括一含鈕金屬層。 如申請專利範圍第i項所述之晶片,其中該第—金屬 線路更包括一氮化钽層。 • 31.如申請專利範圍第1項所述之晶片’更包括-第四金 屬線路位在該絕緣層之上,且該第四金屬線路連接該内 電路的-接地節點,該第二金屬線路連接該内部電路 的—電源節點,該第三金屬線路經由該第二金屬線路連 接該電源節點。 32. 如申請專利範圍第丨項所述之晶片,更包括—第四金 屬線路位在該絕緣層之上,且該第四金屬線路連接該内 部電路的一電源節點,該第二金屬線路連接該内部電路 • 的—接地節點’該第三金屬線路經由該第二金屬線路連 接該接地節點。 33. 如申請專利範圍第丨項所述之晶片,更包括一第三銅 層位在該第三金屬線路之上,且該第三銅層的厚度介於 2微米至150微米之間。 34. 如申請專利範圍第33項所述之晶片,更包括—含鈦金 屬層位在該第三銅層與該第三金屬線路之間。 35. 如申請專利範圍第33項所述之晶片,更包括一氮化鈦 層位在該第三銅層與該第三金屬線路之間。 135 1344686 36. 如申請專利範圍第33項所述之晶片,更包括一欽鶴合 金層位在該第二銅層與該第三金屬線路之間。 37. 如中請專利範圍第33項所述之晶片,更包括—含组金 屬層位在該第三銅層與該第三金屬線路之間。 38. 如申請專利範圍第i項所述之晶片,其中該些介電層 的材質包括氧化石夕(silic〇n 〇xide)。 39. 如申請專利範圍第!項所述之晶片,其中該些介電層 的材質包括氡化石夕(siHccm nitride)。 40_如申凊專利範圍第i項所述之晶片,其中該些介電層 的材質包括氮氧化石夕(silicon oxynitride)。 仏如申請專利範圍第i項所述之晶片,其㈣些介電層 的材質包括介電常數值介於15至35之間的介電材料。 42. 如申請專利範圍第1項所述之晶片,其中該絕緣層具 有第開口與一第二開口,且該第三金屬線路經由該 第一開口連接該第一金屬線路以及經由該第二開口連 接該第二金屬線路。 43. 如申請專利範圍第!項所述之晶片,其中該第三金屬 線路更包括m合金層’且該第二_位在該鈦鶴合 金層之上。 44·如申請專利範圍第1項所述之晶片,其中該第三金屬 線路更包括—含鈦金屬層,且該第二銅層位在該含鈦金 屬層之上。 45.如申請專利範圍第1項所述之晶片,其中該第三金屬 線路更包括-氮化鈦層,且該第二銅層位在該氮化鈦層 136 之上 46線=利範圍第l3S所述之晶片,其中該第三金屬 2更包括金且該第二銅層位在該含组金 屬脅之上。 47·如申請專利範圍第1 線路更包括一氮化钽層 之上。The CMOS sens〇r cell is a wafer as described in claim 1, wherein the internal circuit is a photo-sensitive diode. 25. The wafer of claim i, wherein the internal circuit is a bi-carrier complementary metal oxide semiconductor (BiCM〇s circuh). 26. The wafer of claim i, wherein the internal circuit is a bipolar circuit unit. 27. The wafer of claim i, wherein the first metal 134 1344686 circuit further comprises a titanium-containing metal layer. 28. The wafer of claim 1, wherein the first metal line further comprises a titanium nitride layer. 29. The wafer of claim i, wherein the first metal line further comprises a button metal layer. The wafer of claim i, wherein the first metal line further comprises a tantalum nitride layer. 31. The wafer of claim 1, wherein the wafer further comprises a fourth metal line above the insulating layer, and the fourth metal line is connected to a ground node of the internal circuit, the second metal line A power supply node is connected to the internal circuit, and the third metal line is connected to the power supply node via the second metal line. 32. The wafer of claim 2, further comprising a fourth metal line above the insulating layer, wherein the fourth metal line is connected to a power node of the internal circuit, the second metal line connection The ground circuit of the internal circuit is connected to the ground node via the second metal line. 33. The wafer of claim 2, further comprising a third copper layer over the third metal line, and the third copper layer has a thickness between 2 microns and 150 microns. 34. The wafer of claim 33, further comprising a titanium-containing metal layer between the third copper layer and the third metal line. 35. The wafer of claim 33, further comprising a titanium nitride layer between the third copper layer and the third metal line. 135 1344686 36. The wafer of claim 33, further comprising a homing alloy layer between the second copper layer and the third metal line. 37. The wafer of claim 33, further comprising a metal-containing layer between the third copper layer and the third metal line. 38. The wafer of claim i, wherein the material of the dielectric layer comprises silica 〇x 〇xide. 39. If you apply for a patent scope! The wafer of the above, wherein the materials of the dielectric layers comprise siHccm nitride. 40. The wafer of claim i, wherein the dielectric layers comprise a silicon oxynitride. For example, in the wafer of claim i, the material of the dielectric layer (4) includes a dielectric material having a dielectric constant value of between 15 and 35. 42. The wafer of claim 1, wherein the insulating layer has a first opening and a second opening, and the third metal line connects the first metal line via the first opening and via the second opening Connecting the second metal line. 43. If you apply for a patent scope! The wafer of item, wherein the third metal line further comprises an m alloy layer ' and the second _ position is above the titanium alloy layer. The wafer of claim 1, wherein the third metal line further comprises a titanium-containing metal layer, and the second copper layer is above the titanium-containing metal layer. The wafer of claim 1, wherein the third metal line further comprises a titanium nitride layer, and the second copper layer is above the titanium nitride layer 136 by 46 lines = profit range The wafer of l3S, wherein the third metal 2 further comprises gold and the second copper layer is above the metal containing group. 47. The first line of the patent application scope also includes a layer of tantalum nitride. 48·如申請專利範圍第1項所述之晶片’其中該第三金屬 線路更包括-含路金屬層’且該第二銅層位在該含絡金 屬層之上。 49. 如申請專利範圍第1項所述之晶片,其中該絕緣層之 材質包括一氮矽化合物。 50. 如申請專利範圍第i項所述之晶片’其中該絕緣層之 材質包括一氧矽化合物。48. The wafer of claim 1, wherein the third metal line further comprises a -containing metal layer and the second copper layer is over the metal-containing layer. 49. The wafer of claim 1, wherein the material of the insulating layer comprises a nitrogen arsenide compound. 50. The wafer of claim i wherein the material of the insulating layer comprises an oxonium compound. 項所述之晶片,其中該第三金屬 ,且該第二銅層位在該氮化鈕層 A如申請專利範圍第i項所述之晶片,其中該絕緣層之 材質包括一氮氧矽化合物。 比如申請專利範圍第!項所述之晶片,其中該聚合物層 更位在該絕緣層與該第三金屬線路之間。 53. 如申請專利範圍第i項所述之晶片,其中該電晶體為 N型金氧半電晶體(nm〇s transistor),且該n型金氧 半電晶體之通道寛度(Channel width)/通道長度(Channel length)比值係介於ο」至5之間。 54. 如申請專利範圍第i項所述之晶片,其中該電晶體為 P型金氧半電晶體(PMOS transistor),且該p型金氧 137 1344686 半電晶體之通道寛度(Channel width)/通道長度(channel length)比值係介於〇 2至! 〇之間。 队如申請專利範圍第!項所述之晶片,其中流經該第三 金屬線路之電流係介於1〇〇微安培至丨毫安培之間。 56. 如申請專利範圍帛丄項所述之晶[其中該第二金屬 線路連接該内部電路之一電源節點(p〇wer n〇de)。 57. 如申請專利範圍第1項所述之晶片其中該第二金屬 線路連接該内部電路之一接地節點(gr〇und n〇de)。 58. 如申請專利範圍第!項所述之晶片其中該第三金屬 線路未與外界電連接。 59·如申請專利範圍第i項所述之晶片’其中該聚合物層 更位在該第三金屬線路上。 60.—種晶片,包括: 一變壓元件; —内部電路(internal circuit),包括一電晶體; —*夕基底’承載該變壓元件與該内部電路; 一第一金屬線路,連接該變壓元件,且該第一金屬線 路包括厚度介於0.2微米至1微米之間的一第一銅層; 一第二金屬線路,連接該内部電路; —絕緣層,位在該矽基底、該變壓元件、該内部電路、 該第一金屬線路及該第二金屬線路之上; 複數介電層’位在該>6夕基底與該絕緣層之間; 一聚合物層’位在該絕緣層之上,且該聚合物層的厚 度"於2微米至150微米之間,該聚合物層的厚度大於每 138 一該些介電層的厚度;以及 —第三金屬線路,位在該絕緣層之上,且該第三金屬 線路連接該第-金屬線路以及連接該第二金屬線路,該第 二金屬線路包括厚度介於3微米至20微米之間的-第二銅 層。 β1.如中4專利範圍第6G項所述之晶片,其中該變壓元件 ♦輸入電壓轉換成一輸出電壓且該輸出電壓不同於 該輸入電壓。 .如申π專利範圍第61項所述之晶片,其中該輸入電壓 ”該輸出電壓之差值除以該輸出電壓的百分比大於 10〇/〇 〇 申吻專利範圍第61項所述之晶片,其中該輸出電壓 係介於1伏特至〗〇伏特之間。 64‘如申請專利範圍帛60項所述之晶片,其中該内部電路 為—反或閘(NOR gate)。 65.如申請專利範圍第60項所述之晶片,其中該内部電路 為—或閘(OR gate)。 66·如申請專利範圍帛6〇項所述之晶片,其中該㈣㈣ 為一且閘(AND gate)。 67·如巾請專利範圍第6G項所述之晶片,其中該内部電路 為—反及閘(NAND gate)。 \如“專鄉㈣⑽項所狀W,其t該内部電路 為一靜態隨機存取記憶體單元(SRAMcell)。 〕9·如_請專利範圍第⑼項所述之晶片,其中該内部電路 139 1344686 為一動態隨機存取記憶體單元(DRAM cell)。 70. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一非揮發性記憶體單元(non-volatile memory cell)。 71. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一快閃記憶體單元(flash memory cell)。 72. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一可消除可程式唯讀記憶體單元(EPROM cell)。 73. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一唯讀記憶體單元(ROM cell)。 74. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一磁性隨機存取記憶體(magnetic RAM ’ MR AM)單元。 75. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一感測放大器(sense amplifier)。 76. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一運放算大器(Operational Amplifier)。 77. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一加法器(adder)。 78. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一多工器(Multiplexer)。 79. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一雙工器(Diplexer)。 80. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一乘法器(Multiplier)。 81. 如申請專利範圍第60項所述之晶片,其中該内部電路 140 1344686 為一類比/數位轉換器(A/D converter)。 82. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一數位/類比轉換器(D/A Converter)。 83. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一互補式金屬氧化半導體感測元件單元(CMOS sensor cell) ° 84. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一光敏二極體(photo-sensitive diode)。 85. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一雙載子互補式金氧半導體(BiCMOS circuit)。 86. 如申請專利範圍第60項所述之晶片,其中該内部電路 為一雙載子電路(bipolar circuit)單元。 87. 如申請專利範圍第60項所述之晶片,其中該第一金屬 線路更包括一含鈦金屬層。 88. 如申請專利範圍第60項所述之晶片,其中該第一金屬 線路更包括一氮化鈦層。 89. 如申請專利範圍第60項所述之晶片,其中該第一金屬 線路更包括一含鈕金屬層。 90. 如申請專利範圍第60項所述之晶片,其中該第一金屬 線路更包括一氮化鈕層。 91. 如申請專利範圍第60項所述之晶片,更包括一第四金 屬線路位在該絕緣層之上,且該第四金屬線路連接該内 部電路的一接地節點,該第二金屬線路連接該内部電路 的一電源節點,該第三金屬線路經由該第二金屬線路連 141 1344686 接該電源節點。 92. 如申請專利範圍第⑼項所述之晶片,更包括一第四金 屬線路位在該絕緣層之上,且該第四金屬線路連接該内 部電路的一電源節點’該第二金屬線路連接該内部電路 的接地節點,該第三金屬線路經由該第二金屬線路連 接該接地節點。 93. 如申請專利範圍第6〇項所述之晶片,更包括一第三銅 層位在該第三金屬線路之上,且該第三銅層的厚度介於 2微米至150微米之間。 94. 如申請專利範圍第93項所述之晶片,更包括一含鈦金 屬層位在該第三銅層與該第三金屬線路之間。 95. 如申請專利範圍第93項所述之晶片,更包括一氮化鈦 層位在該第三銅層與該第三金屬線路之間。 96·如申請專利範圍第93項所述之晶片,更包括一鈦鎢合 金層位在該第三銅層與該第三金屬線路之間。 97. 如申請專利範圍第93項所述之晶片,更包括一含鈕金 屬層位在該第三銅層與該第三金屬線路之間。 98. 如申請專利範圍第6〇項所述之晶片,其中該些介電層 的材質包括氧化石夕。 "·如申請專利範圍第60項所述之晶片,其中該些介電層 的材質包括氮化矽。 曰 MO·如申請專利範圍第60項所述之晶片,其中該些介電 層的材質包括氮氧化石夕。 101.如申請專利範圍第60項所述之晶片,其中該些介電 142 1344686 層的材質包括介電常數值介於1.5至3.5之間的介電材 料。 102. 如申請專利範圍第6〇項所述之晶片,其中該絕緣層 具有一第一開口與一第二開口,且該第三金屬線路經由 該第一開口連接該第一金屬線路以及經由該第二開口 連接該第二金屬線路。 103. 如申請專利範圍第6〇項所述之晶片,其中該第三金 屬線路更包括一鈦鎢合金層,且該第二銅層位在該鈦鎢 合金層之上。 104. 如申請專利範圍第6〇項所述之晶片,其中該第三金 屬線路更包括一含鈦金屬層,且該第二銅層位在該含鈦 金屬層之上。 105. 如申請專利範圍第6〇項所述之晶片其中該第三金 屬線路更包括一氮化鈦層,且該第二銅層位在該氮化鈦 層之上。 106·如申請專利範圍第6〇項所述之晶片,其中該第三金 屬線路更包括一含鈕金屬層,且該第二銅層位在該含鈕 金屬層之上。 107·如申請專利範圍第6〇項所述之晶片,其中該第三金 屬線路更包括一氮化组層,且該第二銅層位在該氮化组 層之上。 108.如申請專利範圍第6〇項所述之晶片其中該第三金 屬線路更包括一含鉻金屬層,且該第二銅層位在該含鉻 金屬層之上。 143 1344686 109·如申請專利範圍第6〇項所述之晶片,其中該絕緣層 之材質包括一氮矽化合物。 110. 如申請專利範圍第6〇項所述之晶片,其中該絕緣層 之材質包括一氧矽化合物。 111. 如申請專利範圍第6〇項所述之晶片’其中該絕緣層 之材質包括一氮氧矽化合物。 112. 如申請專利範圍第6〇項所述之晶片,其中該聚合物 層更位在該絕緣層與該第三金屬線路之間。 113. 如申請專利範圍第6〇項所述之晶片,其中該電晶體 為 N型金氧半電晶體(NMOS transistor),且該N型金 氧半電日日體之通道寬度(Channel width)/通道長度 (Channel length)比值係介於〇丨至5之間。 114·如申請專利範圍第6〇項所述之晶片,其中該電晶體 為 P型金乳半電晶體(PMOS transistor),且該P型金 氧半電日日體之通道宽度(channel width)/通道長度 (Channel length)比值係介於〇.2至1〇之間。 115. 如申請專利範圍第6〇項所述之晶片,其中流經該第 二金屬線路之電流係介於100微安培至丨毫安培之間。 116. 如申請專利範圍第6〇項所述之晶片,其中該第二金 線路連接該内部電路之一電源節點(p〇wer node)。 117. 如申請專利範圍第6〇項所述之晶片其中該第二金 線路連接該内部電路之一接地節點(gr〇un(j n〇de)。 118. 如申請專利範圍第6〇項所述之晶片,其中該第三金 屬線路未與外界電連接。 144 1344686 119. 如申請專利範圍第6〇項所述之晶片其中該聚合物 層更位在該第三金屬線路上。 120. 如申請專利範圍第6〇項所述之晶片,其中該變壓元 件為一降壓變壓元件。 121. 如申請專利範圍第6〇項所述之晶片其中該變壓元 件為一增壓變壓元件。 122·—種晶片,包括: —第一電路,包括一第一電晶體; —第二電路’包括一第二電晶體; 一石夕基底’承載該第一電路與該第二電路; 一第一金屬線路,連接該第一電路,且該第一金屬線 路包括厚度介於0.2微米至】微米之間的一第一鋼層; 一第一金屬線路,連接該第二電路; 一絕緣層’位在該石夕基底、該第一電路、該第二電路、 該第一金屬線路及該第二金屬線路之上,且該絕緣層具有 一第一開口與一第二開口; 複數介電層,位在該矽基底與該絕緣層之間; 一第三金屬線路,位在該絕緣層之上,且該第三金屬 線路經由該第一開口連接該第一金屬線路以及經由該第二 開口連接該第二金屬線路,該第三金屬線路包括厚度介於 3微米至20微米之間的一第二銅層,該第一電路經由該第 三金屬線路連接該第二電路;以及 一變壓元件,經由該第三金屬線路連接該第一電路與 該第二電路。 145 123.如申請專利範圍第122項所述之晶片,其中該變壓元 件將一輸人電壓轉換成—輸出電壓,且該輸出電壓不同 於該輸入電壓。 申π專利範圍第122項所述之晶片,其中該輸入電 壓該輸出電壓之差值除以該輪出電壓的百分比大於 10% 〇 申明專利範圍第122項所述之晶片,其中該輸出電 壓係介於1伏特至10伏特之間。 126,如申請專利範圍第122項所述之晶片,其中該第一電 路為一靜態隨機存取記憶體單元(SRAM ceU)。 127,如申請專利範圍第122項所述之晶片,其中該第一電 路為一動態隨機存取記憶體單元(DRAM ceU)。 128·如申請專利範圍第122項所述之晶片,其中該第一電 路為非揮發性記憶體單元(non-volatile memory cell)。 129. 如申請專利範圍第122項所述之晶片,其中該第一電 路為快閃記憶體單元(flash memory cell)。 130. 如申請專利範圍第ι22項所述之晶片,其中該第一電 路為一可消除可程式唯讀記憶體單元(EpR〇N1 ceU)。 131如申請專利範圍第122項所述之晶片其中該第一電 路為—唯讀記憶體單元(ROM cell)。 132·如申請專利範圍第ι22項所述之晶片,其中該第一電 路為—磁性隨機存取記憶體(magnetic RAM,MRAM)單 元。 133·如申請專利範圍第122項所述之晶片’其中該第一電 146 1344686 路為一感測放大器(sense amplifier)。 134. 如申請專利範圍第122項所述之晶片,其中該第一電 路為一類比/數位轉換器(A/D converter)。 135. 如申請專利範圍第122項所述之晶片,其中該第一電 路為一數位/類比轉換器(D/A Converter)。 136. 如申請專利範圍第122項所述之晶片,其中該第一電 路為一互補式金屬氧化半導體感測元件單元(CMOS sensor cell) ° 137. 如申請專利範圍第122項所述之晶片,其中該第一電 晶體為一 N型金氧半電晶體(NMOS transistor),且該N 型金氧半電晶體之通道寛度(Channel width)/通道長度 (Channel length)比值係介於0.1至5之間。 138. 如申請專利範圍第122項所述之晶片,其中該第一電 晶體為一 P型金氧半電晶體(PMOS transistor),且該P 型金氧半電晶體之通道寬度(Channel width)/通道長度 (Channel length)比值係介於0.2至10之間。 139. 如申請專利範圍第122項所述之晶片,其中該第二金 屬線路連接該第二電路之一電源節點(power node)。 140. 如申請專利範圍第122項所述之晶片,其中該第一金 屬線路更包括一含鈦金屬層。 141. 如申請專利範圍第122項所述之晶片,其中該第一金 屬線路更包括一氮化鈦層。 142. 如申請專利範圍第122項所述之晶片,其中該第一金 屬線路更包括一含钽金屬層。 147 1344686 143.如申凊專利範圍第122項所述之晶片,其中該第—金 屬線路更包括一氮化钽層。 144·如申清專利範圍第122項所述之晶片,更包括一第四 金屬線路位在該絕緣層之上,且該第四金屬線路連接該 第一電路的一第一接地節點以及連接該第二電路的— 第二接地節點。 145. 如申請專利範圍第122項所述之晶片,更包括一第四 金屬線路位在該絕緣層之上,且該第四金屬線路連接該 第一電路的一第一接地節點以及連接該第二電路的— 第二接地節點,該第四金屬線路包括厚度介於3微米至 20微米之間的一第三銅層。 146. 如申請專利範圍第ι22項所述之晶片,其中該些介電 層的材質包括氧化石夕。 147. 如申請專利範圍第ι22項所述之晶片,其中該些介電 層的材質包括氣化石夕。 148. 如申請專利範圍第ι22項所述之晶片,其中該些介電 層的材質包括氮氧化矽。 149. 如申請專利範圍第ι22項所述之晶片,其中該些介電 層的材質包括介電常數值介於1.5至3.5之間的介電材 料。 150. 如申請專利範圍第122項所述之晶片,其中該第三金 屬線路更包括一鈦鎢合金層,且該第二銅層位在該鈦鎢 合金層之上。 151. 如申請專利範圍第122項所述之晶片,其中該第三金 148 1344686 屬線路更包括一含鈦金屬層, 金屬層之上。 且該第二銅層位在該含鈦 152屈如申請專利範圍第122項所述之晶片,其中該第三金 線路m氮化鈦層’⑽第二銅層位在該氛化欽 層之上》 153.如申請專利範圍第122項所述之晶片,其中該第三金The wafer of claim 3, wherein the third metal layer is located on the nitride button layer A, such as the wafer of claim i, wherein the material of the insulating layer comprises a oxynitride compound. . For example, the scope of patent application! The wafer of claim 7, wherein the polymer layer is further positioned between the insulating layer and the third metal line. 53. The wafer of claim i, wherein the transistor is an N-type 〇s transistor, and a channel width of the n-type MOS transistor The channel length ratio is between ο" and 5. 54. The wafer of claim i, wherein the transistor is a P-type PMOS transistor, and a channel width of the p-type gold oxide 137 1344686 semi-transistor. / channel length ratio is between 〇2 to! Between 〇. The team is applying for the patent scope! The wafer of the item wherein the current flowing through the third metal line is between 1 〇〇 microamperes to 丨 milliamperes. 56. The crystal of claim 2, wherein the second metal line is connected to a power supply node of the internal circuit. 57. The wafer of claim 1, wherein the second metal line is connected to a ground node of the internal circuit. 58. If you apply for a patent scope! The wafer of the item wherein the third metal line is not electrically connected to the outside. 59. The wafer of claim i wherein the polymer layer is further on the third metal line. 60. A wafer comprising: a transformer element; - an internal circuit comprising a transistor; - an imaginary substrate carrying the transformer element and the internal circuit; a first metal line connecting the change a pressing member, and the first metal line includes a first copper layer having a thickness between 0.2 μm and 1 μm; a second metal line connecting the internal circuit; an insulating layer positioned on the substrate, the change a voltage element, the internal circuit, the first metal line and the second metal line; a plurality of dielectric layers 'between the substrate> and the insulating layer; a polymer layer' is located in the insulating layer Above the layer, and the thickness of the polymer layer is between 2 microns and 150 microns, the thickness of the polymer layer is greater than the thickness of each of the 138 dielectric layers; and - the third metal line is located Above the insulating layer, the third metal line connects the first metal line and connects the second metal line, the second metal line includes a second copper layer having a thickness between 3 microns and 20 microns. The wafer of the sixth aspect of the invention, wherein the transformer element ♦ the input voltage is converted into an output voltage and the output voltage is different from the input voltage. The wafer of claim 61, wherein the input voltage "the difference between the output voltages divided by the output voltage is greater than 10 〇 / 〇〇 〇〇 专利 专利 专利 专利 专利 , , , , , , , , Wherein the output voltage is between 1 volt and volt volt. 64' The wafer of claim 60, wherein the internal circuit is a NOR gate. The wafer of claim 60, wherein the internal circuit is an OR gate. 66. The wafer of claim 6, wherein the (four) (four) is an AND gate. For example, the wafer described in the scope of claim 6G, wherein the internal circuit is a NAND gate. For example, the term "When the hometown (4) (10) is used, the internal circuit is a static random access memory. Body unit (SRAMcell). [9] The wafer of the above-mentioned patent scope (9), wherein the internal circuit 139 1344686 is a dynamic random access memory cell (DRAM cell). 70. The wafer of claim 60, wherein the internal circuit is a non-volatile memory cell. 71. The wafer of claim 60, wherein the internal circuit is a flash memory cell. 72. The wafer of claim 60, wherein the internal circuit is an erasable read only memory cell (EPROM cell). 73. The wafer of claim 60, wherein the internal circuit is a ROM cell. 74. The wafer of claim 60, wherein the internal circuit is a magnetic RAM (MR AM) unit. 75. The wafer of claim 60, wherein the internal circuit is a sense amplifier. 76. The wafer of claim 60, wherein the internal circuit is an Operational Amplifier. 77. The wafer of claim 60, wherein the internal circuit is an adder. 78. The wafer of claim 60, wherein the internal circuit is a multiplexer. 79. The wafer of claim 60, wherein the internal circuit is a duplexer. 80. The wafer of claim 60, wherein the internal circuit is a multiplier. 81. The wafer of claim 60, wherein the internal circuit 140 1344686 is an analog/digital converter (A/D converter). 82. The wafer of claim 60, wherein the internal circuit is a digital/analog converter (D/A Converter). The wafer of claim 60, wherein the internal circuit is a CMOS sensor cell. The wafer of claim 60, wherein the wafer of claim 60, wherein The internal circuit is a photo-sensitive diode. 85. The wafer of claim 60, wherein the internal circuit is a bi-substrate complementary biCMOS circuit. 86. The wafer of claim 60, wherein the internal circuit is a bipolar circuit unit. 87. The wafer of claim 60, wherein the first metal line further comprises a titanium-containing metal layer. 88. The wafer of claim 60, wherein the first metal line further comprises a titanium nitride layer. 89. The wafer of claim 60, wherein the first metal line further comprises a button metal layer. 90. The wafer of claim 60, wherein the first metal line further comprises a nitride button layer. 91. The wafer of claim 60, further comprising a fourth metal line above the insulating layer, and the fourth metal line is connected to a ground node of the internal circuit, the second metal line connection A power supply node of the internal circuit, the third metal line is connected to the power supply node via the second metal circuit connection 141 1344686. 92. The wafer of claim 9, wherein the fourth metal line is above the insulating layer, and the fourth metal line is connected to a power node of the internal circuit. a ground node of the internal circuit, the third metal line connecting the ground node via the second metal line. 93. The wafer of claim 6 further comprising a third copper layer above the third metal line, and the third copper layer has a thickness between 2 microns and 150 microns. 94. The wafer of claim 93, further comprising a titanium-containing metal layer between the third copper layer and the third metal line. 95. The wafer of claim 93, further comprising a titanium nitride layer between the third copper layer and the third metal line. 96. The wafer of claim 93, further comprising a titanium-tungsten alloy layer between the third copper layer and the third metal line. 97. The wafer of claim 93, further comprising a button metal layer between the third copper layer and the third metal line. 98. The wafer of claim 6, wherein the material of the dielectric layer comprises oxidized stone. The wafer of claim 60, wherein the dielectric layers are made of tantalum nitride. The wafer of claim 60, wherein the material of the dielectric layer comprises nitrous oxide. 101. The wafer of claim 60, wherein the dielectric 142 1344686 layer material comprises a dielectric material having a dielectric constant between 1.5 and 3.5. The wafer of claim 6 , wherein the insulating layer has a first opening and a second opening, and the third metal line connects the first metal line via the first opening and via the The second opening is connected to the second metal line. The wafer of claim 6, wherein the third metal line further comprises a titanium-tungsten alloy layer, and the second copper layer is above the titanium-tungsten alloy layer. 104. The wafer of claim 6 wherein the third metal line further comprises a titanium-containing metal layer and the second copper layer is over the titanium-containing metal layer. 105. The wafer of claim 6 wherein the third metal line further comprises a titanium nitride layer and the second copper layer is over the titanium nitride layer. 106. The wafer of claim 6 wherein the third metal line further comprises a button metal layer and the second copper layer is over the button metal layer. 107. The wafer of claim 6 wherein the third metal line further comprises a nitride layer and the second copper layer is above the nitride layer. 108. The wafer of claim 6 wherein the third metal line further comprises a chromium-containing metal layer and the second copper layer is over the chromium-containing metal layer. The wafer of claim 6, wherein the material of the insulating layer comprises a nitrogen ruthenium compound. 110. The wafer of claim 6 wherein the material of the insulating layer comprises an oxonium compound. 111. The wafer of claim 6 wherein the material of the insulating layer comprises a oxynitride compound. 112. The wafer of claim 6 wherein the polymer layer is further positioned between the insulating layer and the third metal line. 113. The wafer of claim 6, wherein the transistor is an N-type NMOS transistor, and a channel width of the N-type oxy-oxygen semiconductor body. The channel length ratio is between 〇丨 and 5. 114. The wafer of claim 6, wherein the transistor is a P-type PMOS transistor, and a channel width of the P-type MOS solar cell. The channel length ratio is between 〇.2 and 1〇. 115. The wafer of claim 6 wherein the current flowing through the second metal line is between 100 microamperes and milliamperes. 116. The wafer of claim 6 wherein the second gold line is connected to a power node of the internal circuit. 117. The wafer of claim 6, wherein the second gold line is connected to a ground node of the internal circuit (gr.un.), as described in claim 6 The wafer, wherein the third metal line is not electrically connected to the outside. 144 1344686 119. The wafer of claim 6 wherein the polymer layer is further positioned on the third metal line. The wafer of claim 6 wherein the transformer element is a step-down transformer element. 121. The wafer of claim 6 wherein the transformer element is a booster transformer element. 122. A wafer comprising: - a first circuit comprising a first transistor; - a second circuit 'comprising a second transistor; a stone substrate carrying the first circuit and the second circuit; a metal line connecting the first circuit, and the first metal line includes a first steel layer having a thickness between 0.2 μm and μm; a first metal line connecting the second circuit; and an insulating layer Located in the stone eve base, the a circuit, the second circuit, the first metal line and the second metal line, and the insulating layer has a first opening and a second opening; a plurality of dielectric layers on the substrate and the insulating layer Between the layers; a third metal line positioned above the insulating layer, and the third metal line connecting the first metal line via the first opening and the second metal line via the second opening, the The trimetal circuit includes a second copper layer having a thickness between 3 microns and 20 microns, the first circuit connecting the second circuit via the third metal line; and a transformer element connected via the third metal line The first circuit and the second circuit. The chip of claim 122, wherein the transformer element converts an input voltage into an output voltage, and the output voltage is different from the input voltage. The wafer of claim 122, wherein the difference between the input voltage and the output voltage is divided by the percentage of the wheel-out voltage greater than 10%, and the wafer described in claim 122 of the patent scope, The output voltage is between 1 volt and 10 volts. 126. The wafer of claim 122, wherein the first circuit is a static random access memory unit (SRAM ceU). The wafer of claim 122, wherein the first circuit is a dynamic random access memory cell (DRAM ceU). The wafer of claim 122, wherein the first circuit The non-volatile memory cell is the non-volatile memory cell. The wafer of claim 122, wherein the first circuit is a flash memory cell. 130. The wafer of claim 11, wherein the first circuit is an erasable programmable read only memory unit (EpR〇N1 ceU). 131. The wafer of claim 122, wherein the first circuit is a ROM cell. 132. The wafer of claim 11, wherein the first circuit is a magnetic random access memory (MRAM) unit. 133. The wafer of claim 122, wherein the first electrical circuit 146 1344686 is a sense amplifier. 134. The wafer of claim 122, wherein the first circuit is an analog/digital converter (A/D converter). 135. The wafer of claim 122, wherein the first circuit is a digital/analog converter (D/A Converter). 136. The wafer of claim 122, wherein the first circuit is a CMOS sensor cell. 137. The wafer of claim 122, Wherein the first transistor is an N-type NMOS transistor, and the channel width/channel length ratio of the N-type MOS transistor is between 0.1 and Between 5 138. The wafer of claim 122, wherein the first transistor is a P-type MOS transistor, and a channel width of the P-type MOS transistor The channel length ratio is between 0.2 and 10. 139. The wafer of claim 122, wherein the second metal line is connected to one of the power nodes of the second circuit. 140. The wafer of claim 122, wherein the first metal circuit further comprises a titanium-containing metal layer. 141. The wafer of claim 122, wherein the first metal circuit further comprises a titanium nitride layer. 142. The wafer of claim 122, wherein the first metal circuit further comprises a ruthenium containing metal layer. 147. The wafer of claim 122, wherein the first metal line further comprises a tantalum nitride layer. 144. The wafer of claim 122, further comprising a fourth metal line on the insulating layer, wherein the fourth metal line is connected to a first ground node of the first circuit and connected to the The second circuit - the second ground node. 145. The wafer of claim 122, further comprising a fourth metal line on the insulating layer, wherein the fourth metal line is connected to a first ground node of the first circuit and connected to the first A second ground node of the second circuit, the fourth metal line comprising a third copper layer having a thickness between 3 microns and 20 microns. 146. The wafer of claim 11, wherein the dielectric layers comprise a oxidized stone. 147. The wafer of claim 11, wherein the dielectric layers comprise a gasification fossil. 148. The wafer of claim 11, wherein the dielectric layers comprise a material of bismuth oxynitride. 149. The wafer of claim 1, wherein the dielectric material comprises a dielectric material having a dielectric constant between 1.5 and 3.5. 150. The wafer of claim 122, wherein the third metal line further comprises a titanium-tungsten alloy layer, and the second copper layer is over the titanium-tungsten alloy layer. 151. The wafer of claim 122, wherein the third gold 148 1344686 genus further comprises a titanium-containing metal layer over the metal layer. And the second copper layer is in the wafer of claim 122, wherein the third gold line m titanium nitride layer '(10) the second copper layer is located in the atmosphere layer 153. The wafer of claim 122, wherein the third gold 屬線路更包括-含组金屬層,且該第二銅層位在料组 金屬層之上。 154·如申請專利範圍第122項所述之晶片,其中該第三金 屬線路更包括一氮化钽層,且該第二銅層位在該氮化鈕 層之上。 155. 如申請專利範圍第122項所述之晶片,其中該絕緣層 之材質包括一氮矽化合物。 156. 如申請專利範圍第ι22項所述之晶片,其中該絕緣層 之材質包括一氧妙化合物。The genus line further includes a metal layer containing the group, and the second copper layer is above the metal layer of the stack. 154. The wafer of claim 122, wherein the third metal line further comprises a tantalum nitride layer, and the second copper layer is over the nitride button layer. 155. The wafer of claim 122, wherein the material of the insulating layer comprises a nitrogen arsenide compound. 156. The wafer of claim 11, wherein the material of the insulating layer comprises an oxygen compound. 157. 如申請專利範圍第ι22項所述之晶片,其中該絕緣層 之材質包括一氮氧<6夕化合物。 158. 如申請專利範圍第ι22項所述之晶片,更包括厚度介 於2微米至150微米之間的一聚合物層,且該聚合物層 位在該絕緣層與該第三金屬線路之間。 159. 如申請專利範圍第ία項所述之晶片,更包括厚度介 於2微米至15〇微米之間的一聚合物層’且該聚合物層 位在該絕緣層之上。 160. 如申請專利範圍第122項所述之晶片’其中該變壓元 149 1344686 件為一降壓變壓元件。 161. 如申請專利範圍第122項所述之晶片,其中該變壓元 件為一增壓變壓元件。 162. 如申請專利範圍第ι22項所述之晶片,其中該第一電 路與該第二電路連接同一接地參考電壓。 163· —種晶片,包括: —第一電路’包括一第一電晶體; —第二電路,包括一第二電晶體; 一石夕基底,承載該第一電路與該第二電路; 一第一金屬線路,連接該第一電路,且該第一金屬線 路包括厚度介於〇·2微米至1微米之間的一第一銅層; —第二金屬線路,連接該第二電路; 一絕緣層,位在該矽基底、該第一電路、該第二電路、 該第一金屬線路及該第二金屬線路之上,且該絕緣層具有 一第一開口與一第二開口; 複數介電層,位在該矽基底與該絕緣層之間; 一第三金屬線路’位在該絕緣層之上,且該第三金屬 線路經由該第一開口連接該第一金屬線路以及經由該第二 開口連接該第二金屬線路,該第三金屬線路包括厚度介於 3微米至20微米之間的一第二銅層,該第一電路經由該第 三金屬線路連接該第二電路;以及 一穩壓元件,經由該第三金屬線路連接該第一電路與 該第二電路。 164.如申請專利範圍第ι63項所述之晶片,其中該穩壓元 150 1344686 件輸出一電壓值時,該電壓值與一設定目標電壓值之間 的差值除以該設定目標電壓值之百分比係小於10%。 165. 如申請專利範圍第164項所述之晶片,其中該設定目 標電壓值係介於〇.5伏特至1〇伏特之間。 166. 如申請專利範圍第163項所述之晶片,其中該第一電 路為一靜態隨機存取記憶體單元(SrAM cell)。 167. 如申凊專利範圍第163項所述之晶片,其中該第一電 路為一動態隨機存取記憶體單元(DrAM cell)。 168. 如申請專利範圍第163項所述之晶片,其中該第一電 路為—非揮發性記憶體單元(non_v〇latile mem〇ry ceU)。 169. 如申請專利範圍第163項所述之晶片,其中該第一電 路為—快閃記憶體單元(flash memory ceU)。 170. 如申請專利範圍第163項所述之晶片,其中該第一電 路為一可消除可程式唯讀記憶體單元(EPr〇M ceU)。 171‘如申請專利範圍第163項所述之晶片,其中該第一電 路為一唯讀記憶體單元(R〇M ce丨1)。 Π2.如申請專利範圍第163項所述之晶片,其中該第—電 路為一磁性隨機存取記憶體(magnetic RAM,MRAM)單 元。 173.如申請專利範圍第163項所述之晶片,其中該第一電 路為—感測放大器(sense amplifier)。 Π4.如申請專利範圍第163項所述之晶片,其中該第—電 路為—類比/數位轉換器(A/D converter)。 Π5.如申請專利範圍第163項所述之晶片,其中該第一電 151 1344686 路為一數位/類比轉換器(D/A Converter)。 176. 如申請專利範圍第163項所述之晶片,其中該第一電 路為一互補式金屬氧化半導體感測元件單元(CMOS sensor cell) ° 177. 如申請專利範圍第163項所述之晶片,其中該第一電 晶體為一 N型金氧半電晶體(NMOS transistor),且該N 型金氧半電晶體之通道寬度(Channel width)/通道長度 (Channel length)比值係介於0.1至5之間。 178. 如申請專利範圍第163項所述之晶片,其中該第一電 晶體為一 P型金氧半電晶體(PMOS transistor),且該P 型金氧半電晶體之通道寛度(Channel width)/通道長度 (Channel length)比值係介於0.2至10之間。 179. 如申請專利範圍第163項所述之晶片,其中該第二金 屬線路連接該第二電路之一電源節點(power node)。 180. 如申請專利範圍第163項所述之晶片,其中該第一金 屬線路更包括一含鈦金屬層。 181. 如申請專利範圍第163項所述之晶片,其中該第一金 屬線路更包括一氮化鈦層。 182. 如申請專利範圍第163項所述之晶片,其中該第一金 屬線路更包括一含钽金屬層。 183. 如申請專利範圍第163項所述之晶片,其中該第一金 屬線路更包括一氮化钽層。 184. 如申請專利範圍第163項所述之晶片,更包括一第四 金屬線路位在該絕緣層之上,且該第四金屬線路連接該 152 1344686 第一電路的—第一接地節點以及連接該第二電路的一 第二接地節點。 185. 如申請專利範圍第163項所述之晶片,更包括一第四 金屬線路位在該絕緣層之上,且該第四金屬線路連接該 第一電路的一第一接地節點以及連接該第二電路的一 第一接地節點,該第四金屬線路包括厚度介於3微米至 20微米之間的一第三銅層。 186. 如申請專利範圍第163項所述之晶片,其中該些介電 層的材質包括氧化矽。 187. 如申請專利範圍第163項所述之晶片,其中該些介電 層的材質包括氮化矽。 188. 如申請專利範圍第163項所述之晶片,其中該些介電 層的材質包括氮氧化矽。 189·如申請專利範圍第163項所述之晶片,其中該些介電 層的材質包括介電常數值介於15至3 5之間的介電材 料。 190·如申請專利範圍第163項所述之晶片,其中該第三金 屬線路更包括-鈦鎢合金層’且該第二銅層位在該鈦嫣 合金層之上。 191·如申請專利範圍第163項所述之晶片,其中該第三金 屬線路更包括-含鈦金屬層,且該第二銅層位在該含欽 金屬層之上。 192.如申請專利範圍第163項所述之晶片,其中該第三金 屬線路更包括-氮化鈦層,且該第二銅層位在該氣化欽 153 層之上β 193 j. •如申請專利範圍第163項所述之晶片,其中該第三金 屬線路更包括-含纽金屬層,且該第二銅層位在該含叙 金屬層之上。 194. 如申請專利範圍第163項所述之晶片,其中該第三金 屬線路更包括-氮化钽層,且該第二銅層位在該氣化组 層之上。 195. 如申請專利範圍第163項所述之晶片,其中該絕緣層 之材質包括一氮矽化合物。 如申5月專利知圍第163項所述之晶片,其中該絕緣層 之材質包括一氧矽化合物。 197•如申請專利範圍第163項所述之晶片,其中該絕緣層 之材質包括一氮氧矽化合物。 198. 如申請專利範圍第163項所述之晶片,更包括厚度介 於2微米至15〇微米之間的一聚合物層,且該聚合物層 位在該絕緣層與該第三金屬線路之間。 199. 如申請專利範圍第163項所述之晶片,更包括厚度介 於2微米至15〇微米之間的一聚合物層,且該聚合物層 位在該絕緣層之上。 200. 如申請專利範圍第163項所述之晶片,其中該第一電 路與該第二電路連接同一接地參考電壓。 154157. The wafer of claim 1, wherein the material of the insulating layer comprises a nitrogen oxide compound. 158. The wafer of claim 1, wherein the wafer further comprises a polymer layer having a thickness between 2 microns and 150 microns, and the polymer layer is between the insulating layer and the third metal line. . 159. The wafer of claim 5, further comprising a polymer layer having a thickness between 2 microns and 15 microns and wherein the polymer layer is above the insulating layer. 160. The wafer of claim 122, wherein the transformer 149 1344686 is a step-down transformer element. 161. The wafer of claim 122, wherein the transformer element is a booster transformer element. 162. The wafer of claim 11, wherein the first circuit and the second circuit are connected to a ground reference voltage. 163. A wafer comprising: - a first circuit 'comprising a first transistor; - a second circuit comprising a second transistor; a stone substrate carrying the first circuit and the second circuit; a metal circuit connected to the first circuit, and the first metal line includes a first copper layer having a thickness between 2 μm and 1 μm; a second metal line connecting the second circuit; an insulating layer Positioning on the substrate, the first circuit, the second circuit, the first metal line and the second metal line, and the insulating layer has a first opening and a second opening; the plurality of dielectric layers Positioning between the germanium substrate and the insulating layer; a third metal line 'being the insulating layer, and the third metal line connecting the first metal line via the first opening and via the second opening Connecting the second metal line, the third metal line includes a second copper layer having a thickness between 3 micrometers and 20 micrometers, the first circuit connecting the second circuit via the third metal line; and a voltage regulator Component via Three metal lines connected to the first circuit and the second circuit. 164. The wafer of claim 1, wherein the voltage regulator 150 150344 outputs a voltage value, and the difference between the voltage value and a set target voltage value is divided by the set target voltage value. The percentage is less than 10%. 165. The wafer of claim 164, wherein the set target voltage value is between 〇.5 volts to 1 volt. 166. The wafer of claim 163, wherein the first circuit is a static random access memory cell (SrAM cell). 167. The wafer of claim 163, wherein the first circuit is a dynamic random access memory unit (DrAM cell). 168. The wafer of claim 163, wherein the first circuit is a non-volatile memory cell (non_v〇latile mem〇ry ceU). 169. The wafer of claim 163, wherein the first circuit is a flash memory ceU. 170. The wafer of claim 163, wherein the first circuit is an erasable programmable read only memory unit (EPr〇M ceU). 171. The wafer of claim 163, wherein the first circuit is a read only memory unit (R〇M ce丨1). The wafer of claim 163, wherein the first circuit is a magnetic random access memory (MRAM) unit. 173. The wafer of claim 163, wherein the first circuit is a sense amplifier. 4. The wafer of claim 163, wherein the first circuit is an analog/digital converter.晶片 5. The wafer of claim 163, wherein the first electrical circuit 151 1344686 is a digital/analog converter (D/A Converter). 176. The wafer of claim 163, wherein the first circuit is a CMOS sensor cell. 177. The wafer of claim 163, The first transistor is an N-type NMOS transistor, and the channel width/channel length ratio of the N-type MOS transistor is between 0.1 and 5. between. 178. The wafer of claim 163, wherein the first transistor is a P-type MOS transistor, and the channel width of the P-type MOS transistor is ) / Channel length ratio is between 0.2 and 10. 179. The wafer of claim 163, wherein the second metal line is connected to a power node of the second circuit. 180. The wafer of claim 163, wherein the first metal circuit further comprises a titanium-containing metal layer. 181. The wafer of claim 163, wherein the first metal circuit further comprises a titanium nitride layer. 182. The wafer of claim 163, wherein the first metal circuit further comprises a ruthenium containing metal layer. 183. The wafer of claim 163, wherein the first metal line further comprises a tantalum nitride layer. 184. The wafer of claim 163, further comprising a fourth metal line above the insulating layer, and the fourth metal line connecting the first ground node of the first circuit of the 152 1344686 and the connection a second ground node of the second circuit. 185. The wafer of claim 163, further comprising a fourth metal line on the insulating layer, wherein the fourth metal line is connected to a first ground node of the first circuit and connected to the first A first ground node of the second circuit, the fourth metal line comprising a third copper layer having a thickness between 3 microns and 20 microns. 186. The wafer of claim 163, wherein the material of the dielectric layer comprises yttrium oxide. 187. The wafer of claim 163, wherein the material of the dielectric layer comprises tantalum nitride. 188. The wafer of claim 163, wherein the material of the dielectric layer comprises bismuth oxynitride. 189. The wafer of claim 163, wherein the dielectric material comprises a dielectric material having a dielectric constant between 15 and 35. 190. The wafer of claim 163, wherein the third metal line further comprises a titanium-titanium alloy layer and the second copper layer is over the titanium-bismuth alloy layer. The wafer of claim 163, wherein the third metal line further comprises a titanium-containing metal layer, and the second copper layer is above the metal-containing layer. 192. The wafer of claim 163, wherein the third metal line further comprises a layer of titanium nitride, and the second layer of copper is above the layer 153 of the gasification layer 193 j. The wafer of claim 163, wherein the third metal line further comprises a gold-containing metal layer, and the second copper layer is above the metallization layer. 194. The wafer of claim 163, wherein the third metal line further comprises a tantalum nitride layer, and the second copper layer is above the gasification layer. 195. The wafer of claim 163, wherein the material of the insulating layer comprises a nitrogen arsenide compound. The wafer of claim 163, wherein the material of the insulating layer comprises an oxonium compound. 197. The wafer of claim 163, wherein the material of the insulating layer comprises a oxynitride compound. 198. The wafer of claim 163, further comprising a polymer layer having a thickness between 2 microns and 15 microns, and the polymer layer is located between the insulating layer and the third metal line between. 199. The wafer of claim 163, further comprising a polymer layer having a thickness between 2 microns and 15 microns and wherein the polymer layer is above the insulating layer. 200. The wafer of claim 163, wherein the first circuit and the second circuit are connected to a same ground reference voltage. 154
TW95136114A 2006-09-29 2006-09-29 Circuit component and process for forming the same TWI344686B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW95136114A TWI344686B (en) 2006-09-29 2006-09-29 Circuit component and process for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW95136114A TWI344686B (en) 2006-09-29 2006-09-29 Circuit component and process for forming the same

Publications (2)

Publication Number Publication Date
TW200816373A TW200816373A (en) 2008-04-01
TWI344686B true TWI344686B (en) 2011-07-01

Family

ID=44769075

Family Applications (1)

Application Number Title Priority Date Filing Date
TW95136114A TWI344686B (en) 2006-09-29 2006-09-29 Circuit component and process for forming the same

Country Status (1)

Country Link
TW (1) TWI344686B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10937762B2 (en) * 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge

Also Published As

Publication number Publication date
TW200816373A (en) 2008-04-01

Similar Documents

Publication Publication Date Title
TW200816374A (en) Circuit component
US7470997B2 (en) Wirebond pad for semiconductor chip or wafer
US9612615B2 (en) Integrated circuit chip using top post-passivation technology and bottom structure technology
US7592205B2 (en) Over-passivation process of forming polymer layer over IC chip
CN101231993B (en) Circuit component
US7880304B2 (en) Post passivation interconnection schemes on top of the IC chips
CN101231998B (en) Circuit component
US7459790B2 (en) Post passivation interconnection schemes on top of the IC chips
TWI344686B (en) Circuit component and process for forming the same
TWI308785B (en) Chip structure and method for fabricating the same
CN101231994B (en) Circuit component
CN101231997B (en) Circuit component
CN101231995B (en) Circuit component
US20090032939A1 (en) Method of forming a stud bump over passivation, and related device
US20030053277A1 (en) Integrated circuit device with bump bridges and method for making the same
CN101231996B (en) Circuit component
US7919412B2 (en) Over-passivation process of forming polymer layer over IC chip

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees