TWI242264B - Nonvolatile memories with a floating gate having an upward protrusion - Google Patents

Nonvolatile memories with a floating gate having an upward protrusion

Info

Publication number
TWI242264B
TWI242264B TW093103313A TW93103313A TWI242264B TW I242264 B TWI242264 B TW I242264B TW 093103313 A TW093103313 A TW 093103313A TW 93103313 A TW93103313 A TW 93103313A TW I242264 B TWI242264 B TW I242264B
Authority
TW
Taiwan
Prior art keywords
gate
layer
conductor
dielectric
floating
Prior art date
Application number
TW093103313A
Other languages
Chinese (zh)
Other versions
TW200421559A (en
Inventor
Yi Ding
Original Assignee
Mosel Vitelic Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mosel Vitelic Inc filed Critical Mosel Vitelic Inc
Publication of TW200421559A publication Critical patent/TW200421559A/en
Application granted granted Critical
Publication of TWI242264B publication Critical patent/TWI242264B/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • G11C16/0433Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors comprising cells containing a single floating gate transistor and one or more separate select transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

In a nonvolatile memory cell, the floating gate (160) has an upward protruding portion. This portion can be formed as a spacer over a sidewall of the select gate (140). The spacer can be formed from a layer (160.2) deposited after the layer (160.1) which provides a lower portion of the floating gate. Alternatively, the upward protruding portion and the lower portion can be formed from the same layers or sub-layers all of which are presented in both portions. The control gate (170) can be defined without photolithography. Other embodiments are also provided.

Description

'1242264 2------------------ 五、發明說明(1) 發明所屬之技術領域 本發明是關於一種具有上方突出(upward protrusion)構造浮動閘極(fi〇at ing gates)的非揮發性 記憶體(nonvolatile memories)。 先前技術 以改變或偵測(sensing)在浮動閘極的電荷(charge) 來操作具有可導電的浮動閘極的非揮發性記憶體單元 (nonvolatile cell)。以引發(inducing)電壓(v〇itage) 於浮動閘極的方式來改變或偵測在浮動閘極的電荷。電壓 的引發是靠浮動閘極和其他閘極(例如:控制閘極 (control gate))間的電容耦合(capacitive coupling)。 為了降低非揮發性記憶體單元的操作電壓(〇 p e r a t丨n g voltages),改善i择耦金皇率(gate coupling rati0)是 非常被渴望的’其中閘極耦合比率是二個閘極間的電容對 加上浮動閘極的總電容之比率。 第一圖展示在2000年5月2日公告的美國專利 6, 057, 5 75中所解釋的快閃記憶體單元(flash mem〇ry cell)。該單元在半導體基底12〇(semiconduct〇r substrate)上(in and over)形成。二氧化矽(sincon dioxide) 130在基底120上進行熱成長(thermaUy grown)。選擇閘極14〇(select gate)在二氧化矽130上形 成。二氧化石夕150在未被選擇閘極覆蓋的基底12〇區域上進'1242264 2 ------------------ V. Description of the invention (1) Technical field to which the invention belongs The present invention relates to a floating gate having an upward protrusion structure ( fi〇at ing gates) nonvolatile memories. In the prior art, a nonvolatile memory cell having a conductive floating gate is operated by changing or sensing a charge on the floating gate. Change or detect the charge on the floating gate by inducing voltage on the floating gate. The voltage is induced by capacitive coupling between the floating gate and other gates (eg, control gate). In order to reduce the operating voltage of non-volatile memory cells (〇peratng voltages), it is very desirable to improve the gate coupling rati0 (where the gate coupling ratio is the capacitance between the two gates). The ratio of the total capacitance plus the floating gate. The first figure shows a flash memory cell as explained in U.S. Patent No. 6,057,575, issued on May 2, 2000. The cell is formed in and over a semiconductor substrate 12 (semiconductor substrate). Sincon dioxide 130 is thermally grown on the substrate 120. A select gate 14 is formed on the silicon dioxide 130. The dioxide dioxide 150 advances on the area 12 of the substrate that is not covered by the selected gate.

1242264 五、發明說明(2) ? 行熱成長。ΟΝΟΙ 54( —種三明治架構,依序包含一層二氧· 化石夕、一層氮化石夕(silic〇n nitride)及一層二氧化石夕)在 選擇閘極1 4 0上在形成。浮動閘極丨6 〇形成於介電層丨5 〇及 154(dielectric layers)上。浮動閘極160的一部分座落 (overlie)在選擇閘極14〇上。 0N0層1 64形成在浮動閘極及選擇閘極上。控制閘極 1 7 0在0 Ν 01 6 4上形成。控制閘極座落在浮動閘極1 6 〇及選擇 閘極1 4 0上。 ' Ν +型的源極(source)及汲極(drajn)區域174及178在 基底120上形成。 因為浮動閘極1 6 0及控制閘極1 7 〇在選擇閘極上延伸, 在相對應的單位面積(cell area)不增加的情況下,浮動 閘極和控制閘極間的電容仍會增加。電容的增加基於二個 原因,一疋浮動閘極的水平部分和控制閘極一同在選擇閘 極上另疋垂直部为延著選擇閘極的側壁(sidewall)。 — 為了減低記憶體單元排列(array)及增加記憶體封裝 密度(packing dens i ty),利用自我對準製程 、self-aligned processes)來製作記憶體是渴望的,其中 自我對準衣私不太文頁光製程(ph〇t〇Hth〇graphy)的影 響。第一圖為以自我對準製程製作的記憶體單元,其中浮1242264 V. Description of the invention (2)? Thermal growth. ΟΝΟΙ 54 (a kind of sandwich structure, which sequentially includes a layer of oxygen, fossil, silicon nitride, and silicon dioxide) is formed on the selection gate 140. Floating gates 610 are formed on dielectric layers 510 and 154 (dielectric layers). A part of the floating gate 160 is located on the selection gate 14. The 0N0 layer 164 is formed on the floating gate and the selection gate. The control gate 1 70 is formed on 0 Ν 01 6 4. The control gate is located on the floating gate 16 and the select gate 140. The source and drain regions 174 and 178 of the N + type are formed on the substrate 120. Because the floating gates 160 and the control gates 170 extend over the selected gates, the capacitance between the floating gates and the control gates will still increase without increasing the corresponding cell area. The increase in capacitance is based on two reasons. The horizontal part of the floating gate and the control gate are on the selection gate, and the vertical part is the side wall extending along the selection gate. — In order to reduce the array of memory cells and increase the packing density of the memory, it is desirable to use self-aligned processes to make memory, in which self-alignment is not very private. The effect of text page light process (phOtoHthography). The first picture shows a memory unit made by a self-aligned process, in which the floating

1242264 五、發明說明(3) 個黃 動閑極1 60和控制閘極1 70的左側及右侧邊緣β 光光罩(mask)定義出的。 疋早 發明内容 本節總結了一些本發明的特徵。其他 解釋。本發明定義在申請專利範圍内,而發明 1 由發明說明引證的。 国疋ΊΓ以 v在一些本發明的實施例中,浮動閘極是從二個導體層 (conductive layers)形成。第二導體層提供了相連於選曰 擇閘極的上方突出(upward protrusi〇n)構造。第二 層形成在第一導體層之後。上方突出(upward protrusion)構造像間隔(spacer) 一樣覆蓋在選擇閘極的 側壁上。 在其他的實施例中,上方突出(upward pr〇trusi〇n) 構造和浮動閘極的低處(l〇wer)部分是一起形成在同一層 (layer)或是同一類複數層(layers) 曰 在一些本發明的貫施例中,控制閘極從控制閘極層形 成’控制閘極層是沉積在浮動間—極層和選擇閘極上。控制 閘極層向選擇閘極的上方突出去。突出是開發來以非黃光 式的自我對準方式而定義出控制閘極。1242264 V. Description of the invention (3) The left and right edges of the yellow moving idler 1 60 and the control gate 1 70 are defined by β light masks. Isahaya Summary of the Invention This section summarizes some of the features of the invention. Other explanations. The invention is defined within the scope of the patent application, while invention 1 is cited by the description of the invention. In some embodiments of the present invention, the floating gate is formed from two conductive layers. The second conductor layer provides an upward protrusive structure connected to the selective gate. The second layer is formed after the first conductor layer. The upward protrusion structure covers the sidewall of the selection gate like a spacer. In other embodiments, the upward structure and the lower part of the floating gate are formed together on the same layer or the same type of multiple layers. In some embodiments of the present invention, the control gate is formed from the control gate layer. The control gate layer is deposited on the floating inter-electrode layer and the selection gate. The control gate layer protrudes above the selection gate. Prominence was developed to define the control gate in a non-yellow light self-aligned manner.

第7頁 1242264 五、發明說明(4) 擇閘極的寬 離較小於 選 動閘極上’但控是 :::發明特徵會在後面敎述。本發明是申請專 圍中定義的 利範 實施方式 本節在敘述實施例但本發明不侷限在所舉出的每 例。本發明不會被特殊的材料、製程步驟、或尺产灵也 (dimendons)而限制。本發明是定義在申請專利^圍。 一 v第二圖(A)顯示本發明的其中一個實施例的記憶體 兀的剖面。浮動閘極由從二個層16〇·】及16〇· 2而形成' η搞1 j先形成1 6 〇 · 2層形成且被蝕刻而提供間隔於選擇 閘極1 40的側壁上。在一些實施例中,在沒有光罩芦 憶體單元上的情況下,丨60· 2層被非等向性蝕刻。θ ° 我們有時視160.1層及160.2層的組合為16〇層。16〇1 1242264 ' --------- 五、發明說明(5) —--- 層及160. 2層可以視為16〇層的次層(sub —丨吖“㈧ 介電層/dielectric) 164把浮動閘極隔絕於控制閘極 7 〇之外。第二圖(b )顯示記憶體單元的剖面,並除去介電 層164以強調控制閘極17〇及間隔丨以^的重疊㈧”^叩) D1 重—奪會增力口閘極辞會比率(gate coupling ratio)。 控制閘極1 7 0的厚度增加或在選擇閘極頂端的選擇閘極1 * 〇 及介電層810的結構的總厚度增加,則重疊^會增加。閘 極輕合比率不會因為記憶體單元增加而增加。在第二圖 (Α)及第二圖(Β)中,重疊D1較大於控制閘極170的厚度 Thcg ’因為間隔(spacer)16〇· 2的表面的傾斜形狀。在一 些實施例中,重疊D1至少〇. 〇8 //m。 第二圖(C)是選擇閘極14〇及浮動閘極間隔ι60· 2的鳥 瞰圖。選擇閘極的寬以Ws表示。巧隔16〇· 2到選擇閘極14〇 的距離以Df s標記。如第二圖(a)所示,間隔16〇. 2頂端的 右角落直接在選擇閘極1 4 〇的左邊緣的上面。所以D f s為 零。在一些實施例中,D f s大於零。在另一些實施例中,Page 7 1242264 V. Description of the invention (4) The width of the selective gate is smaller than that of the selective gate ’but the control is ::: The characteristics of the invention will be described later. The present invention is an exemplary embodiment defined in the application. This section describes examples, but the present invention is not limited to each of the examples. The present invention is not limited by special materials, process steps, or dimendons. The invention is defined in the patent application. A second figure (A) shows a cross section of a memory cell in one embodiment of the present invention. The floating gate is formed from two layers 16 ·· and 160 · 2 'η and 1 j are first formed by 16 2 · and are etched to provide a space on the sidewall of the selected gate 1 40. In some embodiments, the 60 · 2 layer is anisotropically etched without a photoresist on the body unit. θ ° We sometimes consider the combination of 160.1 layers and 160.2 layers as 160 layers. 16〇1 1242264 '--------- V. Description of the invention (5) ----- The layer and the 160. 2 layer can be regarded as a sub-layer of the 160 layer / dielectric) 164 isolates the floating gate from the control gate 70. The second figure (b) shows the cross section of the memory cell, and the dielectric layer 164 is removed to emphasize the control gate 17 and the interval 丨Overlapping ㈧ "^ 叩) D1 Heavy—Dou will increase the gate coupling ratio. If the thickness of the control gate 170 is increased or the total thickness of the structure of the selection gate 1 * 〇 at the top of the selection gate and the dielectric layer 810 is increased, the overlap ^ will increase. The gate lightening ratio does not increase with the increase in memory cells. In the second graph (A) and the second graph (B), the overlap D1 is larger than the thickness Thcg 'of the control gate 170 because of the inclined shape of the surface of the spacer 160.2. In some embodiments, the overlap D1 is at least 0.08 // m. The second image (C) is a bird's-eye view of a gate 14 and a floating gate interval ι 60 · 2. The width of the selected gate is expressed in Ws. The distance from 160.2 to the selection gate 14o is marked by Df s. As shown in the second figure (a), the right corner of the top of the interval 160.2 is directly above the left edge of the selection gate 1 4〇. So D f s is zero. In some embodiments, D f s is greater than zero. In other embodiments,

Df s介於零與Ws之間。 第二圖(D)顯示第二圖(A)中以線2D-2D為基準的水平 剖面。在這剖面,間隔丨6 〇 · 2與選擇閘極1 4 0間的距離d f s 是依照介電層1010及1030的厚度來決定,其中介電層loio 及1 030覆蓋(〇ver iay)在選擇閘極丨4〇的側壁。之後會說明Df s is between zero and Ws. The second image (D) shows the horizontal section in the second image (A) with reference to the line 2D-2D. In this section, the distance dfs between the interval 丨 0.2 and the selection gate 140 is determined according to the thickness of the dielectric layers 1010 and 1030. The dielectric layers loio and 1 030 cover (〇ver iay) in the selection. Gate 丨 4o sidewall. Will explain later

12422641242264

形成介,層的方法。在一些實施例中,在剖面上,Dfs比 IVs小’就像任何一個穿過選擇閘極14〇及間隔16〇. 2的平面 所成的水平剖面一樣。在一個實施例中,最小的特徵大小 是〇·18以"1,即Ws為〇·18 //m,而Dfs則在〇到250 A。但本 發明不偈限在前述的尺度大小和相對關係。 、 在第二圖(A)中,控制閘極17〇座落在浮動閘極的一部 2 1 6 0 · 1上’但控制閘極丨7 〇的頂端低於間隔丨6 〇 · 2的頂 端^在其他實施例中,控制閘極170的頂端和間隔16〇· 2的 頂端處於相同的高度,或是控制閘極1 70的頂端高於間隔 1—60· 2的頂端。再者,在第二圖(A)中,間隔16〇· 2的頂端 高於選擇問極140,但在其他實施例中,間隔16〇頂 等於或低於選擇閘極14〇。 心 一針對第一圖(A)及第三圖(B)的快閃記憶體排列,一個 示範性的製造程序將會以圖說明。第三圖(A)顯示了記憶 體f列的一些特徵的鳥敵圖。帛三圖(B )顯示更多特徵的 左,圖母個"己,丨思體單元包含了由1 6 0 · 1層及1 6 0. 2層構成 的/于動間極160、控制閘極17〇和選擇閘極14〇。浮動閘 極、控制,極和選擇閘極互相絕緣且都和半導體基底 j 20 (例如單晶石夕)絕緣。每個控制閘極丨7〇是控制閘極線 trol gate 1 ine)的一部份,其中控制閘極線也以HQ :不’控制閘極線沿著γ方向橫跨記憶體排列。在一些實 軛例中’ Υ方向是列方向,而每個控制閘極線丨讪提供了每Formation of meso-layer methods. In some embodiments, Dfs is smaller than IVs in the cross section, just like any horizontal cross section formed by a plane passing through the selection gate 14 and the interval 16.2. In one embodiment, the smallest feature size is 0.18 to " 1, that is, Ws is 0.18 // m, and Dfs is between 0 and 250 A. However, the present invention is not limited to the aforementioned sizes and relative relationships. In the second picture (A), the control gate 170 is located on a part 2 16 0 · 1 of the floating gate, but the top of the control gate 丨 7 〇 is lower than the interval 丨 6 〇 2 Tip ^ In other embodiments, the tip of the control gate 170 and the tip of the interval 160 · 2 are at the same height, or the tip of the control gate 170 is higher than the tip of the interval 1-60 · 2. Furthermore, in the second figure (A), the top of the interval 160.2 is higher than the selection question 140, but in other embodiments, the interval 16o is equal to or lower than the selection gate 14o. Focusing on the flash memory arrangement of the first picture (A) and the third picture (B), an exemplary manufacturing process will be illustrated. The third image (A) shows a bird-enemy map of some features of the memory column f. (3) The third figure (B) shows more features of the left and right figures. The "thinking unit" consists of 16 0 · 1 layer and 1 6 0. 2 layer / Yu Mo pole 160, control Gate 17 and select gate 14. The floating gate, control, and selection gates are insulated from each other and are insulated from the semiconductor substrate j 20 (eg, monocrystalline stone). Each control gate is a part of the control gate line trol gate 1 ine), wherein the control gate line is also arranged across the memory along the γ direction with the HQ: No 'control gate line. In some real yoke examples, the 'Υ direction is a column direction, and each control gate line 丨 讪 provides each

r1242264 ‘五、發明說明(7) 一列上的記憶體單元的控制閘極。不同的控制閘極線丨7() 或許會或許不會在電路上綁在一起。浮動閘極丨6 〇座落在 控制間極。每個浮動閘極的位置顯示在第三圖(A)的剖面 上母個選擇閘極140是選擇閘極線(seiect gate line) $ —部份’其中選擇閘極線也以丨4 〇表示,選擇閘極線沿 著Y方向;跨記憶體排列。基底(s u匕s ^ r a七e)隔離區域 220(場隔離區域)延伸在χ方向。在一些實施例中,χ方向 是行方向或位元線(b i 11 i ne )方向。每個2 2 0區域橫過所有 的A憶體單元排列。每個選擇閘極線丨4 〇和每個控制閘極 線170在220區域上互相交錯著。 接下來的圖形解釋記憶體製作過程中的過度 (intermediate)結構的垂直剖面,即在第三圖(a)中顯示 剖面平面圖,其由χ-χ,線、Υ1—γι,線及γ2_γ2,架構成的。 X-X’線通過在基底隔離區域22〇間的X方向。γι_γι,線經由 選擇閘極線140而通過Υ方向。γ2-γ2,線經由控制閘極線 170而通過Υ方向。 、在其中一個實施例中,記憶體的製作如後所解釋。利 用淺溝槽隔離(shallow trench is〇lati〇n)技術,將基底 隔離區域220形成在參雜p型的基底12〇内。更特殊地,如 第四圖(γ卜γι,剖面)所示,利用熱氧化(thermal oxidation)或其他技術,在基底12〇上形成二氧化矽層 410(墊氧化層)。接著,氮化矽層(silic〇n nitride)42〇r1242264 ‘fifth, the description of the invention (7) the control gate of the memory cell on a row. The different control gate lines 7 () may or may not be tied together in the circuit. The floating gate is located at the control pole. The position of each floating gate is shown on the cross section of the third figure (A). The parent gate 140 is a seiect gate line $-part of which is also indicated by 丨 4 〇 , Select the gate line along the Y direction; arrange across the memory. The base isolation region (field isolation region) 220 (field isolation region) extends in the χ direction. In some embodiments, the x direction is a row direction or a bit line (b i 11 i ne) direction. Each 220 region is arranged across all A memory body units. Each of the selection gate lines 170 and each of the control gate lines 170 are staggered with each other in the 220 area. The following figure explains the vertical section of the intermediate structure during the memory production process, that is, the third plan (a) shows the cross-sectional plan view, which consists of χ-χ, line, Υ1-γι, line, and γ2_γ2, frame Constituted. The X-X 'line passes through the X direction between the substrate isolation regions 22o. γι_γι, the line passes through the Υ direction via the selection gate line 140. γ2-γ2, the line passes through the control gate line 170 and passes through the Υ direction. In one of the embodiments, the memory is made as explained later. The substrate isolation region 220 is formed in the p-type substrate 120 by using a shallow trench isolation technique. More specifically, as shown in the fourth figure (γbγι, cross section), a silicon dioxide layer 410 (pad oxide layer) is formed on the substrate 120 by using thermal oxidation or other techniques. Next, a silicon nitride layer (silicon nitride) 42.

第11頁 Ί242264 五、發明說明(8) ___ 沉積在二氧化矽層410。接著,在氮化矽芦 光製程及光阻遮罩(phot〇resist mask)(丄書 |用黃 中),顯影圖形並定義出隔離溝槽2 20τ。 ^ 7, 石夕層420的開口部分,鞋刻二氧化石夕層4i〇m=化 成隔離溝槽220T。每個隔離溝槽22 0T橫跨過所有的 方向的記憶體排列。 ,的、在X f程,以一定餘刻時間的濕飯刻 ^ ^將虱化矽層的垂直邊緣蝕刻到相對溝槽22〇τ而古曰 内陷的。如第五圖(Y1_Y1,剖面)。在這步驟後,二氧 層410也是相對溝槽22〇1>而言是内陷的。 夕 者,熱成長一層薄二氧化矽層22〇.】在外露的矽 ,二,,化(r〇Und)隔離溝槽22〇T的邊緣。接著,用高‘ 又"水h一lgh densi ” Piasma}技術沉積一層二氧化矽層 220·2。=氧化矽層22〇·2填滿溝槽並且先覆蓋氮化矽層曰 . 氧化石夕層220· 2以化學機械研磨(chemicalPage 11 Ί242264 V. Description of the invention (8) ___ Deposited on the silicon dioxide layer 410. Next, in the silicon nitride reed process and photoresist mask (Yanshu | in yellow), the pattern is developed and the isolation trench 2 20τ is defined. ^ 7, the opening part of the Shi Xi layer 420, the shoe engraved with the Shi Xi layer 4 i0m = formed into an isolation trench 220T. Each isolation trench 22 0T is arranged across the memory in all directions. In the process of X f, the vertical edge of the siliconized silicon layer is etched to a relative groove 22〇τ with the wet rice of a certain remaining time. As shown in the fifth figure (Y1_Y1, section). After this step, the dioxygen layer 410 is also recessed relative to the trench 2201>. In the future, a thin layer of silicon dioxide is thermally grown on the edge of the exposed silicon isolation trench 22oT. Next, a high "water lgh densi" Piasma} technique is used to deposit a silicon dioxide layer 220 · 2. = The silicon oxide layer 22〇 · 2 fills the trench and covers the silicon nitride layer first. Evening layer 220 · 2 is chemically and mechanically polished.

mechanical p〇i lshing)方式進行拋光。整個拋光程序停 止在氮化矽層42〇上,則提供平坦表面。 在接_下的圖案及第三圖(A)、第三圖(B)中,220.1及 22 0.2這二層會以同一層22〇來表示。mechanical poi lshing). The entire polishing process stops on the silicon nitride layer 42 and provides a flat surface. In the pattern below and in the third (A) and third (B) drawings, the two layers 220.1 and 22 0.2 will be represented by the same layer 22 °.

第12頁 1242264Page 12 1242264

的量是等於二氧化石夕層410及氮化石夕層420厘疮^ ^ _ 曰△υ 7予度的娵: 氧化石夕2 2 0的犬出高於基底部分以2 2 〇 ρ表示。 〜 刻(例如鱗酸溶液)去除氮 化石夕2 2 0不會被姓刻過多, 化石夕層4 2 0, 如第六圖的 接著,用濕I虫 但相對而言,二氧 Υ1-Υ1’ 剖面。 植入參雜物(dopant)到基底120而在記憶體排列下护 成N型區域604。另又沿著記憶體排列植入參雜物到基底而 形成彳文基底120表面往下到區域6〇4的N型區域(去瓶一二 山、 、上丨.,丄 一八、不^顯不在圖 中)。这些植入,對於記憶體排列,產生完全隔絕的p型井 區(well)120W。區域604並未顯示在之後的圖中。 一氧化石夕2 2 0被指定給一種餘刻方法。(如第七圖, Υ1-ΥΓ剖面)這種蝕刻方法含有橫向蝕刻的成分 (component),使得二氧化矽22〇的侧壁被側向蝕刻而由主 動區710(active areas)凹陷。主動區是未包括溝槽“竹 的基底上的區域。蝕刻方法能是一種等向濕蝕刻方^。這 樣的蝕刻方法可以改善浮動閘極和控制閘極間的電容耦 合,本案發明人曾於美國專利申請案編號1〇/262,785(申 請是2002年1〇月1日)中也提過。 ’ 二氧化石夕220的部分220P並未被全部蝕刻光,而繼續 在基底1 2 0的頂部表面突出。在一個〇 · j 8 # m製程(最小線The amount is equal to the stone oxide layer 410 and the nitride stone layer 420 centigrade sores ^ ^ _ ^ Δ 7 Degree of 娵: The dog out of the oxide stone layer 2 2 0 is higher than the base part is represented by 2 2 0 ρ. ~ In a moment (for example, a solution of scale acid), the nitrided stone 2 2 0 will not be engraved too much by the last name, and the fossil evening layer 4 2 0, as shown in the sixth figure, with a wet I insect but relatively speaking, dioxin 1-Υ 1 'Section. A dopant is implanted into the substrate 120 to protect the N-type region 604 under the memory arrangement. In addition, the impurities are implanted into the base along the memory to form the N-type area of the surface of the inscription base 120 down to the area 604 (Go to the bottle, two mountains,, upper 丨., Eighteen, no ^ (Not shown in the figure). These implants, for memory alignment, produced a completely isolated p-well 120W. The area 604 is not shown in the subsequent figures. Oxide oxide 2 2 0 is assigned to an afterglow method. (As shown in the seventh figure, section Υ1-ΥΓ) This etching method contains a component of lateral etching, so that the sidewall of the silicon dioxide 22 is etched laterally and recessed by the active areas 710 (active areas). The active area is the area on the substrate that does not include the trench. The etching method can be an isotropic wet etching method. Such an etching method can improve the capacitive coupling between the floating gate and the control gate. Also mentioned in U.S. Patent Application No. 10 / 262,785 (application dated October 1, 2002). 'Some 220P of the dioxide dioxide 220 is not completely etched, but continues on top of the substrate 1 2 0 The surface protrudes. In a 〇 · 8 # m process (minimum line

第13頁 1242264Page 13 1242264

擇電夕:露區域,熱成長二氧化石夕130以提供選 擇電日日體(select transistor)間極介電物質。在一個 子^,二氧化矽130厚度為120 A。一般來說,二氧化矽厚 度是依照二氧化矽1 3 〇所設計來承受記憶體運作的最大 壓來決定。 如第八圖所示(γ 1 - γ 1,剖面),具導電性的多晶矽 (polysilicon)層 140 以等向沉積(conformai deposition) 製程來形成在整個結構上。等角沉積製程例如為低壓化學 氣相沉積(low pressure chemical vapor deposition) ° 多晶石夕1 4 0覆蓋了在記憶體排列區的二氧化石夕突出構造 2 2 0 P間的間隔。多晶矽的頂部表面是平坦的,因為在突出 構造2 20P的側壁所沉積的多晶矽會相互碰在一起。 非等向性(non-conformal)沉積製程,無論是已知的 或將要發明出的,都可以使用。如果多晶矽1 4 0的頂部表 面不是平坦的,相信多晶矽1 4 0的頂部表面可以利用一些 1242264 —画 五、發明說明(11) 已知的製程方法(例如:化學機械研磨、或塗佈一層 層在多晶矽1 40上,且接著再相同的蝕刻率下同時, 晶矽及光阻,直到光阻被移除為止)來達到平坦化。二二 石夕140的底部表面不是平坦的,當該表面是一上一下 在二氧化矽突出結構220P上。 、走 在一個例子中,多晶矽1 4 〇在主動區的最終厚 (K 06 //m。 、又馬 氮化矽810沉積在多晶矽14〇上,例如用壓化學氣相沉 積的方式,而在一個例子中的厚度為15〇〇〇A。如果合高儿 的,在沉積氮化矽前,可先形成墊二氧化矽層在多晶"石夕 ^ 140上(未在圖示上)。在蝕刻控制閘極的多晶矽i7〇的過 中,墊二氧化矽層提供選擇閘極另外的保護,其中 1 7 0將於後關聯著第二十一圖而解釋。 在些只施例中,多晶矽1 4 0和(或是)氮化矽8丨〇的頂 部表面不是平坦的。 —塗佈一層光阻在晶圓表面(未在圖示上)。光阻被顯影 而ί f *選?閘極線14Q。見第三圖(A)並也見第九圖所示 的左觀圖每一個選擇閘極線1 4 0向Y方向延伸而經過整個 記憶體排列。記憶體排列對定義線140的光罩和定義隔離 溝槽22 0丁的對準偏離(111丨3&141111^111:)不敏感(如第四圖), 第15頁 *1242264 五、發明說明(12) 除了記憶體排列邊界外。 氮化石夕8 1 0經由光阻開口處被餘刻。光阻被移除且被 氮化砍8 1 0暴露的多晶碎1 4 0亦被钱刻光。結要' σ衣艰成了選擇 閘極線140。(在另外的實施例中,用來定義氮化石夕81〇、 光阻在蝕刻多晶矽1 40的過程中一併移除。) 、 如第十圖所示(X - X ’剖面),該結構被氧化以成長一, 化矽1010在選擇閘極線140的側壁上。接著,+ ^上、一氧 牧I 在沒有光罩 在記憶體排列之上的情況下,進行沉積薄的、等向 化石夕層1030並對之作非等向性餘刻,已形成間隔^包括^ 擇閘極線1 4 0、座落著的氮化矽81 〇及側壁二氧化石} 〇 ^ 結構的侧壁上。形成氮化矽間隔在H· Tuari ^夕λα〇1、0的 專利6, 3 55, 524中(公告於二〇〇二年三月十二&日.有美國 敘述,而在本發明被引用為參考。 所 矽的蝕刻移除二氧化矽 (如第十一圖,X —X,剖面 以達到期望的厚度,例 一種總括的(b 1 a n k e t)二氧化 1 3 0所露出的部分。二氧化石夕u 〇 )以熱氧化的方式成長在基底12〇 如 90 A 〇Selective power: In exposed areas, the dioxide dioxide 130 is thermally grown to provide a dielectric material between the select transistors. In one sub ^^, the thickness of silicon dioxide 130 is 120 A. Generally, the thickness of silicon dioxide is determined according to the maximum pressure that the silicon dioxide 130 is designed to withstand the operation of the memory. As shown in the eighth figure (γ 1-γ 1, cross section), a conductive polysilicon layer 140 is formed on the entire structure by a conformai deposition process. The equiangular deposition process is, for example, low pressure chemical vapor deposition (° P). Polycrystalline stone 1 40 covers the space between 2 2 0 P of the protruding structure of the stone dioxide in the memory arrangement area. The top surface of the polycrystalline silicon is flat because the polycrystalline silicon deposited on the side walls of the protruding structure 2 20P will touch each other. Non-conformal deposition processes, whether known or to be invented, can be used. If the top surface of the polycrystalline silicon 1 40 is not flat, I believe that the top surface of the polycrystalline silicon 1 40 can use some 1242264-Drawing V. Description of the invention (11) Known manufacturing methods (such as chemical mechanical polishing, or coating layers) On the polycrystalline silicon 140, and then at the same etch rate at the same time, the silicon and the photoresist are removed until the photoresist is removed) to achieve planarization. The bottom surface of the 22 Shi Xi 140 is not flat. When the surface is up and down on the silicon dioxide protruding structure 220P. In an example, the final thickness of polycrystalline silicon 1 40 in the active region (K 06 // m.), And silicon nitride 810 is deposited on polycrystalline silicon 14, for example, by means of compressive chemical vapor deposition, and In one example, the thickness is 15,000 A. If it is high, before the silicon nitride is deposited, a pad of silicon dioxide can be formed on the polycrystalline " Shi Xi ^ 140 (not shown). In the process of etching the polysilicon i7 of the control gate, the pad silicon dioxide layer provides additional protection for the selected gate, of which 170 will be explained later in connection with the twenty-first figure. In some examples only The top surfaces of polycrystalline silicon 140 and (or) silicon nitride 8 are not flat.-A layer of photoresist is coated on the surface of the wafer (not shown). The photoresist is developed and f * selected Gate line 14Q. See the third view (A) and also the left view shown in the ninth figure. Each selected gate line 1 40 extends in the Y direction and passes through the entire memory array. Memory array pair definition The alignment deviation (111 丨 3 & 141111 ^ 111 :) of the mask of the line 140 and the isolation trench 220 is not sensitive (as shown in the fourth figure), Page 15 * 1242264 V. Explanation of the invention (12) Except for the memory arrangement boundary. Nitride stone 8 1 0 passes through the opening of the photoresist. The photoresist is removed and the polycrystalline silicon exposed by nitriding cutting 8 1 0 Broken 1 40 is also engraved by money. The key point is to select the gate line 140. (In another embodiment, it is used to define the process of nitride nitride 810, photoresist etching of polycrystalline silicon 1 40 All of them are removed.) As shown in the tenth figure (X-X 'section), the structure is oxidized to grow one, and silicon 1010 is on the sidewall of the select gate line 140. Then, + ^ on, one Oxygen I without a mask over the memory array, deposit a thin, isotropic fossil evening layer 1030 and make it anisotropic. After that, a gap has been formed. ^ Includes ^ Select gate line 1 40. The silicon nitride 81 〇 and the side wall dioxide} are located on the side wall of the structure. The silicon nitride spacer is formed in H. Tuari ^ Xi λα 〇 0, Patent 6, 3 55, 524 (Announcement on March 12, 2002 & date. There is a US description, and the present invention is incorporated by reference. The silicon etching removes silicon dioxide (as in A picture, X-X, cross-section to achieve the desired thickness, for example, a general (b 1 anket) exposed part of the dioxide 1 30. The dioxide dioxide is grown on the substrate 12 by thermal oxidation. Such as 90 A 〇

:1242264 五、發明說明(13) ^ ^ 1層的頂部面是至少等高於氮化矽8 1 〇的頂部面。特別是多,· 晶矽1 6 0 · 1包含介於選擇閘極1 4 0間的區域1 6 Ο T。區域1 6 0 丁 至少等高於氮化矽8 1 0的頂部面。 160· 1層以氮化秒810為停止層的的化學機械研磨方 式、或其他製程來進行平坦化。見第十二圖(X — X,剖面 )。多晶石夕1 6 0 · 1的頂部面變得和氮化石夕8 1 〇的頂部面一樣 有相當的平坦。化學機械研磨製程及使用研磨液(slurry )是被知道可以允許來避免多晶石夕層的頂部面的凹陷 (dishing)。 钃 多晶矽1 6 0 · 1被蝕刻。1 6 0 · 1層在記憶體排列區域内未 被遮罩。#第十三圖(A) (X-X,剖面)及第十三圖(B) (Y2-Y2’剖面)。當溝槽内的二氧化矽220變成曝露時, 敍刻即終止。實施一個適當的過度钱刻(〇 v e r e t c h )來完全 移除二氧化矽2 2 0頂部面上的多晶矽1 6 0 · 1。在一些實施例 中’多晶矽160· 1最中厚度介於80 0 A- 1 200 A間。 可選擇地對二氧化矽220實施以時間為基準的蝕刻以 使一氧化石夕2 2 0的頂部面退回到多晶石夕1 6 0 · 1的表面下。見 第十四圖(Y2-Y2,剖面)。這樣的蝕刻在改善浮動閘極和 控制閘極間的電容耦合。見前述的美國專利6, 355, 524 魂。在第十四圖的實施例中,二氧化矽2 2 〇繼續在基底1 2 〇 的頂部面上突出著,如220P所示,約有0.06 //m到0.10#: 1242264 V. Description of the invention (13) ^ ^ The top surface of layer 1 is at least equal to the top surface of silicon nitride 8 1 0. In particular, · 16 1 · · crystalline silicon contains a region 1 6 0 T between the selection gates 1 4 0. The region 16 0 D is at least as high as the top surface of the silicon nitride 8 1 0. The 160 · 1 layer is planarized by a chemical mechanical polishing method using a nitride layer of 810 as a stop layer or other processes. See figure twelve (X-X, section). The top surface of the polycrystalline stone Xi 16 1 · 1 becomes quite flat as the top surface of the nitride stone Xi 8 1 0. The chemical mechanical polishing process and the use of slurry are known to allow to avoid dishing on the top surface of the polycrystalline stone layer.钃 Polycrystalline silicon 16 0 · 1 is etched. The 16 0 · 1 layer is not masked in the memory arrangement area. #Thirteenth figure (A) (X-X, section) and thirteenth figure (B) (Y2-Y2 'section). When the silicon dioxide 220 in the trench becomes exposed, the narration is terminated. Implement an appropriate excessive engraving (〇 v r e t c h) to completely remove polycrystalline silicon 16 0 · 1 on the top surface of silicon dioxide 2 2 0. In some embodiments, the polysilicon 160 · 1 has a median thickness between 80 0 A and 1 200 A. Optionally, the silicon dioxide 220 is etched on a time basis so that the top surface of the monolithic oxide 2 2 0 is retracted below the surface of the polycrystalline silicon 16 0 · 1. See figure 14 (Y2-Y2, section). Such etching improves the capacitive coupling between the floating gate and the control gate. See the aforementioned U.S. Patent 6,355,524. In the embodiment of the fourteenth figure, silicon dioxide 2 2 0 continues to protrude on the top surface of the substrate 1 2 0. As shown in 220P, there is about 0.06 // m to 0.10 #

第17頁 1242264 五、發明說明(14) m。在其他的實施例中,二氧化矽2 2 0並未在蝕刻後突出在 基底上。 第二多晶矽1 6 0 · 2 (第十五圖)沉積在結構上。這導 電層,在沉積過程中或是在沉積後,如同1601層的導電 性種類進行參雜(doped )。多晶矽1 60· 2是等向性的。-種例示的沉積方法是L P C V D。一種例示的厚度是1 2 〇 〇 A。 在記憶體排列上沒有遮罩之情況下,多晶矽丨6 〇 · 2被 非等向性蝕刻以形成在介電層側壁丨〇3〇上的多晶矽間隔 160. 2A。見第十六圖(Α) (χ_χ,剖面)及第十六圖(B)(宏 觀圖.)。水平蝕刻率或許會或或許不會等於零,但其小於 垂直蝕刻率。蝕刻終點是氮化矽81 〇及(或)溝槽内的、二氧 ^匕矽220的路出。目為結合著前述的與第十四圖相關聯的 氧化矽220的蝕刻(使二氧化矽22〇的頂部面退回到多晶矽 16=表面下的蝕刻),多晶矽16〇2的蝕刻或許也會形 成間隔160· 2B(第十六|& 、弟十-圖⑻)在罪近主動區域的多晶矽 1 6 0 · 1的側壁上〇 列上來光伴阻蒦遮部罩!5二十七圖(A)俯視圖)形成於記憶體排 :於m: °.2。第十七圖⑴顯示了相對 素的遮罩150°的位置。遮罩被圖形化 光阻特徵。每個具有該特徵的光阻 15。〇在…溝槽220了間的主動區上延伸。這 1242264 五、發明說明(15) 盍了未來的源線區178(source line region)及在相鄰的 選擇閘極線1 4 0間的二個控制閘極線丨7 〇的位置。向χ方向 延伸的遮罩邊緣被置於溝槽2 2 0 Τ之上。向γ方向延伸的遮 罩邊緣能被置於選擇閘極線1 4 0之上任何地方。因此,所 有在€憶體排列區域的遮罩開口邊緣被置於選擇閘極線 140及(或)溝槽220Τ之上。 ' 在被光阻1500曝露的區域,多晶石夕層ι6〇·2及wo·} 被蝕刻掉。結果的結構顯示在第十七圖(Β)。多晶矽丨6 〇 · i 及160· 2被移除出未來的位元線(bitHne)區域174上的相 鄰的選擇閘極線140間。氧化矽150變成曝露在這些區域。 在未來的源線區1 7 8及控制閘極線1 7 〇的位置的區域,多晶 矽160.1未被蝕刻。部分的多晶矽間隔16〇·2Α被由隔離溝曰曰 槽22 0Τ上移除。間隔丨60· 2Α的剩餘部分各形成為了在選 閘極線140的側壁上的為了一個記憶體單元的浮動閘極的 上方突出。 …在此第十這光阻1 500涵蓋著間隔⑽Page 17 1242264 V. Description of the invention (14) m. In other embodiments, the silicon dioxide 2 2 0 does not protrude on the substrate after etching. A second polycrystalline silicon 16 0 · 2 (fifteenth figure) is deposited on the structure. This conductive layer is doped during the deposition process or after the deposition, like the conductive type of the 1601 layer. Polycrystalline silicon 1 60 · 2 is isotropic. An exemplary deposition method is L P C V D. An exemplary thickness is 12 00 A. In the case where there is no mask on the memory array, the polycrystalline silicon 6 2 · 2 is anisotropically etched to form a polycrystalline silicon space 160.2 A on the sidewall of the dielectric layer 1 30. See Figure 16 (Α) (χ_χ, section) and Figure 16 (B) (macro view.). The horizontal etch rate may or may not be equal to zero, but it is less than the vertical etch rate. The end point of the etching is the exit of the silicon oxide 810 and / or the silicon dioxide 220 in the trench. In order to combine the aforementioned etching of the silicon oxide 220 associated with the fourteenth figure (the top surface of the silicon dioxide 22o is returned to the polycrystalline silicon 16 = etching below the surface), the polycrystalline silicon 160 may also be formed At an interval of 160 · 2B (sixteenth & brother ten-picture ⑻) on the side wall of the polycrystalline silicon 1 60 0 · 1 near the active area of the sin, column 0 comes up with a light-shielding shield cover! 5 27 pictures ( A) Top view) Formed in the memory bank: at m: ° .2. Figure 17 shows the position of the relative prime mask at 150 °. The mask is patterned with photoresist features. Each has a photoresistor 15 of this characteristic. 〇 extends on the active area of the trench 220. This 1242264 V. Description of the invention (15) shows the position of the future source line region 178 (source line region) and the two control gate lines between the adjacent selection gate lines 140. The edge of the mask extending in the χ direction is placed on the groove 2 2 0 T. The edge of the mask extending in the γ direction can be placed anywhere above the selection gate line 1 40. Therefore, all the edges of the mask openings in the memory array region are placed above the selection gate line 140 and / or the trench 220T. 'In the area exposed by the photoresist 1500, the polycrystalline layers 602 · 2 and wo ·} were etched away. The structure of the result is shown in Figure 17 (B). Polycrystalline silicon 610 · i and 160 · 2 are removed from the adjacent select gate lines 140 on the future bit line area (bitHne) region 174. Silicon oxide 150 becomes exposed in these areas. In the future source line area 178 and the area controlling the position of the gate line 170, polycrystalline silicon 160.1 is not etched. Part of the polysilicon spacer 16 2A was removed from the isolation trench 22 0T. The remaining portions of the interval 60 · 2A are each formed so as to protrude above the floating gate for one memory cell on the side wall of the gate line 140. … Here the tenth photoresist 1 500 covers the interval ⑽

響 移 間 如果遮罩15〇〇向γ方向偏移,間隔丨6〇· 2Β將不合与 ,只要他們被遮罩保護著。間隔160.2Β將會向Υ方 心 d是1個間格160·2^γ方向的長度將會維持不變。 隔160. 2Β的偏移相信不會對記憶體單元的電性有顯著的Response interval If the mask is shifted from 1500 to the γ direction, the interval 丨 2B will not be compatible as long as they are protected by the mask. The interval 160.2B will be toward the center of the d. The length in the direction 160 · 2 ^ γ of 1 compartment will remain unchanged. It is believed that the offset of 160. 2B will not have a significant effect on the electrical properties of the memory cell.

第19頁 1242264 五、發明說明(16) 影響。 間隔160· 2A在之後的圖示將會簡單的以160· 2標示。 ΟΝΟ層164(第十八圖,X-X,剖面)形成在結構上。控 制閘極多晶矽層170沉積在0Ν01 64上並且在沉積過程中或 在沉積後被參雜。 多晶矽1 70的頂部面不是平坦的。i 7〇層有著突出部分 1+70· 1在每個選擇閘極線14〇上。突出部分17〇· 1將被用作 定義控制及浮動閘極而不用額外依靠黃光對準步驟。 如第十八圖所示,洞穴(cavities)17〇c形成在突出部 分170· 1間的170層。如第十九圖所示(χ — χ,剖面),這些洞 穴被某材料1710填充。在一個實施例中,材料171〇是^積 在多晶矽170和被CMP或其他製程來平坦化的二氣 過曝露的多晶矽1 70的記憶體排列區域有平坦的頂部面。Page 19 1242264 V. Description of the Invention (16) Impact. The following illustration of the interval 160 · 2A will simply be labeled 160 · 2. The ONO layer 164 (eighteenth figure, X-X, section) is formed on the structure. A control gate polycrystalline silicon layer 170 is deposited on ONI 64 and is intermixed during or after deposition. The top surface of polycrystalline silicon 1 70 is not flat. The i 70 layer has a protruding portion 1 + 70 · 1 on each selection gate line 14o. The protruding part 17 · 1 will be used to define the control and floating gates without additional reliance on the yellow light alignment step. As shown in the eighteenth figure, caves 17oc are formed in the 170th floor between the protruding portions 170 · 1. As shown in Figure 19 (χ — χ, profile), these caves are filled with a material 1710. In one embodiment, the material 1710 is formed on the polycrystalline silicon 170 and the two-gas over-exposed polycrystalline silicon 170 which has a flat top surface.

多晶矽1 70在無遮罩的情況下進行相對於 有選擇性㈣刻’見第二十圖(χ —X’剖面)$段#刻會邊 害部分的多晶石夕170」,並在結構的頂部面創造洞穴 1 8 1 0。相對於氧化矽^ 〇,在這此 ^ 在坆些洞八中,多晶矽17Mi 回(recessed)。在如第- + _的每 ΠΜΠ 1β/1 „ 〇 士牡戈弟一十圖的只施例,蝕刻曝露了 0 N 0 1 6 4 ’並且繼績-段日本pH # rsi r π 、 ^奴日寸間退回(recess)在Ονο 164頂Polycrystalline silicon 1 70 is engraved without masking relative to selective engraving (see Figure 20 (χ-X 'section)) $ 段 #lithopolysilicone engraved with edge damage 170 ″, and in the structure The top face creates a cave 1 8 1 0. Compared to silicon oxide ^ 〇, here ^ In these holes, polycrystalline silicon 17Mi is recessed. In the only example of the tenth figure of each ΠΜΠ 1β / 1 „〇 Shimu Gedi, the etching exposes 0 N 0 1 6 4 ′ and following the performance-paragraph Japan pH # rsi r π, ^ 奴Daytime return (recess) at Ονο 164

第20頁 '1242264Page 20 '1242264

面之下的多晶矽1 7 0頂部面。然而這段蝕刻並非必要的步 驟。多晶石夕钱刻可以停止在曝露出〇Ν〇 1 64之前,或蝕刻 能停止當ΟΝΟ層變為曝露時。如果〇Ν〇 ι64被曝露,在選擇 閘極140的一邊,洞穴1810内的多晶矽丨7〇的寬度π將會以 接下將會解說的自我對準方法來定義控制閘極和浮動^極 的寬度。 在某些實施例,多晶矽17〇(在洞穴1810底部)的最小 厚度是〇.18//m,而且寬度¥1是小於018/zm。在第二十 圖,多晶矽170頂部面在洞穴1810中被回陷。在其他實施 例中,多晶矽1 7 0有一個遍佈記憶體排列區域的平坦的頂 部面。 、 一個用於保護的材料沉積於洞穴1 8 1 〇以保護靠近選擇 閘極1 4 0的部分的多晶矽1 7 〇。在一個實施例中,這材料是 氮化石夕1 9 1 0 (見第二十一圖’ X — X ’剖面)。氮化石夕1 9 1 〇沉積 在結構上,並以CMP來拋光直到氧化矽1 7 1 〇曝露在記憶體 排列區域。見第二十二圖(Α) (χ —X,剖面)。氮化矽191〇保 存在洞穴1 8 1 0。 除了 CMP以外’ II化石夕1910能被加工(pr〇cesse(j)以沉 積一層擁有平坦的頂部面的材料(未顯示)及以相同蝕刻 率的方式蝕刻該材料及氮化矽直到氧化矽丨7丨〇被曝露。這 材料能是光阻。這材料能被去除在氮化矽蝕刻後。Polycrystalline silicon top surface underneath. However, this etching step is not necessary. The polycrystalline stone engraving can be stopped before the exposure of ONO 1 64, or the etching can be stopped when the ONO layer becomes exposed. If 〇Νι64 is exposed, on the side of the selected gate 140, the width of the polycrystalline silicon in the cave 1810 and the width of the 70 will be defined by the self-alignment method that will be explained next. width. In some embodiments, the minimum thickness of polycrystalline silicon 170 (at the bottom of cave 1810) is 0.18 // m, and the width ¥ 1 is less than 018 / zm. In the twentieth figure, the top surface of the polycrystalline silicon 170 is trapped in the cave 1810. In other embodiments, the polycrystalline silicon 170 has a flat top surface throughout the memory arrangement area. A material for protection is deposited in the cave 1810 to protect the polycrystalline silicon 170 near the selected gate 1440. In one embodiment, the material is a nitrided stone 190 (see the twenty-first figure 'X-X' section). Nitride 190 was deposited on the structure and polished with CMP until silicon oxide 1710 was exposed in the memory array area. See Figure 22 (A) (χ-X, section). Silicon nitride 1910 remains in the cave 1 8 1 0. In addition to CMP 'II Fossil 1910 can be processed (pr〇cesse (j) to deposit a layer of material with a flat top surface (not shown) and etch the material and silicon nitride at the same etch rate until silicon oxide 丨7 丨 〇 is exposed. This material can be a photoresist. This material can be removed after the silicon nitride is etched.

1242264 五、發明說明(18) 反反射塗佈層(antireflection coating layer, ARC) 2010 ’如第二十二圖(A)所示,被流動在氮化矽191 〇 上並且烘烤。在這步驟後,這結構有了平坦的頂部面。 晶圓被塗佈一光阻層2020。光阻被圖案化以保護在每 個選擇閘極線1 4 0的一邊的部分的氮化石夕1 9 1 〇。第二十二 圖(Β)(俯視圖)顯示了對應於第三圖(Α)所示的特徵的遮罩 2020的位置。光阻202 0座落在控制閘極線丨70的未來的位 置’並且曝露這些介於鄰近的選擇閘極線丨4 〇之間的區 域,而在鄰近的選擇閘極線140中的控制閘極多晶矽17〇將 被移除。遮罩2 0 2 0的縱向邊緣可以被置於選擇閘極線丨4 〇 上方的任一位置。 被光阻2020曝露的氮化矽1910和反反射塗佈層(ARC) 2010被移除。光阻2020和反反射塗佈層(ARC) 2〇11的剩餘 部分接著被移除。完成後的記憶體排列結構顯示於第二十' 三圖(X-X’剖面)。在洞穴1810底部,氮化矽191〇保護著具 有寬度W1的部分多晶矽170(第二十圖及第二十三圖)' 八 氧化矽1710以總括的(blanket)蝕刻方式移出。完成 後的結構顯示在第二十四圖(χ-χ,剖面)。 70 以氮化矽1910為遮罩,多晶矽17〇在排列區域被钱1242264 V. Description of the invention (18) Antireflection coating layer (ARC) 2010 ′ As shown in FIG. 22 (A), it is flowed on the silicon nitride 1910 and baked. After this step, the structure has a flat top surface. The wafer is coated with a photoresist layer 2020. The photoresist is patterned to protect a portion of the nitride nitride 190 on one side of each of the select gate lines 1400. The twenty-second figure (B) (top view) shows the position of the mask 2020 corresponding to the feature shown in the third figure (A). The photoresist 2020 is located at the future position of the control gate line 丨 70 'and exposes these areas between the adjacent selection gate lines 丨 4 0, and the control gate in the adjacent selection gate line 140 Extremely polycrystalline silicon 170 will be removed. The longitudinal edge of the mask 2 0 2 0 can be placed at any position above the selection gate line 丨 4 〇. The silicon nitride 1910 and anti-reflective coating (ARC) 2010 exposed by the photoresist 2020 were removed. The remainder of the photoresist 2020 and the anti-reflective coating layer (ARC) 201 is then removed. The completed memory arrangement is shown in XX'3 (X-X 'section). At the bottom of the cave 1810, a silicon nitride 1910 protects a portion of the polycrystalline silicon 170 having a width W1 (Figure 20 and Figure 23). The silicon oxide 1710 is removed by blanket etching. The completed structure is shown in Figure 24 (χ-χ, section). 70. Silicon nitride 1910 is used as a mask, and polycrystalline silicon 17 is charged in the arrangement area.

1242264 五、發明說明(19) -- 刻。忒钱刻對一氧化石夕是有選擇性的,所以钱刻會停止 ΟΝΟ 164 上。 以氮化矽1 91 0為遮罩,ΟΝ〇 1 64和多晶矽丨6〇· 1被餘 刻。層164及160.1完全地由未被氮化矽191〇覆蓋的區域中 被移除掉。見第二十六圖(X —X,剖面)。氮化矽層191〇、 810、1 030及氧化矽150在蝕刻0Ν0 164的過程中被部分地 移除。浮動閘極160及控制閘極線丨7〇是完全地被定義在這 段步驟的結果,且如第三圖(Α)及第三圖(Β)的樣子。控制 閘極線1 70的頂部面的寬度為如前述的定義且被關聯於 二十圖的W1。 ' 斤晶圓被塗佈一光阻2620 (第二十七圖(Α),χ — χ,剖面, 及第二十七圖(B)未含有介電層的排列的俯視)。光阻被圖 案化以曝露源線1 78。每一個源線丨78橫越介於二個相鄰控 制閘極線1 70的記憶體排列,且在附帶著二控制閘極線的 二個列中提供一個源或汲極給每一個單元。 遮罩2620的對準不是緊要的(critical),因為遮罩開 口的左右邊緣能被置於選擇閘極線丨4〇或控制閘極線丨上 的任何地方。 在被遮罩2620曝露的區域(例如源線178的區域),二 氧化石夕220從溝槽220T移除。這餘刻移除在源線上的主^1242264 V. Description of the Invention (19)-Carved. Saving money is selective to the oxide of oxid, so it will stop on ΟΝΟ 164. With silicon nitride 1 91 0 as a mask, ONO 1 64 and polycrystalline silicon 60.1 are etched. Layers 164 and 160.1 are completely removed from areas not covered by silicon nitride 1910. See figure 26 (X-X, section). The silicon nitride layers 1910, 810, 1 030, and silicon oxide 150 were partially removed during the etching of ON0 164. The floating gate 160 and the control gate line 170 are the result of completely defining this step, and look like the third picture (A) and the third picture (B). The width of the top surface of the control gate line 1 70 is as defined above and is associated with W1 of the twenty figures. The wafer was coated with a photoresist 2620 (Figure 27 (A), χ — χ, cross section, and Figure 27 (B) top view of an arrangement that does not include a dielectric layer). The photoresist is patterned to expose the source line 178. Each source line 78 traverses the memory arrangement between two adjacent control gate lines 1 70, and a source or sink is provided to each cell in two columns with two control gate lines attached. The alignment of the mask 2620 is not critical because the left and right edges of the mask opening can be placed anywhere on the selection gate line 丨 40 or the control gate line 丨. In the area exposed by the mask 2620 (for example, the area of the source line 178), the dioxide 220 is removed from the trench 220T. Remove the master on the source line at this moment ^

第23頁 • 1242264 五、發明說明(20) 區域的氧化矽1 5 0。然後,以同樣的遮罩施行源線植入 (N + )。在某些實施例中,這是一個高能量、高植入濃度, 也可能是一個低能量、低濃度、高角度植入(例如角度可 由1 0到3 0度)以達到0 · 1 // m到〇 · 2 // m間的源線擴散深度。 在另一個實施例中,遮罩2 6 2 0被形成,接著在蝕刻掉 氧化石夕2 2 0前,進行高能量的N +植入,接著以相同的遮 罩’進行把氧化矽2 2 0由溝槽内蝕刻掉,接著其他的,以 相同的遮罩進行低能量的N型植入。第一次(高能量)植入 在溝槽中至少部分地被氧化秒2 2 0阻礙著,以避免源線1 7 8 和N型隔離區域6〇4(第六圖)之間的短路。見前述美國專利 編號6, 355, 524。 、 光阻2620被移除。一薄二氧化矽層29〇4(第二十八圖 (A),剖面)以適合的技術(例如,TEOS、HTO、RT〇/而 一,在、、Ό構上。在基底丨2 〇的矽表面上的氧化矽2 9 〇 4的例 不'生厚度為2〇〇 A到3〇〇 A。如果氧化石夕29〇4以熱沉積法 積,thermal oxidation ’ 快速熱氧化)沉 、則在亂化矽表面上的氧化矽將非常薄。 非耸Γ 化石夕層2 910被沉積及以一個無料的方式祯 (未圖°二蝕以形成側壁間隔在週邊電晶體的閘極上 圖不)。間隔2910亦形成在記憶體排 作為-朗終點而保護基底120。一個N+植二二Page 23 • 1242264 V. Description of the invention (20) Silicon oxide in the area 15 0. Then, perform source line implantation (N +) with the same mask. In some embodiments, this is a high-energy, high-implantation concentration, or it may be a low-energy, low-concentration, high-angle implant (for example, the angle can be from 10 to 30 degrees) to reach 0 · 1 // m to 〇 2 // m source line diffusion depth. In another embodiment, a mask 2 6 2 0 is formed, and then high-energy N + implantation is performed before the oxide oxide 2 2 0 is etched away, and then the silicon oxide 2 2 is performed with the same mask. 0 is etched away from the trench, followed by other, low-energy N-type implants with the same mask. The first (high-energy) implant is at least partially obstructed in the trench by oxidation seconds 2 2 0 to avoid a short circuit between the source line 178 and the N-type isolation region 604 (sixth figure). See the aforementioned U.S. Patent No. 6,355,524. Photoresist 2620 is removed. A thin silicon dioxide layer 2904 (Figure 28 (A), cross-section) is formed by a suitable technique (for example, TEOS, HTO, RT), and on a substrate. Examples of silicon oxide on the surface of silicon 2 〇 4 the thickness of 2000 Å to 300 Å. If the oxidized stone 2900 4 is deposited by thermal deposition method, thermal oxidation ′ rapid thermal oxidation), The silicon oxide on the surface of the disordered silicon will be very thin. The non-fossilized fossil evening layer 2 910 was deposited and spun in an unexpected manner (not shown). Second etch to form sidewall spacers on the gates of the surrounding transistors (not shown). Spaces 2910 are also formed in the memory bank to protect the substrate 120 as -lang endpoints. One N + Plant Two Two

第24頁 1242264 五、發明說明(21) 創造為了週邊的關⑽電晶體(未圖示)的LDD( lightly dopant drain,低簽雜汲極)結構,增加參雜濃度在週邊 的NM0S電晶體閘極及源線區域178,以及,參雜位元線區 域174。第二十八圖(B)是完成的記憶體排列結構的俯視。 浮動間極、控制閘極及選擇閘極和座落著的氮化矽層等遮 蔽了這植入’以致於在這記憶體排列内不需要額外的遮 蔽。 記憶體製作可使用已知的技術而完成。在第二十九圖 的例子中,金屬層間介電質(inter — level dielectrics)3204被沉積在晶圓上。接觸(contact)開口 被颠刻在介電層3204、2904及150以曝露位元線區域174。 一導體層321 0被沉積及圖案化以形成接觸位元線區域丨74 的位元線。如果層3 2 0 4、2 9 0 4及1 5 0從二氧化矽形成,用 於定義接觸開口的遮罩(未圖示)的對準不是緊要的,因為 選擇閘極1 4 0由氮化矽層2 9 1 0及1 0 3 0所保護著。 第三十圖是一個實施例的記憶體排列的電路圖,這是 一種NOR型的排列,這型排列於前述的美國專利6, 355, 524 有所解釋。每一個位元線32 1 0被二列的記憶體排列32 1 0所 共享。一個記憶體單元3 2 1 0以由該單元的通道區域(在該 單元的浮動閘極及選擇閘極下的基底1 2 0内的P型區域)到 浮動閘極160的熱電子注入(hot electron injection)方 式而被寫入程式。該記憶體單元以其產生由浮動閘極1 6 0Page 24 1242264 V. Description of the invention (21) Create an LDD (lightly dopant drain) structure for the peripheral transistor (not shown), and increase the NMOS transistor gate with the impurity concentration in the periphery. A pole and source line region 178 and a mixed bit line region 174. The twenty-eighth figure (B) is a top view of the completed memory arrangement structure. The floating gates, control gates and selection gates and the sitting silicon nitride layer etc. mask this implantation 'so that no additional masking is required in this memory arrangement. Memory fabrication can be accomplished using known techniques. In the example in Figure 29, metal inter-level dielectrics 3204 are deposited on the wafer. Contact openings are etched into the dielectric layers 3204, 2904, and 150 to expose the bit line regions 174. A conductor layer 3210 is deposited and patterned to form bit lines that contact the bit line regions 74. If layers 3 2 0 4, 2 9 0 4 and 1 50 are formed from silicon dioxide, the alignment of the mask (not shown) used to define the contact openings is not critical because the gate 1 4 0 is selected from nitrogen The silicon layer is protected by 910 and 1030. Fig. 30 is a circuit diagram of a memory arrangement of an embodiment, which is a NOR type arrangement, which is explained in the aforementioned U.S. Patent No. 6,355,524. Each bit line 32 1 0 is shared by the two rows of the memory arrangement 32 1 0. A memory cell 3 2 1 0 is injected with hot electrons from the channel region of the cell (the P-type region within the cell's floating gate and the substrate 1 2 0 under the selected gate) to the floating gate 160 (hot electron injection). The memory cell is generated by a floating gate 1 6 0

第25頁 :1242264 五、發明說明(22) 到源線區域178或通道區域的FN穿隧(Fowler-NordheimPage 25: 1242264 V. Description of the invention (22) FN tunneling to source line area 178 or channel area (Fowler-Nordheim

Tunnel ing)的方式而被刪除程式。該單元由感應在相對應 的位元線區域1 7 4上的電流而被讀取。選擇閘極1 4 〇在讀 入、程式化、及可能的刪除操作中,被驅動到一個適合的 高電壓以選擇相對應的記憶體行。 關於記憶體製作過程的其他細節在發明人γ i D i ng的 另一的美國專利申請案(收件編號:Μ- 1 2 9 0 2 )Tunnel ing). The cell is read by the current induced in the corresponding bit line area 174. The selection gate 14 is driven to a suitable high voltage during reading, programming, and possible erasing operations to select the corresponding memory row. Additional details on the memory fabrication process are in another U.S. patent application by the inventor γ i D i ng (Receiving No .: M-1 2 9 0 2)

「NONVOLATILE MEMORIES AND METHODS OF FABRICATION」中所揭示的内容於本發明中一並作參考。 在第三十一圖中,以使用單個多晶矽層丨6 〇的方式來 製作浮動閘極。該晶圓透過在第九圖所示的階段而進行。 接著,多晶矽1 6 0沉積並被適度滲入雜質。一例示性的多 晶矽160的厚度是1600A。多晶矽160包含介於基底隔離區 域220的突出部分220P之間的部分160C。該部分160C有平 坦的頂部面。這步驟能以丨6〇層的等向性沉積(例如: LPCVD)至一適合的厚度而達成,以造成在突出部分22〇p的 側壁上的1 6 0層的部分可以在沉積的過程中相遇。 多晶石夕1 60被非等向性蝕刻,在無遮罩在記憶體排列 上的情況下,以形成介電質的侧壁丨〇 3 〇上的多晶矽間隔 1 6 0」2 (第二十三圖)。該蝕刻終點為氮化矽8丨〇及/或溝槽 内氧化石夕2 2 0的曝路時。多晶石夕被餘刻出基底隔離氧化石夕The contents disclosed in "NONVOLATILE MEMORIES AND METHODS OF FABRICATION" are incorporated herein by reference. In the thirty-first figure, a floating gate is fabricated by using a single polycrystalline silicon layer. This wafer is transmitted through the stage shown in FIG. Next, polycrystalline silicon 160 is deposited and moderately infiltrated with impurities. An exemplary polysilicon 160 has a thickness of 1600A. The polycrystalline silicon 160 includes a portion 160C between the protruding portions 220P of the substrate isolation region 220. This section 160C has a flat top surface. This step can be achieved by isotropic deposition of 60 layers (for example: LPCVD) to a suitable thickness, so that a portion of the 160 layer on the side wall of the protruding portion 22p can be deposited during the deposition process. Meet. Polycrystalline stone 160 is anisotropically etched to form a dielectric polysilicon space on the sidewall of the dielectric without masking on the memory array. Thirteen pictures). The end point of the etching is when the silicon nitride is exposed and / or the oxide in the trench is exposed to 2 2 0. Polycrystalline stone is etched by the base to isolate the oxide stone

'1242264 五、發明說明(23) 220的頂部面。多晶矽的部分16〇(;被向 頂部面變為和氧化矽2 2 〇的頂部面為同'1242264 V. Description of the invention (23) Top surface of 220. The polycrystalline silicon portion 16〇 (; is turned toward the top surface and becomes the same as the top surface of the silicon oxide 2 2 0

下蝕刻,該部分的 —平面。 遮罩1500形成如第十七圖(a)所示 的曝露部分被移除。最後的結構如第三 其餘的製造步驟能如同於前所述。 多晶矽間隔1 6 〇 十二圖所示。 2 弟 十四圖顯示在 外的實施例。該結構如第三;的製造階段的另 1 60為一薄層。因而,i 〇6c部分* 只鉍,但多晶矽 頂部面落於突出部分220P的頂部面、0面不疋平坦的。該 性的)。如第三十二圖的非等向下1 06C部分是等向 15〇〇形成於第三十一圖的結構之上餘刻疋可省略的。遮罩 部分被蝕刻光,以製作出第三十:多晶矽160的曝露 部分160C的表面起伏情況,每個結構。由於多晶石夕 行走於突出部分220P的侧壁。這鈐二:極160 一上-下地 (第三十五圖)。更有利地,浮制間極給重複著 170的較大表面區士或,所以增加了極有面對控制閘極 的電容耦合。 '了汗動閘極與控制閘極間 第 X-Γ 六圖顯示例示的第 五圖的記憶體的頗面Under etch, the -plane of the part. The mask 1500 is removed as shown in Fig. 17 (a). The final structure can be as described in the third remaining manufacturing steps. Polysilicon intervals are shown in Figure 16 and 12. 2 The fourteenth figure shows the embodiment outside. This structure is like the third; the other 160 in the manufacturing stage is a thin layer. Therefore, the io6c portion * is only bismuth, but the top surface of the polycrystalline silicon falls on the top surface of the protruding portion 220P, and the 0 surface is not flat. The sexual). As shown in the thirty-second figure, the non-isotropic downward part 10C is formed on the structure of the thirty-first figure in the isotropic direction, and can be omitted. The mask portion was etched to make the thirtyth: the exposed portion of polycrystalline silicon 160, the surface undulation of each structure. Since the polycrystalline stone is walking on the side wall of the protruding portion 220P. This twenty-two: pole 160 one up-down (Figure 35). More advantageously, the floating pole gives a large surface area repeating 170 or more, so the capacitive coupling of the pole facing the control gate is increased. 'Between the moving gate and the control gate Figure X-Γ Figure 6 shows the memory side of the illustrated Figure 5

12422641242264

本發明不限於任何特別的讀人、刪除或程式化的技 術’或不限於NOR型的記憶體排歹,j、亦或不限於 憶體排列架構或製造方法。例如遮罩15〇〇(第十七圖\;)\ 或許包含經由整個記憶體排列延伸於1方向的許多長條 狀,如第三十七圖所示。源線能由躺在基底12〇上且接觸 源線基底區域178的層所形成,且源線不需要通過隔離用 的溝槽。同樣地’基底隔離區域被隔斷在源線1 7 8。介電 質220不需要從溝槽内被蝕刻,在源線被滲入雜質前。基 底隔離可使用被併入於本發明内所參考的於2002年1〇月7 日由Chia - Shun Hsiao所k出的美國專利申請宰,編號 1 0/266, 378中所述的方法而形成。淺溝槽隔離可以被^ LOCOS或其他已知的或將被發明的隔離方法所取代。本發 明應用於多層的記憶體單元(該單元能儲存資訊的多種位 元)。其他的實施例或變化都在本發明的範圍内,定義於 申請專利範圍内。The present invention is not limited to any particular technology for reading, deleting or stylizing 'or to a memory array of the NOR type, j, or is not limited to a memory array architecture or a manufacturing method. For example, the mask 1500 (seventeenth figure \;) \ may contain many long bars extending in one direction through the entire memory arrangement, as shown in the thirty-seventh figure. The source line can be formed by a layer lying on the substrate 120 and contacting the source line base region 178, and the source line does not need to pass through a trench for isolation. Similarly, the 'substrate isolation region' is isolated at the source line 178. The dielectric 220 does not need to be etched from inside the trench, before the source line is infiltrated with impurities. Substrate isolation can be formed using the method described in U.S. Patent Application No. 10 / 266,378, issued by Chia-Shun Hsiao on October 7, 2002, incorporated by reference in the present invention. . Shallow trench isolation can be replaced by LOCOS or other isolation methods known or to be invented. The invention is applied to a multi-level memory unit (a unit that can store multiple bits of information). Other embodiments or variations are within the scope of the present invention and are defined within the scope of patent applications.

第28頁 •1242264 圖式簡单說明 圖式簡單說明 第一圖顯示先前技術的記憶體單元的剖面(cross section) 〇 第二圖(A)和第二圖(B)顯示本發明其中一個實施例的 記憶體單元的剖面。 第二圖(C)是第二圖(A)中一些特徵的鳥瞰圖(top view) 〇 第二圖(D)顯示第二圖(A)中一些特徵的水平剖面。 第三圖(A)是發明其中一個實施例的記憶體製作過程 中的其中一個結構的鳥瞰圖。 第三圖(B)是第三圖(A )中的記憶體於製造過程中的宏 觀圖(perspective view) o 第四圖至第八圖顯示了在製造過程中第三圖(A)及第 三圖(B)中的記憶體的剖面。 第九圖是在製造過程中第三圖(A)及第三圖(B)中的記 憶體的宏觀圖。P.28 • 1242264 Brief description of the drawings Brief description of the drawings The first diagram shows a cross section of a memory cell of the prior art. The second diagram (A) and the second diagram (B) show one implementation of the present invention. Example of a memory cell section. The second image (C) is a top view of some features in the second image (A). The second image (D) shows a horizontal section of some features in the second image (A). The third figure (A) is a bird's-eye view of one of the structures in the memory fabrication process of one embodiment of the invention. The third picture (B) is a macro view of the memory during the manufacturing process in the third picture (A). O The fourth to eighth pictures show the third picture (A) and the third picture during the manufacturing process. The cross section of the memory in the third figure (B). The ninth figure is a macro view of the memory in the third figure (A) and the third figure (B) during the manufacturing process.

第29頁 1242264 圖式簡單說明 第十圖、十一、十二、十三(A)、十三(B)、十四、十 五、十六(A)顯示了在製造過程中第三圖(A)及第三圖(B) 中的記憶體的剖面。 第十六圖(B)是在製造過程中第三圖(A)及第三圖(B) 中的記憶體的宏觀圖。 第十七圖(A)是在製造過程中第三圖(A)及第三圖(B)Page 1242264 Schematic description of the tenth figure, eleven, twelve, thirteen (A), thirteen (B), fourteen, fifteen, sixteen (A) shows the third figure in the manufacturing process (A) and the cross section of the memory in the third figure (B). The sixteenth figure (B) is a macro view of the memory in the third figure (A) and the third figure (B) during the manufacturing process. Figure 17 (A) is the third figure (A) and the third figure (B) during the manufacturing process

中的記憶體的鳥瞰圖。 第十七圖(B)是在製造過程中第三圖(A)及第三圖(B) 中的記憶體的宏觀圖。 第十八圖至第二十一圖、二十二圖(A)顯示了在製造 過程中第三圖(A)及第三圖(B)中的記憶體的剖面。Aerial view of memory. The seventeenth figure (B) is a macro view of the memory in the third figure (A) and the third figure (B) during the manufacturing process. The eighteenth to twenty-first and twenty-second (A) figures show the cross sections of the memory in the third (A) and the third (B) during the manufacturing process.

第二十二圖(B)是在製造過程中第三圖(A)及第三圖 (B)中的記憶體的鳥瞰圖。 第二十三圖至第二十六圖、二十七圖(A)顯示了在製 造過程中第三圖(A )及第三圖(B)中的記憶體的剖面。 第二十七圖(B)是在製造過程中第三圖(A)及第三圖Figure 22 (B) is a bird's-eye view of the memory in Figures 3 (A) and 3 (B) during the manufacturing process. The twenty-third to twenty-sixth figures, and the twenty-seventh figure (A) show the cross sections of the memory in the third figure (A) and the third figure (B) during the manufacturing process. The twenty-seventh figure (B) is the third figure (A) and the third figure in the manufacturing process

第30頁 Ί242264 圖式簡單說明 (B)中的記憶體的鳥瞰圖。 第二十八圖(A)顯示了在製造過程中第三圖(A)及第三 圖(B)中的記憶體的剖面。 第二十八圖(B)是在製造過程中第三圖(A)及第三圖 (B)中的記憶體的鳥瞰圖。Page 30 Ί242264 A brief bird's-eye view of the memory in (B). The twenty-eighth figure (A) shows a cross section of the memory in the third figure (A) and the third figure (B) during the manufacturing process. The twenty-eighth figure (B) is a bird's-eye view of the memory in the third figure (A) and the third figure (B) during the manufacturing process.

第二十九圖顯示了在製造過程中第三圖(A)及第三圖 (B)中的記憶體的剖面。 第三十圖是第三圖(A)及第三圖(B)中的記憶體的電路 圖(circuit diagram) 〇 第三十一圖到第三十五圖是本發明的實施例的製造過 程中的記憶體的宏觀圖。The twenty-ninth figure shows a cross section of the memory in the third figure (A) and the third figure (B) during the manufacturing process. Figure 30 is a circuit diagram of the memory in Figures 3 (A) and 3 (B). Figures 31 to 35 are the manufacturing process of the embodiment of the present invention. Macro view of the memory.

第三十六圖是本發明的實施例的製造過程中的記憶體 的刮面。 第三十七圖及第三十八圖是本發明的實施例的製造過 程中的記憶體排列(ar r ay )的鳥瞰圖。The thirty-sixth figure is a scraped surface of the memory during the manufacturing process of the embodiment of the present invention. Figures 37 and 38 are bird's-eye views of the memory arrangement (ar r ay) in the manufacturing process of the embodiment of the present invention.

第31頁 ! 1242264 圖式簡單說明 圖式代表符號說明 第一圖Page 31! 1242264 Simple illustration of the diagram Explanation of the symbols of the diagram First picture

120 :半導體基底 1 3 0 ··二氧化矽 120 :在基底 1 4 0 :選擇閘極 1 5 0 :二氧化矽 154 : ΟΝΟ 層 1 6 0 :浮動閘極 150、154 :介電層 164 ·· 0Ν0 層 1 7 0 :控制閘極 174 : Ν+型源極區域 178 :Ν+型汲極區域120: semiconductor substrate 130 silicon dioxide 120: on substrate 1 40: selection gate 1 50: silicon dioxide 154: ONO layer 1 6 0: floating gate 150, 154: dielectric layer 164 0Ν0 layer 17 0: control gate 174: Ν + source region 178: Ν + drain region

第二圖至第三十八圖 1 6 0 . 1,1 6 0 . 2 :浮動閘極二個層 1 4 0 :選擇閘極 160 :160.1層及160. 2層的組合 1 6 4 :介電層 1 7 0 :控制閘極 D1 :重疊 810 :介電層Figures 2 to 38 Figures 16 0 .1, 16 0. 2: Two layers of floating gates 1 4 0: Selection of gates 160: Combination of 160.1 layers and 160.2 layers 1 6 4: Introduction Electrical layer 17 0: Control gate D1: Overlay 810: Dielectric layer

第32頁 1242264 圖式簡單說明 1 6 0 . 2 :浮動閘極間隔 Ws :選擇閘極的寬 D f s :間隔1 6 (K 2到選擇閘極1 4 0的距離 1010、1 030 :介電層 160 浮 動 閘 極 120 半 導 體 基 底 220 基 底 隔 離 區域( 場隔 離區域) 170 控 制 閘 極 線 120 參 雜P型的基底 410 二 氧 化 矽 層(墊 氧化 層) 420 氮 化 矽 層 220T :隔離溝槽 220.1 : —層薄二氧化石夕層 220.2 : —層二氧化矽層 2 2 0P :二氧化矽220的突出高於基底部分 6 0 4 : N型區域 120W : P型井區 710 :主動區 1 3 0 :二氧化矽 14 0 :多晶矽層 8 1 0 :氮化矽 1 0 1 0 :二氧化矽 1 0 3 0 :氮化矽層 1 5 0 :二氧化矽Page 32 1242264 Brief description of the drawing 1 6 0 2: Floating gate interval Ws: Select gate width D fs: Interval 16 (K 2 to select gate 1 4 0 Distance 1010, 1 030: Dielectric Layer 160 floating gate 120 semiconductor substrate 220 substrate isolation area (field isolation area) 170 control gate line 120 mixed P-type substrate 410 silicon dioxide layer (pad oxide layer) 420 silicon nitride layer 220T: isolation trench 220.1 :-Thin layer of SiO 2 layer 220.2:-Layer of SiO 2 layer 2 2 0P: The protrusion of SiO 2 220 is higher than that of the base portion 604: N-type area 120W: P-type well area 710: Active area 1 3 0: Silicon dioxide 14 0: Polycrystalline silicon layer 8 1 0: Silicon nitride 1 0 1 0: Silicon dioxide 1 0 3 0: Silicon nitride layer 1 50: Silicon dioxide

1242264 圖式簡單說明1242264 Schematic description

第34頁 160. 2 •多晶碎 1 60. 2Α :多晶矽間 隔 160· 1 :多晶矽 160.2Β :間隔 1500 :光阻遮罩 178 : 源線區 174 : 位元線區域 170C : :洞穴 164 : ΟΝΟ 1810 洞穴 2010 反反射塗佈 層 1910 氮化矽 2020 光阻層 262 0 光阻(遮罩) 3204 金屬層間介 電質Page 34 160. 2 • Polycrystalline fragment 1 60. 2Α: Polycrystalline silicon space 160 · 1: Polycrystalline silicon 160.2B: Space 1500: Photoresist mask 178: Source line area 174: Bit line area 170C :: Cave 164: ΟΝΟ 1810 Cave 2010 Anti-reflective coating 1910 Silicon nitride 2020 Photoresist layer 262 0 Photoresist (mask) 3204 Interlayer dielectric

Claims (1)

1242264 案號 93103313 年调月、汩 修正 修 六、申請專利範圍 1. 一種製造包含一非揮發性記憶體的積體電路的方法, 該方法包含: 形成一第一結構在一半導體基底上,該第一結構包含: 一第一導體的閘極,該第一導體的閘極屬於一非揮發性記 憶體單元;以及 一第一介 形成一層 性記憶體 及一上方 於該第一 側壁屬於 形成一第 形成一層 質上,以 元; 移除該G2 不高過於 電質,該介電質在該第一導體的閘極上; (’’ FG層π )以提供一導體的浮動閘極給該非揮發 單元,其中,該導體的浮動閘極包含一第一部份 突出的第二部分,該上方突出的第二部分被形成 介電質上,該第一介電質又至少在一側壁上,該 該第一導體的閘極; 二介電質於該FG層上; (’’G2層π )於該第一結構、該FG層及該第二介電 提供一第二導體的閘極給該非揮發性記憶體單 層的一部份,以使該第二導體的閘極的頂部變成 該導體的浮動閘極的頂部。 2.如申請專利範圍第1項中的方法,其中該FG層包含一第 一次層及一第二次層,該第二次層形成於該第一次層之 後,其中該導體的浮動閘極的第一部份由該第一次層所形 成,及該導體的浮動閘極的第二部份由該第二次層所形 成01242264 Case No. 93103313 Adjusted month, revised six, applied for patent scope 1. A method of manufacturing an integrated circuit including a non-volatile memory, the method includes: forming a first structure on a semiconductor substrate, the The first structure includes: a gate of a first conductor, the gate of the first conductor belonging to a non-volatile memory unit; and a first interlayer forming a layer of memory and an upper portion on the first side wall forming a The first layer is formed on the element, the element is removed; the G2 is not too high, the dielectric is on the gate of the first conductor; ('' FG layer π) to provide a conductive floating gate to the non-volatile Unit, wherein the floating gate of the conductor includes a second portion protruding from the first portion, the second portion protruding above is formed on a dielectric, and the first dielectric is at least on a side wall, and A gate of the first conductor; two dielectrics on the FG layer; ('' G2 layer π) providing a gate of a second conductor to the non-conductor on the first structure, the FG layer, and the second dielectric Volatile memory monolayer So that the top of the gate of the second conductor becomes the top of the floating gate of the conductor. 2. The method as described in item 1 of the scope of patent application, wherein the FG layer includes a first layer and a second layer, the second layer is formed after the first layer, and the floating gate of the conductor The first part of the pole is formed by the first layer, and the second part of the conductor's floating gate is formed by the second layer. 第35頁 1242264 案號 93103313Page 35 1242264 Case number 93103313 六、申請專利範圍 3.如申請專利範圍第i項中的方法,其中該^層由 的 數個的次層所組成,該一或複數個的次層表現於該=後 浮動閘極的第一部份及第二部分。 股 4.如申請專利範圍第3項中的方法,其中形成該F 驟包含: J v 形成該FG層於該第一結構上;及接著 在沒有遮罩在該記憶體單元上方的情況下進行非等向性钱 刻该F G層,以由該第一結構的頂部上移除該F G層,但遺留 該F G層於該第一結構的侧壁上的第一介電質之上。 5 ·如申請專利範圍第4項中的方法,該方法進一步包含形 成複數個基底隔離區域,每一個該複數個基底隔離區域是 一介電質區域,該介電質區域有一部份突出再該半導體基 底上,其中’形成該FG層於該第一結構上,會導致鄰近於 該第一結構的該基底隔離區域之間的該F G層的厚度較大於 該基底隔離區域之上的該FG層的厚度;其中,餘刻該FG 層,會導致該FG層由至少部分的該基底隔離區域上、及該 第一結構但非介於鄰近該第一結構的該基底隔離區域之間 上移除。 6 ·如申請專利範圍第1項中的方法,其中該記憶體單元是 該一複數個的記憶體單元的其中之一個,且該方法更進一 步包含針對該F G層的一蝕刻,以移除介於不同的該記憶體6. The scope of patent application 3. The method in item i of the scope of patent application, wherein the ^ layer is composed of several sub-layers, and the one or more sub-layers are represented in the = floating gate Part one and part two. 4. The method as described in item 3 of the scope of patent application, wherein the step of forming the F comprises: J v forming the FG layer on the first structure; and then proceeding without a mask over the memory unit The anisotropic money engraved the FG layer to remove the FG layer from the top of the first structure, but left the FG layer on the first dielectric on the sidewall of the first structure. 5. As in the method of claim 4, the method further includes forming a plurality of substrate isolation regions, each of the plurality of substrate isolation regions being a dielectric region, and a portion of the dielectric region is prominent. On a semiconductor substrate, where the formation of the FG layer on the first structure causes the thickness of the FG layer between the substrate isolation regions adjacent to the first structure to be greater than the FG layer above the substrate isolation region The thickness of the FG layer will cause the FG layer to be removed from at least part of the substrate isolation region and the first structure but not between the substrate isolation region adjacent to the first structure. . 6. The method as described in item 1 of the patent application, wherein the memory unit is one of the plurality of memory units, and the method further includes an etching of the FG layer to remove the interposer. Different memory 第36頁 1242264 案號93103313 年月日 修正 六、申請專利範圍 單元之間的該FG層的部分。 含 包 造 製 \10ul 種 法 方 的 路 電 體 積 的 體 意 記 性 發 # 含 包 法 方 該 , 極 構:閘 結含的 一包體 第構導 一結一 成一第 形第一 底 基 體 導 半一 在 落 座 構 結- 第 該 該 於 屬 極 閘 的 體 導- 第 該 己 古口 性 發 ,^一 # 側一 的 極 閘 的 體 導 1 第 該 在 質 介一 第 該 及, 以質 ;電 元介 單一 體第 憶一 第第第第第第 •,一 憶及該該該一 一一 上成記,成,成的成成 壁形性層形份形極形形 發次 揮二 非第 該一 給及 極層 閘次 一 I 浮第 的一 體含 導包 一 層 供FG 提該 以, 中 1其 層 G MF元 C單 層體 部一 第一 的 極 : 閘 含動 包浮 驟的 步體 的導 層該 FG供 該提 成以 形’ , 層 中次 其一 閘 浮 的 體 導 該 • , •贅 上形上 之以壁 次次之 一 二構 第第結 該該一 於刻第 出蝕該 突及於 構層份 結次部 之 質 •,電 上介 之二 層第 次該 二於 第元 該單 及體 層隐 次記 一該 第給 該極 於閘 質的 電體 介導 第 圍 範 利 專 請 申 如 遮 1 有 沒 含 包。 驟刻 步# 層的 次層 二次 第二 該第 刻該 钱的 中上 其元 ’單 法體 方憶 亡己 /Θ=口 中該 項在 罩Page 36 1242264 Case No. 93103313 Amendment 6. The scope of the patent application The part of the FG layer between the units.体 意 记性 发 containing the electric circuit volume of 1010 kinds of recipes. 包包 法 方 应, Polar structure: the structure of the inclusions in the gate junction, the first base into the first base, The half-one is in the seat structure-the body guide that belongs to the pole gate-the body that has the ancient mouth, ^ one # the body guide of the pole gate 1 the first and second in the mass medium and the quality; The single element of the elementary body recalls the first, the first, the first, and the first, and the one and the one are written down, and the one is formed into a wall shape, a layer shape, and a polar shape. The first and the first layer of the gate, the first floating floating integrated package containing a layer for FG to mention, the middle 1 its layer G MF yuan C single layer body a first pole: the gate contains the moving package floating The FG of the step body is used for the formation of the shape, and the next floating body in the layer is used to guide the body. • The structure is superimposed on one of the wall structures. Eroding the quality of the protrusion and the structure of the junction part, the second layer of the electric media This is the second order of the element and the hidden layer of the layer. The first is the first to the electric body mediated by the gate. Sudden step # layer of the second layer of the second time the second of the money in the middle of the moment ’Single body Fang Yi persecution / Θ = the item in the mask 第37頁 1242264 案號 93103313 曰 修正 六、申請專利範圍 9.如申請專利範圍第7項中的方法,其中該記憶體單元是 複數個該記憶體單元的其中之一,且該方法更進一步包含 該第二次層的一蝕刻,以移除介於不同的該記憶體單元之 間的該第二次層的部分。 1 0.如申請專利範圍第7項中的方法,其中該第二導體的閘 極的頂部不高於該導體的浮動閘極的該第二部分的頂部。 11. 種製造包含一非揮發性記憶體的積體電路的方法 該方法包含: 第一介電質在該第一導體的閘極上; )以提供一導體的浮動閘極給該非揮發 中,該導體的浮動閘極包含一第一部份 二部分,該向上突出的第二部分被形成 於該第一介電質之上,且該第一介電質至少在該第一導體 的閘極的一側壁上; 形成一第一結構於一半導體基底上,該第一結構包含: 一第一導體的閘極,該第一導體的閘極屬於一非揮發性記 憶體單元;以及 一第一介電質,該 形成一層(”FG層” 性記憶體單元,其 及一向上突出的第 形成一第二介電質 形成一層(nG2層” 電質之上,以提供 於該FG層之上; )於該第一結構、該FG層、及該第二介 一第二導體的閘極給該記憶體單元,該Page 37 1242264 Case No. 93103313 Amendment VI. Patent application scope 9. The method in item 7 of the patent application scope, wherein the memory unit is one of a plurality of the memory units, and the method further includes An etching of the second layer to remove portions of the second layer between different memory cells. 10. The method as described in item 7 of the scope of patent application, wherein the top of the gate of the second conductor is not higher than the top of the second portion of the floating gate of the conductor. 11. A method of manufacturing an integrated circuit including a non-volatile memory, the method comprising: a first dielectric on a gate of the first conductor;) to provide a floating gate of the conductor to the non-volatile, the The floating gate of the conductor includes a first portion and a second portion. The upwardly protruding second portion is formed on the first dielectric, and the first dielectric is at least at the gate of the first conductor. On a sidewall; forming a first structure on a semiconductor substrate, the first structure comprising: a gate of a first conductor, the gate of the first conductor belonging to a non-volatile memory unit; and a first interface An electric substance, which forms a layer ("FG layer") of the memory unit, and a first dielectric layer that protrudes upward forms a second dielectric layer (nG2 layer), which is provided on the FG layer; ) To the first structure, the FG layer, and the gate of the second-to-second conductor to the memory unit, the 第38頁 Ί242264 六、申請專利範圍 G2層有一P1部份,該P1部分突出於該第一結構之上; 形成一 L1層於該G 2層之上,以致於屬於該G 2層的突出的該 P1部分被曝露且未能被該L1層完全覆蓋>、 部分地移除該G2層,且該移除步驟對該L1層有選擇性,以 形成一孔穴在該P1部分的位置; 至少在該孔穴内形成一L2層; 移除至少該L1層及該G2層的一部份,且該移除步驟對該L2 層有選擇性。 12. —種製造包含一非揮發性記憶體的積體電路的方法, 且該非揮發性記憶體包含一非揮發性記憶體單元,該方法 包含: 形成一個或複數個基底隔離區域在一半導體基底上; 形成一個或複數個第一結構於該半導體基底上,每一個的 該第一結構包含: 一導體的線G 1,其中每一的該記憶體單元包含一第一導體 的閘極,該第一導體的閘極包含該導體的線G1的一部份; 以及 一第一介電質於該導體的線G1上; 形成一層(FG層)以提供一導體的浮動閘極給每一個該 非揮發性記憶體單元,其中,每一個該導體的浮動閘極包 含一第一部份及一向上突出的第二部分,該向上突出的第 二部分被形成於該第一介電質之上且覆蓋至少在該導體的 線G1的一侧壁上;Page 38Ί242264 6. The scope of patent application G2 layer has a P1 part, which P1 part protrudes above the first structure; forming an L1 layer above the G 2 layer, so that the protruding part belonging to the G 2 layer The P1 portion is exposed and cannot be completely covered by the L1 layer>, the G2 layer is partially removed, and the removing step is selective to the L1 layer to form a hole in the P1 portion; at least An L2 layer is formed in the cavity; at least the L1 layer and a part of the G2 layer are removed, and the removing step is selective to the L2 layer. 12. A method of manufacturing an integrated circuit including a non-volatile memory, and the non-volatile memory includes a non-volatile memory unit, the method comprising: forming one or more substrate isolation regions on a semiconductor substrate Forming one or more first structures on the semiconductor substrate, each of the first structures comprising: a conductor line G 1, wherein each of the memory cells includes a gate of a first conductor, the The gate of the first conductor includes a portion of the conductor's line G1; and a first dielectric on the conductor's line G1; forming a layer (FG layer) to provide a floating gate of the conductor to each of the non- A volatile memory unit, wherein each of the floating gates of the conductor includes a first portion and a second portion protruding upward, the second portion protruding upward is formed on the first dielectric and Covering at least one side wall of line G1 of the conductor; 第39頁 1242264 修正 曰 -----虎 9310% _年月 六、申請專利範圍 __ ^由-個或複數個遮罩開p,圖形化該㈣,以移 =同的該記憶體單元間的該以層的部分,其中在_記憶體-早7C區域的所有的該一個或複數個遮定位 於該導體的線G1和/或該基底隔離區域。 I彖被疋位 1么如中請專利範圍第12項中的方法,該方法更進一步包 形成一第二介電質於該FG層之上; 形成一G2層於該第一社馗S二 上,ue g 。構、该FG層、及該第二介電質之 移除該G2層及該FG層的却八 心骽早兀, 導體的浮動閘極。 。卩刀,以形成該二導體的閘極及該 14·如申請專利範圍第1 9 TS a 第一次層及一第二次;項中的方法,其中該“層包含一 .# + + ί二層,且該第二次層形成於該第一次層 之後,其中該導體的浮叙扣斤 〃 ,^ ..^ ^ A 動閘極的該第一部份由該第一次層 所形成,而該導體的浮叙p日上 Ar? v , 該第二次層所形成。動閉極的該向上突出的第二部分由 15二申請專利範圍第12項中的方法,其中該⑺層由一個 或複數個次層所組成,日 士 a 4道舻的 4 ^ 且所有的該次層是表現於該導體的 /手動閘極的該苐一部份;^ #丄 ν 丨切及該向上突出的第二部分。 含 16. 一種積體電路,包 第40頁 1242264 案號 93103313 Λ_η 修正 六、申請專利範圍 半導 一第一 一第一 體基底 介電質 區域在該半導 導體的閘極在 的閘極屬於一非揮發 區域在 發性記 閘極在 一第二介電質 域屬於該非揮 的浮動 一導體 動閘極屬於該非揮發 含一第一部份及一向 平的剖面下,依一平 分及該第一導體的閘 導體的閘極之間的距 一第二導體的閘極座 但又不座落在該第一 一介電質,以將該導 極及該第二導體的閘 該第二導體的閘極之 該第 性記憶 該半導 憶體單 該第二 性記憶 上突出 面,該 極,該 離是小 落在至 導體的 體的浮 極之外 外0 體基底 介電質 體單元 體基底 元; 介電質 體單元 的第二 平面通 向上突 於該第 少該導 閘極之 動閘極 ,並將 之上; 區域之上’ 之上,該第 該第一導體 電質區 區域 ,該 部分 過該 出的 一導 該導體的浮 浮動閘極包 之上, 導體的 ,其中 向上突出的第二部 第二部分及該第一 體的閘極的寬度; 浮動閘極的一部份 在任一水 體的 上; 隔離出該第一導體的閘 該第一導體的閘極離出 17. 如申請專利範圍第1 6項中的積體電路,其中,由俯視 圖,該向上突出的第二部分及該第一導體的閘極之間的距 離是小於該第一導體的閘極的寬度。 18. 如申請專利範圍第1 6項中的積體電路,其中該向上突 出的第二部分座落於該第一導體的閘極的一部份之上。Page 39 1242264 Amendment ----- Tiger 9310% _ Year 6 、 Scope of patent application _ ^ Open p by-or multiple masks to graphically represent the unit to shift the same memory unit The layered portion in between, where all the one or more shadows in the _memory-early 7C area are located on the conductor's line G1 and / or the substrate isolation area. I 彖 is bit 1? The method described in item 12 of the patent scope, which further includes forming a second dielectric on the FG layer; forming a G2 layer on the first society; On, ue g. The structure, the FG layer, and the second dielectric are removed from the G2 layer and the FG layer, but the floating gate of the conductor is premature. . Use a trowel to form the gates of the two conductors and the method of item 14. The first layer and the second layer, such as the scope of the application for patent No. 19 TS a, wherein the "layer contains a. # + + Ί Two layers, and the second layer is formed after the first layer, in which the conductor of the conductor is floating, ^ .. ^ ^ A the first part of the moving gate is made by the first layer Is formed, and the floating layer p of the conductor is Ar? V, the second layer is formed. The upwardly protruding second part of the moving closed electrode is the method in item 12 of the scope of patent application of 152, where the ⑺ The layer is composed of one or more sub-layers, which is 4 ^ of the 4 channels of 士士 a, and all the sub-layers are part of the 表现 of the conductor / manual gate; ^ # 丄 ν 丨 cut and The second part that protrudes upwards. Contains 16. A integrated circuit, including page 40, 1242264, case number 93103313, Λ_η. Amendment 6. Patent application scope: semi-conductor-first-first-body substrate dielectric region in the semiconducting conductor The gate in which the gate belongs to a non-volatile region The non-volatile floating-conductor moving gate belongs to the non-volatile containing a first part and a flat section, and is divided equally with the gate of a second conductor from the gate of a second conductor Seated but not seated on the first dielectric material to gate the second electrode and the gate of the second conductor, the second memory, the semiconductor memory, and the second memory The upper protruding surface, the pole, the distance is small outside the floating pole to the body of the conductor 0 body base dielectric body unit base element; the second plane of the dielectric body unit projects upward from the first Reduce the moving gate of the conductive gate, and move it above the area; above the area of the first conductor electrical region, the part passes over the floating floating gate that leads the conductor. On the conductor, the second part of the second part protruding upwards and the width of the gate of the first body; part of the floating gate on any body of water; the gate of the first conductor is isolated from the first The gate of the conductor is apart. The integrated circuit of item 6, wherein, from a top view, the distance between the upwardly protruding second portion and the gate of the first conductor is smaller than the width of the gate of the first conductor. The integrated circuit of item 16, wherein the second portion protruding upward is located on a portion of the gate of the first conductor. 第41頁 1242264 _g 號 93103313 六、申請專利範圍 19.如申請專利範圍第1 6項中的積體電路,^ 出的第二部分非座洛於該第一導體的閘極之一 2 0.如申請專利範圍第1 6項中的積體電路,$ 於該向上突出的第一部分與該第二導體的閘恭 豐至少和該第一導體的閘極的厚度一樣大。 21.如申請專利範圍第1 6項中的積體電路,其 於該向上突出的第二部分與該第二導體的閘極 少為 0. 0 8 // Π1。 22·如申請專利範圍第16項中的積體電路,其 體的閘極的頂部是不高於該向上突出的第二^ 2 3·如申請專利範圍第1 6項中的積體電路,其 體的閘極的頂部是低於該向上突出的第二部八 24· —種積體電路,包含: 一半導體基底; 一第一介電質區域在該半導體基底之上; .中該向上突 中一重疊介 之間,該重 中一重疊介 ,該重疊至 中該第二導 分的頂部。 中該第二導 的頂部。 1242264 案號 93103313 曰 修正 六、申請專利範圍 一第一導體的閘極在該第一介電質區域之上,該第一導體 的閘極屬於一非揮發性記憶體單元; 一第二介電質區域在該半導體基底之上,該第二介電質區 域屬於該非揮發性記憶體單元; 一導電的浮動閘極在該第二介電質區域之上,該導電的浮 動閘極屬於該非揮發性記憶體單元; 一第二導體的閘極座落在至該導體的浮動閘極的一部份, 其中該第二導體的閘極的頂部是不高於該導電的浮動閘極 的頂部; 一介電質,以將該導體的浮動閘極隔離出該第一導體的閘 極及該第二導體的閘極之外,並將該第一導體的閘極離出 該第二導體的閘極之外。 25. 如申請專利範圍第24項中的積體電路,其中該第二導 體的閘極的頂部是低於該導電的浮動閘極的頂部。 26. 一種積體電路,包含: 一半導體基底; 一第一結構座落於該半導體基底上,該第一結構包含: 一第一導體的閘極,該第一導體的閘極屬於一非揮發性記 憶體單元;及 一第一介電質,該第一介電質在該第一導體的閘極的側壁 上; 一導電的浮動閘極在一第二介電質區域之上,該導電的浮Page 41 1242264 _g No. 93103313 VI. Application scope of patent 19. For the integrated circuit in item 16 of the scope of application for patent, the second part out of ^ is not one of the gates of the first conductor 20. For example, for the integrated circuit in item 16 of the scope of patent application, the gate of the first portion protruding upward and the gate of the second conductor are at least as thick as the thickness of the gate of the first conductor. 21. As for the integrated circuit in item 16 of the scope of the patent application, the gate of the second part protruding upward and the gate of the second conductor are rarely 0. 0 8 // Π1. 22. If the integrated circuit in item 16 of the scope of patent application, the top of the gate of the body is not higher than the second projecting upward 2 3 · If the integrated circuit in item 16 of the scope of patent application, The top of the gate of the body is lower than the upwardly protruding second eighty-two-piece integrated circuit, including: a semiconductor substrate; a first dielectric region on the semiconductor substrate; In the middle of an overlapping medium, the middle medium overlaps, and the overlap reaches the top of the second derivative. In the top of the second guide. 1242264 Case No. 93103313 Amendment VI. Patent application scope-a gate of a first conductor is above the first dielectric region, the gate of the first conductor belongs to a non-volatile memory unit; a second dielectric The second dielectric region belongs to the non-volatile memory cell; a conductive floating gate is above the second dielectric region; the conductive floating gate belongs to the non-volatile memory cell; A memory unit; the gate of a second conductor is located on a portion of the floating gate to the conductor, wherein the top of the gate of the second conductor is not higher than the top of the conductive floating gate; A dielectric to isolate the floating gate of the conductor from the gate of the first conductor and the gate of the second conductor, and separate the gate of the first conductor from the gate of the second conductor Beyond the pole. 25. The integrated circuit as described in claim 24, wherein the top of the gate of the second conductor is lower than the top of the conductive floating gate. 26. An integrated circuit comprising: a semiconductor substrate; a first structure located on the semiconductor substrate, the first structure comprising: a gate of a first conductor, the gate of the first conductor being a non-volatile Memory cell; and a first dielectric on the sidewall of the gate of the first conductor; a conductive floating gate over a second dielectric region, the conductive Floating 第43頁 r 1242264 -: 六、申請專利範圍 動閘極屬於該非揮發性記憶體單元,該導電的浮動閘極包, 含一向上突出的構造,該一向上突出的構造形成如同在該 第一介電質的一側壁的一間隔; 一第二導體的閘極至少座落在該導體的浮動閘極的一部份 但不座洛在該第一導電的問極’其中該導電的浮動閘極的 該一向上突出的構造是介於該第一導體的閘極及第二導體 的閘極之間; 一介電質以將該導電的浮動閘極隔離出該第二導體的閘 極° 含 包 之 底 基 體 導 半 該 在 域 區 體底質 積基電 種體介 一導一 半第 體 導 一 第 該 上 之 域 區·, 質元 一 f'e.1 口g- ^\B口-3 介體 一憶 第記 該性 在發 &c»e一 極# 的一 體於 導屬 一極 第問 一的 區 質 電 介 二 第 該 上 之 底 基·, 體元 導單 半體 該憶 在記 域性 區發 質揮 電非 介該 二於 第屬 一域 浮包一 的極第 電閘該 導動於 該浮近 ,的鄰 上電在 之導造 域該構 區,的 質元出 電單突 介體上 二憶向 第記該 該性, 在發造 極揮構 kr 勺 & 動該出 浮於突 的屬上 電極向 導閘 一 一動含 電 導 該 在 不 但 緣 - 的 極 閘 ·, 浮緣 的邊 電向 導反 該一 極極 閘閘 的動 體浮 導的 第 份 閘 部動 一浮 的的 極體 閘導 動該 浮中 的其 體, 導極 該閘 在的 落體 座導 少一 至第 極該 閘在 的落 體座 導不 二但Page 43 r 1242264-: 6. Scope of patent application The moving gate belongs to the non-volatile memory unit. The conductive floating gate package includes an upwardly protruding structure, which is formed as if in the first A space on a side wall of the dielectric; the gate of a second conductor is located at least in part of the floating gate of the conductor but not in the first conductive interrogating electrode, wherein the conductive floating gate The upwardly protruding structure of the pole is interposed between the gate of the first conductor and the gate of the second conductor; a dielectric to isolate the conductive floating gate from the gate of the second conductor. Included bottom substrate guides should be in the region of the body, and the substrates in the substrate are semi-conducting. The first region is the first region. The first element is f'e. 1 mouth g- ^ \ B 口-3 Mediator-Recalling the integration of this nature in the development of & c »e 一 极 # in the derivation of the first-dimension interregional dielectric, the second base of the dielectric, and the voxel-guided single-half body The memory emits electricity in the region of the recording, but does not pass through the two poles of the first domain, which is a floating bag. The switch should be driven by the approaching, the neighboring power on the region of the structure to create the structure of the region, the elementary electricity outburst on the single mediator of the second memory to the record of this nature, the generator pole kr spoon & The upper electrode guide that moves the floating gate is moved one by one, and the electric gate that contains conductance is not only at the edge-, the edge of the floating edge guides the first part of the floating body of the one pole gate. A floating polar body gate guides the body in the float, and the landing body on which the gate is located is one to one less than the landing body on which the gate is located. 第44頁 '1242264 六、申請專利範圍 極的該向上突出的構造是介於該第一導體的閘極與該第二 導體的閘極之間; 一介電質,以將該導體的浮動閘極隔離出該第一導體的閘 極及該第二導體的閘極之外,並將該第一導體的閘極離出 該第二導體的閘極之外。"1242264" on page 44 VI. The upwardly protruding structure of the patent application range pole is between the gate of the first conductor and the gate of the second conductor; a dielectric to float the gate of the conductor The gate isolates the gate of the first conductor from the gate of the second conductor, and separates the gate of the first conductor from the gate of the second conductor. 第45頁Page 45
TW093103313A 2003-04-10 2004-02-12 Nonvolatile memories with a floating gate having an upward protrusion TWI242264B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/411,813 US6893921B2 (en) 2003-04-10 2003-04-10 Nonvolatile memories with a floating gate having an upward protrusion

Publications (2)

Publication Number Publication Date
TW200421559A TW200421559A (en) 2004-10-16
TWI242264B true TWI242264B (en) 2005-10-21

Family

ID=33131078

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093103313A TWI242264B (en) 2003-04-10 2004-02-12 Nonvolatile memories with a floating gate having an upward protrusion

Country Status (2)

Country Link
US (1) US6893921B2 (en)
TW (1) TWI242264B (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100372068C (en) * 2002-06-20 2008-02-27 Nxp股份有限公司 Conductive spacers extended floating gates
US7052947B2 (en) * 2003-07-30 2006-05-30 Promos Technologies Inc. Fabrication of gate dielectric in nonvolatile memories in which a memory cell has multiple floating gates
US7101757B2 (en) * 2003-07-30 2006-09-05 Promos Technologies, Inc. Nonvolatile memory cells with buried channel transistors
US6815758B1 (en) * 2003-08-22 2004-11-09 Powerchip Semiconductor Corp. Flash memory cell
US7101758B2 (en) * 2003-10-15 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Poly-etching method for split gate flash memory cell
US7230312B2 (en) * 2003-12-31 2007-06-12 Micron Technology, Inc. Transistor having vertical junction edge and method of manufacturing the same
US7144777B2 (en) * 2005-02-25 2006-12-05 United Microelectronics Corp. Non-volatile memory and manufacturing method thereof
US20060231908A1 (en) * 2005-04-13 2006-10-19 Xerox Corporation Multilayer gate dielectric
US20080111182A1 (en) * 2006-11-02 2008-05-15 Rustom Irani Forming buried contact etch stop layer (CESL) in semiconductor devices self-aligned to diffusion
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
CN105789276B (en) * 2014-12-24 2019-04-09 上海格易电子有限公司 A kind of production method of floating gate
TWI588992B (en) * 2015-01-13 2017-06-21 Xinnova Tech Ltd Non-volatile memory components and methods of making the same
US9449703B1 (en) * 2015-06-09 2016-09-20 Freescale Semiconductor, Inc. Systems and methods for driving a control gate with a select gate signal in a split-gate nonvolatile memory cell
US9853039B1 (en) * 2016-12-13 2017-12-26 Cypress Semiconductor Corporation Split-gate flash cell formed on recessed substrate
CN108807400B (en) * 2018-06-08 2021-01-01 上海华虹宏力半导体制造有限公司 P-channel flash memory cell, operating method and manufacturing method thereof, and flash memory device
CN112086510A (en) * 2019-06-13 2020-12-15 联华电子股份有限公司 Structure of memory element
TWI727690B (en) * 2020-03-05 2021-05-11 華邦電子股份有限公司 Non-volatile memory device and method for manufacturing the same

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5844842A (en) 1989-02-06 1998-12-01 Hitachi, Ltd. Nonvolatile semiconductor memory device
JPH06120515A (en) 1992-10-09 1994-04-28 Oki Electric Ind Co Ltd Method for writing-in and erasing data of semiconductor non-volatile memory
US5856943A (en) 1996-03-18 1999-01-05 Integrated Memory Technologies, Inc. Scalable flash EEPROM memory cell and array
US6057575A (en) 1996-03-18 2000-05-02 Integrated Memory Technologies, Inc. Scalable flash EEPROM memory cell, method of manufacturing and operation thereof
JPH10256400A (en) 1997-03-10 1998-09-25 Mitsubishi Electric Corp Non-volatile semiconductor memory
US6134144A (en) 1997-09-19 2000-10-17 Integrated Memory Technologies, Inc. Flash memory array
US6486023B1 (en) 1997-10-31 2002-11-26 Texas Instruments Incorporated Memory device with surface-channel peripheral transistor
JP3378879B2 (en) 1997-12-10 2003-02-17 松下電器産業株式会社 Nonvolatile semiconductor memory device and driving method thereof
JP3332152B2 (en) 1998-02-18 2002-10-07 日本電気株式会社 Nonvolatile semiconductor memory device
US6200856B1 (en) 1998-03-25 2001-03-13 Winbond Electronics Corporation Method of fabricating self-aligned stacked gate flash memory cell
CN1202576C (en) 1998-03-25 2005-05-18 韩国科学技术院 Vacuum field transistor
KR100295149B1 (en) 1998-03-26 2001-07-12 윤종용 Method for fabricating non-volatile memory device using self-aligned source process
US6261903B1 (en) 1998-05-14 2001-07-17 Mosel Vitelic, Inc. Floating gate method and device
US6130129A (en) 1998-07-09 2000-10-10 Winbond Electronics Corp. Method of making self-aligned stacked gate flush memory with high control gate to floating gate coupling ratio
US6236082B1 (en) * 1998-08-13 2001-05-22 National Semiconductor Corporation Floating gate semiconductor device with reduced erase voltage
TW407381B (en) 1999-03-01 2000-10-01 United Microelectronics Corp Manufacture of the flash memory cell
US6103573A (en) 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
JP5014543B2 (en) 1999-07-29 2012-08-29 エヌエックスピー ビー ヴィ Semiconductor device
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6518618B1 (en) 1999-12-03 2003-02-11 Intel Corporation Integrated memory cell and method of fabrication
US6414872B1 (en) 2000-06-21 2002-07-02 National Semiconductor Corporation Compact non-volatile memory device and memory array
US6355524B1 (en) 2000-08-15 2002-03-12 Mosel Vitelic, Inc. Nonvolatile memory structures and fabrication methods
KR100364803B1 (en) * 2000-11-15 2002-12-16 주식회사 하이닉스반도체 Method for manufacturing Nonvolatile Memory
US6566282B2 (en) 2001-06-21 2003-05-20 United Microelectronics Corp. Method of forming a silicon oxide layer
US6541324B1 (en) 2001-11-02 2003-04-01 Silicon Storage Technology, Inc. Method of forming a semiconductor array of floating gate memory cells having strap regions and a peripheral logic device region
US6826080B2 (en) 2002-05-24 2004-11-30 Nexflash Technologies, Inc. Virtual ground nonvolatile semiconductor memory array architecture and integrated circuit structure therefor
US20040004863A1 (en) 2002-07-05 2004-01-08 Chih-Hsin Wang Nonvolatile electrically alterable memory device and array made thereby

Also Published As

Publication number Publication date
US6893921B2 (en) 2005-05-17
US20040201059A1 (en) 2004-10-14
TW200421559A (en) 2004-10-16

Similar Documents

Publication Publication Date Title
JP6608550B2 (en) Method for integrating FINFET / CMOS device with built-in nonvolatile memory cell
CN100517732C (en) Semiconductor structure and manufacturing method thereof
KR101050454B1 (en) Device Separation Film of Semiconductor Device and Formation Method Thereof
JP4276510B2 (en) Semiconductor memory device and manufacturing method thereof
TWI249241B (en) Nonvolatile memory cell with multiple floating gates formed after the select gate
TWI242264B (en) Nonvolatile memories with a floating gate having an upward protrusion
US9659946B2 (en) Self-aligned source for split-gate non-volatile memory cell
JP3072565B2 (en) Method of manufacturing a contactless floating gate memory array
TWI227544B (en) Nonvolatile memories and methods of fabrication
US6239465B1 (en) Non-volatile semiconductor memory device having vertical transistors with the floating and control gates in a trench and fabrication method therefor
TWI238496B (en) Nonvolatile memory fabrication methods in which a dielectric layer underlying a floating gate layer is spaced from an edge of an isolation trench and/or an edge of the floating gate layer
TWI284978B (en) Array of nonvolatile memory cells wherein each cell has two conductive floating gates
TWI220301B (en) Method for manufacturing embedded non-volatile memory with two polysilicon layers
TW569437B (en) Nonvolatile memory structures and fabrication methods
KR100876957B1 (en) NOR-type non-volatile memory device and method of forming the same
TW587331B (en) Double densed core gates in SONOS flash memory
US6700143B2 (en) Dummy structures that protect circuit elements during polishing
JP2007019474A (en) Nand flash memory element and its manufacturing method
JP4955203B2 (en) Method for manufacturing nonvolatile memory device
CN101308824A (en) Nonvolatile memory device and method of fabricating the same
TW577143B (en) Sidewall protection in fabrication of integrated circuits
TWI744868B (en) Method of forming split-gate flash memory cell with spacer defined floating gate and discretely formed polysilicon gates
TWI240382B (en) Nonvolatile memory with pedestals
TWI700819B (en) Non-volatile memory and manufacturing method thereof
TWI247390B (en) Nonvolatile memories and methods of fabrication

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees