TWI239052B - Plasma treatment device - Google Patents

Plasma treatment device Download PDF

Info

Publication number
TWI239052B
TWI239052B TW092118344A TW92118344A TWI239052B TW I239052 B TWI239052 B TW I239052B TW 092118344 A TW092118344 A TW 092118344A TW 92118344 A TW92118344 A TW 92118344A TW I239052 B TWI239052 B TW I239052B
Authority
TW
Taiwan
Prior art keywords
plasma
processing
aforementioned
microwave
gas
Prior art date
Application number
TW092118344A
Other languages
Chinese (zh)
Other versions
TW200414350A (en
Inventor
Tadahiro Ohmi
Masaki Hirayama
Tetsuya Goto
Original Assignee
Tokyo Electron Ltd
Tadahiro Ohmi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tadahiro Ohmi filed Critical Tokyo Electron Ltd
Publication of TW200414350A publication Critical patent/TW200414350A/en
Application granted granted Critical
Publication of TWI239052B publication Critical patent/TWI239052B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The invented plasma apparatus contains the followings: the processing container, which is isolated by the outer wall and has the holding platform for holding the processed substrate; the exhausting system, which is combined with the processing container; microwave penetrating window, which is on the processing container and is disposed opposite to the processed substrate on the holding platform as one part of the outer wall; the plasma gas supply portion, which supplies plasma gas in the processing container; and microwave antenna, which corresponds to the microwave and is disposed on the processing container. The plasma gas supply portion contains porous medium, and plasma gas is supplied to the processing container through the porous medium.

Description

1239052 玖、發明說明: 【之技術_望_^】 本發明係關於電漿處理裝置,特別是關於微波電漿處理 裝置。 電漿處理步驟及電漿處理裝置係,於近年所謂深次微米 元件或者接近〇 · 1 μπι稱為深次四分之一微米元件,或者具有 其以下之閘極長之超細微化半導體裝置之製造或,包含液 晶顯示裝置之高解析度平面顯示裝置之製造所不可或缺之 技術。 作為使用於半導體裝置或液晶顯示裝置 理裝置,由先前已有各種電漿激發方式被使用,特別是平 订平板型高頻激發電漿處理裝置或者謗導耦合型電漿處理 衣置為一般。但該等先前之電漿處理裝置,具有電漿之形 成不均,僅限定於電子密度高的區域難以大的處理速度即 產能於被處理基板全面進行均勻的製程之問題點。該問題 於處理大徑的基板的情形特別嚴重。而且該等先前之電 漿處理裝置,因電子溫度高對形成於被處理基板上之半導 體元件產生損傷,X因對處理室壁濺射之金屬冷染大等, ^幾個本質上的問題…,於先前之電裝處理裝置, ’斤漸難以滿足對半導體裝置哎 衣罝及履日日頭不裝置〈進一步細微 及進一步生產性的提升之嚴格要求。 广方自’由先前不用直流磁場使用以微波電場… 贫度電漿之微波電漿處理壯罢y 兒琢激毛巧 衣置被提案。例如由A4 的微波排列之多數窄孔之平 、、、產生句勻 千面狀天線(徑線隙縫天線)對處 86468 1239052 理容器内放射微浊,蕤士二—I丄 寿曰由邊械波電場將真空容器内之氣體 電離激發電漿之構成之電漿處理裝置被提案。參照例如特 開平9-63793公報。以如此之手法激發之微波電漿可於天線 正下之览廣的區域實現高的電漿密度,可於短時間進行均 勻的電漿處理。且以所Μ主,1. ^ . 丑^所關手法形成(微波電漿由於藉由微 波激發電漿而電子黑g # -r、P、+ 1 一、 于度低,可避兄對被處理基板之損傷或 金屬污染。再者因於大面積基板上亦可容易地激發均勻的 電漿’可容易地對應使用大口徑半導體基板之半導體裝置 之製造步驟或大型液晶顯示裝置之製造。 [先前技術】 回 為表示使用所關徑線隙缝天線之先前微波電漿 處理裝置1 GO之構成。惟’圖i A為表示微波電漿處理裝置⑽ 又剖面圖,又圖1B為表示徑線隙缝天線之構成之圖。 茶照圖1A ’微波電漿處理裝置1⑼具有由多數之排氣埠 1 6排孔《處理至! 〇 i ’前述處理室i i中形成有保持被處理 :板1 1 4之保持台i} 5。為實現前述處理室⑻均勻的排氣, 則逑保持口 115〈周圍形成有環狀空間1〇1八,將前述多數之 排氣蟑U6連通㈣述空間1Q1A的方式以等間隔,即藉由對 被處理基板軸對稱地形成,可將前述處理室ι〇ι經由前述办 間101A及排氣埠116均勻地排氣。 於前述處理室101上,在對應於前述保持台U5上之被處理 基板114〈位置’作為前述處理室ι〇ι之外壁之一部分,由低 相失介電體所成形成有多數開口部1〇7之板狀噴淋板1〇3經 由封口 109形成,再者於前述噴淋板lQ3外侧—樣經由其他 86468 1239052 封口 1 08設有由低損失介電體所成之蓋板丨〇2。 前述喷淋板103於其上面形成有電漿氣體通路1〇4,各個 前述多數之開口部1 0 7與前述電漿氣體通路1 0 4連通的I式 形成。再者,於前述噴淋板1〇3内部,形成有設於前述處理 容器101之外壁之電漿氣體供給埠1〇5連通之電漿氣體供給 通路108 ’供給於前述電漿供給埠1〇5之Ar或Kr等電漿氣體 ,由前述供給通路108經由前述通路1〇4供給前述開口部⑺7 ,由前述開口部107向前述處理容器1〇1内部之前述噴淋板 103正下之空間101B,以實質上一樣的濃度放出。 於刖述處理容器丨〇丨上,進一步於前述蓋板丨〇2之外側, 由前述蓋板102離間4〜5 mm,設有具有示於圖⑺之放射面 I徑線隙缝天線11 0。前述徑線隙缝天線11 0與外部之微波 源(無圖示)經由同軸導波管110八連接,藉由從前述微波源 之微波,將放出於前述空間101Β&lt;電漿氣體激發。前述蓋 板1 0 2轉彳二線隙缝天線i丨〇之放射面之間之間隙以大氣充 填。 、 岫逑徑線隙縫天線11 0係由連接於前述同軸導波管i丨0 A &lt; 1側導波管之平坦盤狀天線本體丨1〇β,形成於前述天線 本體11〇B開口部,示於圖1B之多數窄孔110a,及形成與此 人之夕數窄孔11 Ob之放射板110C所成,於前述天線本體 與前述放射板11〇c之間,插入由厚度為一定的介電體 板所構成之遲相板11 0D。 糸所關構成之徑線隙缝天線11 〇,由前述同軸導波管11 〇 a 、黾之彳政波,將前述盤狀天線本體11 0 B與放射板11 〇 c之 86468 1239052 1向半徑万向擴散前進,為此時由於前遲相板110D之作 、T波長I纟偈。於此,以如此對應半徑方向前進之微波之 =長藉由夕將前述hL11GaAU()b形成為同心圓狀、且互相正 人可舲具有圓偏波之平面波對前述放射板11 0C以實質上 垂直的方向放射。 、藉由使用所關徑線隙缝天線110,可於前述噴淋板103正下 2二間10 1B形成均勻的高密度電漿。如此形成之高密度電 之私子/皿度低’因此不會產生對被處理基板11 4之損傷, 又不會產生起因於對處理容器丨〇丨器壁之濺射之金屬污染。 万、圖1之兒漿處理裝置1〇〇,進一步於前述處理容器中 ’於前述喷淋板103與被處理基板114之間,形成有由外部處 理氣體源(典圖不)經由形成於前述處理容器1〇1中之處理氣 te通路11 2將處理氣體供給之多數噴頭丨丨3所形成之導體構 造物111,各個前述噴頭丨丨3,將供給之前述處理氣體,放出 於m述導體構造物111與被處理基板1丨4之間之空間1 〇丨c。 即’前述導體構造物1丨丨作為處理氣體供給部之功能。構成 前述處理氣體供給部之導體構造物丨u,於前述鄰接之噴頭 113與113之間,形成有效地通過藉由在前述空間ι〇1Β向前 述空間1 0 1 C擴散之大的開口部。 於此,如此地由前述處理氣體供給部n i經由前述噴頭i i 3 將處理氣體放出於前述空間1 〇丨c的情形,放出之處理氣體 藉由於前述空間101B形成之高密度電漿激發,於前述被處 理基板114上,一樣的電漿處理,有效且高速地,並且不損 傷基板及基板上之元件構造地,又不會污染基板地進行。 86468 1239052 另一方面由前述徑線隙缝天線i丨0所放射之微波,由導體所 成之别述處理氣體供給部1 π所阻止,並不會損傷被處理基 板 11 4。 t而’於圖1 A、圖1Β所說明之上述電漿處理裝置丨〇〇,於 前述噴淋板103正下之空間ι〇1Β中,激發高密度且均勻的電 漿為重要。為此,前述空間1〇1B以外容易激發電漿之空間 如Μ波電場強容易激發電漿之前述電漿氣體通路1 〇4, ;可述開口部107不使之電漿激發為重要。 件:疋,實際上於本裝置10激發電漿時,依照基板處理條 。/則逑電漿氣體通路104及開口部107内有激發的可能性 破、、一則逑電漿通路1 04及開口部1 07内激發,則微波電力將 4消耗,將會降低於前述空間10 1Β之電漿密度。再者,因 產 # 107正下之區域與由前述開口部107遠的區域會 【發明内容】1239052 发明 Description of the invention: [技术 _ 望 _ ^] The present invention relates to a plasma processing device, and particularly to a microwave plasma processing device. Plasma processing steps and plasma processing devices are the so-called deep sub-micron devices or near 0.1 μm, which are called deep sub-quarter micron devices, or ultra-fine micronized semiconductor devices with gate lengths below them. Manufacturing technology is indispensable for manufacturing high-resolution flat display devices including liquid crystal display devices. As a processing device for a semiconductor device or a liquid crystal display device, various types of plasma excitation methods have been used before. In particular, a flat-plate type high-frequency excitation plasma processing device or a coupling-type plasma processing clothing is generally used. However, these prior plasma processing devices have uneven plasma formation, which is limited to areas with high electron density, which is difficult to achieve a high processing speed, that is, the problem that the production capacity is uniformly processed in a comprehensive manner on the substrate being processed. This problem is particularly serious in the case of processing a large-diameter substrate. In addition, these previous plasma processing devices caused damage to the semiconductor elements formed on the substrate to be processed due to high electron temperature, and X was cold-stained due to the sputtered metal on the wall of the processing chamber. Several essential problems ... In the previous Denso processing equipment, it became difficult to meet the stringent requirements for semiconductor devices, such as clothing, and equipment, which were further refined and improved in productivity. Guangfangzi ’proposed a microwave plasma treatment using a microwave electric field without a direct current magnetic field .... Poor plasma plasma treatment. It is proposed that the clothes be smart. For example, the A4 microwave arrangement of most of the narrow holes of the flat, square, and uniform antennas (radial slot antennas) opposite 86468 1239052 radiated turbidity in the physical container, the second person I-Shou said by the edge mechanical wave electric field A plasma processing apparatus configured to ionize a gas in a vacuum container to excite a plasma is proposed. See, for example, Japanese Unexamined Patent Publication No. 9-63793. The microwave plasma excited in this way can achieve high plasma density in a wide area directly under the antenna, and can perform uniform plasma treatment in a short time. And it is formed by the main method of 1. ^. Ug ^ (microwave plasma due to the microwave excitation of the plasma and the electron black g # -r, P, + 1 I, low degree, can avoid brother to be Handle substrate damage or metal contamination. Furthermore, because a large-area substrate can easily excite a uniform plasma, it can easily correspond to the manufacturing steps of semiconductor devices using large-diameter semiconductor substrates or the manufacture of large-scale liquid crystal display devices. Prior art] The structure of a conventional microwave plasma processing device 1 GO using a closed-line slot antenna is shown. However, FIG. 1A is a sectional view showing a microwave plasma processing device, and FIG. 1B is a view showing a slot slot. Diagram of the structure of the antenna. Tea photo 1A 'Microwave plasma processing device 1' has a large number of exhaust ports 16 rows of holes "Processing to! 〇i 'The processing chamber ii is formed to hold the processing: plate 1 1 4 (Holding table i) 5. In order to achieve uniform exhaustion of the aforementioned processing chamber, the holding port 115 <annular space 1018 is formed around it to connect the majority of the exhaust cocks U6 to the described space 1Q1A. At equal intervals, that is, by processing the substrate Formed axially symmetrically, the aforementioned processing chamber can be uniformly exhausted through the aforementioned office 101A and the exhaust port 116. On the aforementioned processing chamber 101, the substrate 114 to be processed corresponding to the holding table U5 is positioned 'As a part of the outer wall of the aforementioned processing chamber, a plate-shaped shower plate 10 formed of a low-phase loss dielectric body and formed with a large number of openings 107 is formed through a seal 109, and further sprayed on Plate lQ3 outside—like through other 86468 1239052 seal 1 08 is provided with a cover plate made of low-loss dielectric 丨 〇2. The aforementioned shower plate 103 has a plasma gas path 104 formed on it, each of the foregoing majority The opening 1 107 is formed in an I-type communication with the plasma gas passage 104. Furthermore, a plasma gas supply port provided on the outer wall of the processing container 101 is formed inside the shower plate 103. A plasma gas supply passage 108 communicating with 105 is supplied to the plasma gas such as Ar or Kr to the plasma supply port 105, and the opening ⑺7 is supplied from the supply passage 108 through the passage 104. Before the opening 107 enters the inside of the processing container 101 The space 101B directly below the shower plate 103 is released at substantially the same concentration. On the above-mentioned processing container 丨 〇 丨, further outside the cover plate 〇2, the cover plate 102 is separated by 4 to 5 mm, A radial slot antenna 110 having a radial surface I diameter shown in Fig. ⑺ is provided. The radial slot antenna 110 is connected to an external microwave source (not shown) through a coaxial waveguide 110, and is connected from the microwave source. The microwave will be emitted from the aforementioned space 101B &lt; plasma gas excitation. The gap between the radiation surfaces of the aforementioned cover plate 102 and the two-line slot antenna i 丨 is filled with the atmosphere. The small-diameter line slot antenna 11 0 is formed by a flat disc antenna body 1 10 β connected to the coaxial waveguide i 1 0 &lt; 1 side waveguide, and is formed in the opening portion of the antenna body 11 10 B. The majority of the narrow holes 110a shown in FIG. 1B and the radiation plate 110C formed with the narrow holes 11 Ob of this person are formed between the antenna body and the radiation plate 11oc, and the thickness is fixed. A late phase plate 110D composed of a dielectric plate. The diameter slot antenna 11 构成 constructed by 关 is composed of the coaxial waveguide 11 〇a and 黾 の 黾 Masahiro, and the disk antenna body 11 0 B and the radiation plate 11 〇c 86468 1239052 1 direction radius Progress toward diffusion is due to the operation of the front retarder 110D at this time and the T wavelength I 纟 偈. Here, the microwave that advances in such a corresponding radial direction = long through the formation of the above-mentioned hL11GaAU () b into a concentric circle, and each other can be a plane wave with a circularly polarized wave substantially perpendicular to the aforementioned radiation plate 11 0C Direction of radiation. By using the closed-line wire slot antenna 110, a uniform high-density plasma can be formed directly below the shower plate 103, two, two, and 10 1B. The thus formed high-density electricity has a low particle / dish degree ', so that it does not cause damage to the substrate to be processed 114, and it does not cause metal contamination due to sputtering on the wall of the processing container. 1, the pulp processing apparatus 100 in FIG. 1 is further formed in the processing container between the shower plate 103 and the substrate 114 to be processed, and an external processing gas source (not shown in the figure) is formed on the processing container. The processing gas te path 11 in the processing container 101 is a conductor structure 111 formed by the majority of the nozzles 3 that supply the processing gas, and each of the foregoing nozzles 3, and the supplied processing gas is placed in the conductor. A space 1 〇c between the structure 111 and the substrate to be processed 1 丨 4. That is, the aforementioned conductor structure 1 丨 丨 functions as a processing gas supply unit. The conductive structure constituting the processing gas supply unit u is formed between the adjacent nozzles 113 and 113 to form a large opening that effectively diffuses to the space 1 0 1 C by passing through the space ι〇1B. Here, the processing gas supply unit ni releases the processing gas into the space 100c as described above through the spray head ii 3, and the released processing gas is excited by the high-density plasma formed in the space 101B. On the processed substrate 114, the same plasma processing is performed efficiently and at high speed, without damaging the substrate and the component structure on the substrate, and without contaminating the substrate. 86468 1239052 On the other hand, the microwave radiated by the aforementioned slot antenna i 丨 0 is blocked by the processing gas supply portion 1 π formed by the conductor, and does not damage the substrate to be processed. In the above-mentioned plasma processing apparatus illustrated in FIGS. 1A and 1B, it is important to excite a high-density and uniform plasma in the space ι〇1B directly under the shower plate 103. For this reason, the space other than the above-mentioned space 101B is prone to excite the plasma. For example, it is important that the opening 107 does not cause the plasma to excite the plasma gas path 104 because the M-wave electric field is easy to excite the plasma. Parts: Alas, when the plasma is excited by the device 10, it is in accordance with the substrate processing strip. / There is a possibility of excitation in the radon plasma gas path 104 and the opening 107. If one radon plasma path 104 and opening 107 are excited, the microwave power will be consumed and will be reduced in the aforementioned space. Plasma density of 1B. In addition, the area directly under the product # 107 and the area far from the opening 107 will be described. [Summary of the Invention]

之务% &quot;^在度差’使得電漿激發空間之前述空間1 0 1Β全體 兒槳密度會產生不均的問題。 角 本各明〈總體課題係提供一種電漿處理裝置,其 上迷課題而新穎有用者。 本务明之具體的I要韻 、λ、,, ^ 采乂係,於導入電漿氣體之經路途中的 ;間内不激發電漿地,縣古—点 所诗 爿十同後、度且均勻性佳的電漿激發於 &lt;的空間。 &amp;發明之課題係 其係由: 提供一種電漿處理裝置,其特徵在於 δ64βδ -10- 1239052 處理容器,其由外壁區隔,具備保持被處理基板之保持 台; ' 排氣係,其與前述容器結合; 微波穿透窗,其於前述處理容器上,與前述保持臺上之 被處理基板相對的方式,作為前述外壁之一部分設置; 電漿氣體供給部,其係將微波氣體供給前述處理容器中 :及 微波天線,其係供給前述微波,其對應於前述微波設於 前述處理容器上,所構成, 前述電漿氣體供給部包含多孔質媒體,經由前述多孔質 媒體將前述電漿氣體供給前述處理容器。 依照本發明,於處理被處理基板之電漿處理裝置,為防 止於為激發電漿之電漿激發空間以外之空間激發電漿實施 以下對策。於電漿氣體通路藉由使之呈不產生電漿激發之 電漿氣體壓力條件防止電漿激發。又,於放射電漿氣體之 噴淋板則經由多孔質媒體之氣孔部藉由使之成供給電漿氣 體之機構,經由狹窄的氣孔部空間時由微波所加速之電子 ,與前述氣孔部空間之内壁碰撞,作成無法給予電漿激發 所需之加速之構造以防止激發。其結果,可於所望的電漿 激發空間激發高密度且均勻的電漿。 本發明之其他課題及特徵,可由以下參照圖面進行之本 發明之詳細說明明瞭。 【實施方式】 實施發明之最佳態樣 86468 -11 - 1239052 [第1實施例] 圖2A、2B係表示本發明之第1實施例之微波電漿處理裝置 200之構成。惟圖中,先前說明之部分賦予相同參照符號, 省略說明。 參照圖2Α,於前述微波電漿處理裝置100之前述喷淋板 103,於本實施例以多孔質媒體例如多孔質陶瓷材料於常壓 燒結之Ah。3形成之盤狀噴淋板2〇1置換之。於前述噴淋板 201上面,形成有電漿氣體通路2〇2。供給於前述電漿氣體 供給埠105之心或心等電漿氣體,通過前述電漿氣體通路 202通過前述噴淋板2〇丨之多孔質媒體之氣孔—樣地供給前 述噴淋板正下之空間1 〇 i Β中。 寺i削述之削述電漿氣體通路202微波電場強,容易 激發電浆;。於此,右y ^ — '4-' ^ ^ Ah 百义要使則述電漿氣體通路202 發電漿之壓力。 .、教 於圖3表示改變微波電場強度,與電漿激發氣體Ar之壓力 時波電漿被激發之區域。以微波頻率為2 45 GHz時為例 〜=以區域A表示之區域為激發電漿之區域,於前述微波 廷%強度及Ar壓力可激發微波電漿。 參照圖3,例如壓力為約1 丄丄 rr時喊波強度為約0.3 W/cm2 點起微波電漿,微波強廑曰 两士 各取小激發微波電漿。但,將 &amp;力上升為較1 Torr高的壓力,士 十、^上 刀或者下降則,為激發電漿所 而〈锨波電場變強,可知成 罢#丄 成雞以,放發電漿之條件。於本裝 置精由使前述電漿氣體通路 会王、、力 6.67 KPa〜13·3 KPa(約 50 Τοιτ〜1〇〇 T〇rr)左右,防止於 、j这电漿氣體通路202内激發電 86468 1239052 漿。 又,電漿激發空間之前述空間10 1B與電槳翁獅 %礼供給經路 之前述電漿氣體流路202係,藉由多孔質媒體之前述噴林板 2〇1隔絕之構成。前述電漿氣體由前述電漿氣體流路通 過前述0貫淋板2 0 1之多孔質媒體之氣孔内部供 、,、 1〇lB。於前述氣孔中因不存在為激發電漿之十分寬庚的命 間,不會激發電漿。即,前述氣體孔中即使菸 r J 1從和由微波被電 子加速’由於在電子被加速至激發電漿程度之前會與前述 氣孔之外壁碰撞故不會激發電漿。 因此’於本裝置200連接前述空間101Β之電漿氣體導入麵 路之前述噴淋板201内因不會激發電漿,故可於前述空間 101Β激發高密度且均勻的電漿。 [第2實施例] 圖4Α、4Β為表tf本發明之第2實施例之微波電漿處理裝置 200Α之構成。惟圖中,先前說明之部分賦予相同參照符號 ,省略說明。 1 參照圖4,於本實施例之微波電漿處理裝置2〇〇α,撤去前 2下段噴淋板111。由於省略前述下段噴淋板U1無法供給電 水孔&lt;外 &lt; 處理氣體進行成膜或蝕刻,但藉由前述噴淋 A包水氣一起供給氧化氣體或氮化氣體,可於被處 «板2㈣成氧化膜或氮化膜,或者氧氮化膜。 ^ 、=本貝抛例,亦於前述噴淋板20丨内不會激發電漿,故可 )迟4述賣淋板正下之空間激發高密度且均勻的電漿。 [第3實施例] 86468 1239052 圖5A、5B為表示本發明之第3實施例之電漿處理裝置ι〇 之構成。 — 夺^圖5A,可述電聚處理裝置1〇包含:處理容器(I ;及 、持口 /、係没於前述處理容器11内,將被處理基板12 以靜電吸盤保持,以熱均壓法(HIp)形成之ain或者A叫所 機於前述處理容器11内有將前述保持台13包圍之 空間ha’即以對前述保持台13上之被處理基板㈣轴對稱 係兩處H以上為佳’形成排氣琿。前述處理 奋:11 ’經由所關排氣蜂lla^真空幫浦排氣.減壓。 前述處理容器U以含有A1之沃斯田不鍊鋼所成為佳,於内 壁面藉由氧;化處理,形成由氧化鋁所成之保護膜。 又=前述處理容器此外壁中對應㈣述被處理基板 .h $成有以多孔質媒體例如多孔質陶走材料以常 溫燒結之Al2〇3形成之盤狀噴淋板14,作為前述外壁之 分。 口 月J逑寶淋板1 4係經由封口工i s安裝於前述處理容器^上, 進-步於前述噴淋板Μ上設有以mp處理形成之緻密之 A】2〇3所成〈蓋板15。藉由所關聰法形成之Al2〇3蓋板15使 訂2〇3作為燒結助劑形成,氣孔率為GQ3%以下而膏質上不 含氣孔或針孔,,達30 W/m.KM乍為陶變具有非常大的熱傳 士岫述’因處理容器η與外部之氣密以前述封口 ns以對則述盖板15壓付進行,故成以不會造成對多孔質 媒體而機械強度低之前述噴淋板14之負擔構造。前述喷淋 板Η與前述蓋板15接觸側形成有成電滎氣體流路之凹形狀 86468 -14- 1239052 之電漿乳體冼路14A,前述電漿氣體流路14A形成於前述噴 淋板1 4之内彳,形成於前述噴淋板上部,連接後述之電漿 氣體導入路21A。 月述喷淋板1 4藉由形成於前述處理容器丨丨之内壁所伸出 部lib所保持,前述伸出部Ub之中,於保持前述喷淋板14 之部分形成有為抑制異常放電之圓形。 於此,供給前述電漿氣體導入路21八之心或^等電漿氣體 通過前述噴淋板14内部之前述電漿氣體流露14A後,經過前 述噴淋板14之多孔質媒體之氣孔一樣地供給至噴淋板“正 下之空間11B。又,前述電漿氣體導入路21 a與前述蓋板15 之扣合部分有插入封口 1 5s,封入前述電漿氣體。 於前述蓋板1 5上,設有徑線隙缝天線20。徑線隙縫天線 2 0 ’具有:盤狀窄孔板1 6,其係與前述蓋板1 5密接形成有 示於圖5B之多數窄孔16a、16b ;盤狀天線本體17,其將前 述窄孔板1 6保持;遲相板,其挾持於前述窄孔板1 6與前述 天線本體17之間由Abo;、Si3N4、Si〇N或者Si〇2等低損失介 電材料所成。進一步於前述徑線隙缝天線20上部,設置有 電衆氣體·微波導入邵2 1。前述電漿氣體·微波導入部2 1 係由,連接於前述天線本體1 7之圓形或者矩形剖面而内部 為微波導入經路之2 1 C,及矩形或者圓形剖面之微波導入部 2 1B,然後以略圓筒形狀導入Ar或Kr等之電漿氣體之電漿氣 體導入部2 1A所成。前述徑線隙縫天線20係,於前述處理容 器11上經由封口 11u安裝,於前述徑線隙縫天線2 0 ’由連接 於前述電漿氣體·微波導入部2 1之微波導入部2 1B之外部微 86468 -15- 1239052 波源(典圖示)供給2.45 GHz或者8·3 GHz之微波。供給之微 波由七逑乍孔板丨6上之窄孔i6a、16b經由前述蓋板15及喷淋 板14放射於前述處理容器丨丨中,於前述喷淋板 14正下之空間 ΠΒ,將由前述噴淋板14供給之電漿氣體中激發電漿。此時 ,前述蓋板15及噴淋板14係以Al2〇3形成,作為有效的微波 穿透贾之作用。此時,為避免如前述地於前述電漿氣體流 路1 4 A /放盔電漿,别述電漿氣體流路1 4 a之前述電漿氣體壓 力保持為約 6.67 KPa〜13.3 KPa(約 50〜100 Torr)。 此時,如於實施例1之說明所前述地,電漿激發空間之前 逑空間11B與電漿氣體供給經路之前述電漿氣體流路i 4 A, 成藉由多孔質媒體之前述噴淋板丨4隔絕之構成。如前述地 ’月〗述電漿氣體係由前述電漿氣體流路通過前述噴淋板i 4 之氣孔内部供給前述空間丨1B,但因前述氣孔中不存在可激 發電漿之十分寬廣之空間,故不會激發電漿。 因此’於本裝置1 〇亦於連接前述空間丨1B之電漿氣體導入 红路之别述噴淋板14内並不會激發電漿,故於前述空間η b 可激發高密度且均勻的電漿。 為提高前述徑線隙缝天線20與前述蓋板15之密著性,於 本貫施例之微波電漿處理裝置1 0於與前述窄孔板丨6扣合之 前述處理容器11之上面之一部分形成有環狀的溝丨i g,藉由 將所關溝1 lg,經由與此連通知排氣埠丨1G排氣,將形成於 前述窄孔板1 6與蓋板1 5之間之間隙減壓,藉由大氣壓力, 可將前述徑線隙縫天線20向前述蓋板1 5緊緊地壓付。這種 間隙中含有形成於前述窄孔板1 6的窄孔1 6a,1 6b,但其以外 86468 -16- 1239052 亦有因各種理由而形成間隙的情形。於所關間隙,藉由前 述控線隙缝天線2〇與處理容器u之間之封口 Uu封住。 進一步經由前述排氣埠丨丨G及溝丨lg將前述窄孔板Μ與前 述蓋板15之間的間隙藉由分子量小的惰性氣體充填,可促 進由前述蓋板15至前述窄孔板16之熱輸送。作為所關惰性 氣體’以使用熱傳導率大且離子化能高的He為佳。於前述 間隙充填He時,以設定為0.8氣壓左右之壓力為佳。於圖3 之構成,為對前述溝llg排氣及對溝llg之惰性氣體充填,於 的述排氣埠11 G連接有閥門11 v。 前述氣體·電漿導入部21之前述導波管21C連接於前述盤 狀天線本體17,電漿氣體導入部21 A係,將形成於前述遲相 板18之開口部18A與形成於前述_孔板“之開口部丨^插通 連接於觔述盍板開口邵丨5 A。於此供給前述微波導入部2⑺ 之微波係,邊經由前述導波管21C向前述天線本體17與窄孔 板16之間之徑方向前進,由前述窄孔16a、l6b放射。 圖5B為表示形成於前述窄孔板16上之窄孔16&amp;、16b。 參照圖5B,前述窄孔16a以同心圓狀排列,對應於各個窄 孔16a ’與此正交之窄孔丨讣同樣地以同心圓狀形成。前述窄 孔ja、1讣係,於對前述窄孔板16之半徑方向,以對應於藉 由前述遲相板18壓縮之微波之波長之間隔形成,其結果^ 波由前述窄孔板16成略平面波放射。此時,由於前述窄孔 16a及16b以互相正交的關係形成,因此如此放射之微波,將 形成包含兩個正交之偏波成分之圓偏波。 於前述窄孔板16之中心,設有為與前述電漿氣體導入路 86468 -17- 1239052 2 1 A相通之開口部1 6 c。 再者圖5 A之電漿處理裝置1 0,於前述天線本體1 7上,开3 成有形成冷卻通路1 9 A之冷卻區塊1 9,將前述冷卻區塊1 9藉 由冷卻水冷卻,將續基於前述噴淋板1 4之熱,經由前述徑 線隙縫天線20吸收。前述冷卻通路1 9A於前述冷卻區塊1 9上 开&gt; 成為螺旋狀’通以Η:氣體冒泡將溶存氧排除且控制了氧 化遠原電位之冷卻水為佳。 又,圖5A之微波電漿處理裝置1〇,於前述處理容器 ’於前述噴淋板14與前述保持台13上之被處理基板以之間 p又處理氣體供給構造3 1,其具有由設於前述處理容器u 之外壁之處理氣體注入口供給處理氣體,將此由多數之處 理氣體噴頭開口部3丨八放出之格子狀之處理氣體通路,於前 述處理氣體供給構造3丨與前述被處理基板12之間之空間 11 C,進行所望的均勻之基板處理。於所關基板處理包含: 私漿虱化處理、電漿氮化處理、電漿氧氮化處理、電漿CVD ^等又,由别述處理氣體供給構造3 1對前述空間11 c供 、&quot;C4=、C5Fs或者C4F6等之全氟化碳氣體,F系或者C1系等 蝕刻乱&amp;,藉由於前述保持台13由高頻電源13A施加高頻電 壓,對前述被處理基板12可進行反應離子蝕刻。 八 ^依本5她例足微波電漿處理裝置10,藉由將前述處理I 器11之外壁以 工右的溫度加熱,可避免反應副產物, 附耆處理容器内辟, λ 土 以一天進行一次乾式清洗,可恆定知 ’穩定地運轉。 [第4實施例] 86468 -18 - 1239052 其次,於圖6 A、圖6B表示本發明之第4實施例之微波電漿 處理裝置10A之例。惟圖中,先前說明之部分賦予相同參照 符號,省略說明。 參照圖6 A,代替使用於實施例3之前述微波電漿處理裝置 1 〇之多孔質媒體之前述噴淋板丨4,設置以前述Ήιρ法形成之 緻密之八丨2〇3所成,至少形成一個以上之開口部4〇Β之噴淋 板40。前述噴淋板40與前述蓋板15接觸側形成有連通於各 個前述開口部40Β成電漿流路之凹部之電漿氣體流路4〇α。 於各個前述開口部40Β,插入有多孔質媒體例如由為多孔質 陶瓷以常壓燒結之Ah〇3所成之電漿氣體導路零件41。&amp;或The "%" difference in the degree makes the plasma excitation space in the aforementioned space 1 0 1B the whole paddle density will cause uneven problems. Kakumoto Kogyo <The overall problem is to provide a plasma processing device, which is novel and useful for those who are puzzled. The specific I of this matter is to rhyme, λ ,,, ^ Mining system, in the course of the introduction of plasma gas; the plasma field is not excited occasionally, the ancient and point poems in the county are the same, and Plasma with good uniformity is excited in the &lt; space. &amp; The subject of the invention is: To provide a plasma processing device, characterized by a δ64βδ -10- 1239052 processing container, which is separated by an outer wall and has a holding table for holding a substrate to be processed; The above-mentioned container is combined; a microwave transmission window is provided on the processing container as a part of the outer wall in a manner opposite to the substrate to be processed on the holding table; a plasma gas supply unit is configured to supply microwave gas to the processing In the container: and a microwave antenna configured to supply the microwave, which is provided in the processing container corresponding to the microwave, and the plasma gas supply unit includes a porous medium, and the plasma gas is supplied through the porous medium. The aforementioned processing container. According to the present invention, in the plasma processing apparatus for processing a substrate to be processed, the following countermeasures are implemented in order to prevent the plasma from being excited in a space other than the plasma excitation space for exciting the plasma. Plasma gas path prevents plasma excitation by making it under a plasma gas pressure condition that does not generate plasma excitation. In addition, the shower plate that emits plasma gas passes through the pores of the porous medium through a mechanism for supplying plasma gas, and electrons accelerated by microwaves when passing through a narrow pore space, and the pore space. The inner wall collides with a structure that does not give the acceleration required for plasma excitation to prevent excitation. As a result, a high-density and uniform plasma can be excited in a desired plasma excitation space. Other problems and features of the present invention will become clear from the following detailed description of the present invention with reference to the drawings. [Embodiment] The best aspect of implementing the invention 86468 -11-1239052 [First Embodiment] Figs. 2A and 2B show the configuration of a microwave plasma processing apparatus 200 according to the first embodiment of the present invention. However, in the figure, parts previously explained are given the same reference numerals, and explanations are omitted. Referring to FIG. 2A, in the aforementioned spray plate 103 of the aforementioned microwave plasma processing apparatus 100, in this embodiment, a porous medium such as a porous ceramic material is sintered at normal pressure Ah. 3 formed the disc-shaped shower plate 201 replaced. A plasma gas passage 20 is formed on the shower plate 201. The plasma gas supplied to the heart or the heart of the plasma gas supply port 105 passes through the plasma gas passage 202 through the pores of the porous medium of the spray plate 20 and is supplied to the sample directly below the spray plate. Space 1 〇i Β. The i-descripted plasma gas path 202 has a strong microwave electric field and is easy to excite the plasma; Here, the right y ^ — '4-' ^ ^ Ah is what the meaning of the plasma gas passage 202 is about the pressure of the power generation plasma. Fig. 3 shows the area where the wave plasma is excited when the intensity of the microwave electric field is changed and the pressure of the plasma excitation gas Ar is changed. Take the microwave frequency of 2 45 GHz as an example. ~ = The area indicated by area A is the area where the plasma is excited. The microwave plasma can be excited by the aforementioned microwave intensity and Ar pressure. Referring to FIG. 3, for example, when the pressure is about 1 丄 丄 rr, the intensity of the shout wave is about 0.3 W / cm2, and the microwave plasma is started. However, if the & force is increased to a pressure higher than 1 Torr, Shi Shi, ^ on the knife or lowered, in order to excite the plasma, and the electric field of the electric wave becomes stronger, it can be seen that Cheng strike # 丄 成 鸡 以, put the electric plasma Condition. In this device, the above-mentioned plasma gas path meeting the king, force 6.67 KPa ~ 13 · 3 KPa (about 50 Torr ~ 100 Torr), to prevent the electric current excited in the plasma gas path 202 86468 1239052 Pulp. In addition, the aforementioned plasma space 1 1B of the plasma excitation space and the aforementioned plasma gas flow path 202 of the electric propeller Wing lion supply path are isolated from each other by the aforementioned forest spray plate 201 of porous media. The plasma gas is supplied through the plasma gas flow path through the pores of the porous medium of the 0 through shower plate 201, and 10 lB. In the aforementioned stomata, there is no very wide life span for exciting the plasma, so the plasma will not be excited. That is, even if the smoke r J 1 is accelerated by the microwaves and the electrons by the microwaves', the plasma will not be excited because the electrons will collide with the outer wall of the pores before the electrons are accelerated to the extent that the plasma is excited. Therefore, the plasma spray gas 201 connected to the space 101B of the device 200 in the device 200 does not excite the plasma, so it can excite a high-density and uniform plasma in the space 101B. [Second Embodiment] Figs. 4A and 4B show the configuration of a microwave plasma processing apparatus 200A according to a second embodiment of the present invention. However, in the figure, parts previously explained are given the same reference symbols and explanations are omitted. 1 Referring to FIG. 4, in the microwave plasma processing apparatus 2000a of this embodiment, the spray plate 111 at the lower two stages is removed. Because the above-mentioned lower spray plate U1 cannot be supplied with electric water holes &lt; outer &lt; processing gas for film formation or etching, but by supplying the above-mentioned spray A with water gas, the oxidizing gas or nitriding gas can be supplied together, which can be treated « The plate 2 is formed into an oxide film or a nitride film, or an oxynitride film. ^, = This example, also does not excite the plasma within the shower plate 20, so you can) stimulate the high density and uniform plasma in the space directly under the shower plate. [Third Embodiment] 86468 1239052 Figs. 5A and 5B show the configuration of a plasma processing apparatus ιo according to a third embodiment of the present invention. — FIG. 5A, it can be described that the electropolymerization processing device 10 includes: a processing container (I; and, holding mouth /, is not contained in the aforementioned processing container 11, and the substrate 12 to be processed is held by an electrostatic chuck, and the pressure is equalized by heat. The ain or A formed by the method (HIp) means that the machine has a space ha 'in the processing container 11 that surrounds the holding table 13, that is, two or more H are symmetrical to the processing substrate 11 on the holding table 13. Jia 'formation of exhaust gas. The aforementioned processing efforts: 11' Vacuum exhaust through the closed exhaust pump lla ^ vacuum pump. Decompression. The aforementioned processing container U is made of Vostian stainless steel containing A1. The surface of the wall is treated with oxygen to form a protective film made of alumina. Also = the above-mentioned processing container corresponds to the substrate to be treated in the outer wall. H $ 成 有 Sintered at a normal temperature with a porous medium such as a porous ceramic material. The disk-shaped spray plate 14 formed by Al 2 O 3 is used as a part of the outer wall. The mouth shower plate 14 is installed on the processing container ^ through a sealer is, further to the spray plate M. It is provided with a dense A] 203 formed by mp treatment, and a cover plate 15. By closing The Al2O3 cover plate 15 formed by the method makes the 2O3 as a sintering aid. The porosity is less than GQ3% and the paste does not contain pores or pinholes. It reaches 30 W / m. A very large heat transferer stated that “the airtightness between the processing container η and the outside is sealed by the aforementioned sealing ns and then the cover 15 is pressed, so it is the aforementioned spray that does not cause mechanical strength to the porous medium. Burden structure of the shower plate 14. The contact side of the shower plate 盖板 and the cover plate 15 is formed with a concave shape of the electric 荥 gas flow path 86468 -14-1239052, and the plasma gas flow path 冼14A is formed inside the shower plate 14 and is formed at the upper part of the shower plate, and is connected to the plasma gas introduction path 21A described later. The month shower plate 1 4 is formed on the inner wall of the processing container. The projecting portion lib is held, and among the projecting portions Ub, a circular shape for suppressing abnormal discharge is formed in a portion that holds the shower plate 14. Here, the plasma gas introduction path 21 is supplied to the center of the center or ^. After the plasma gas passes through the aforementioned plasma gas inside the shower plate 14 to expose 14A, it passes through the front The pores of the porous medium of the shower plate 14 are supplied to the shower room "directly below the space 11B. In addition, the fastening part of the plasma gas introduction path 21 a and the cover plate 15 has an insertion seal for 15 seconds, and is sealed. The aforementioned plasma gas. A radial slot antenna 20 is provided on the cover plate 15. The radial slot antenna 20 'has a disc-shaped narrow hole plate 16 which is formed in close contact with the cover plate 15 In FIG. 5B, most of the narrow holes 16a, 16b; a disc-shaped antenna body 17, which holds the aforementioned narrow hole plate 16; a late phase plate, which is held between the aforementioned narrow hole plate 16 and the antenna body 17 by Abo; , Si3N4, SiON or SiO2 and other low loss dielectric materials. Further, an electric gas / microwave introduction lens 21 is provided on the upper part of the radial slot antenna 20. The plasma gas and microwave introduction part 2 1 is a circular or rectangular cross section connected to the antenna body 17 and the inside is a microwave introduction path 2 1 C and a rectangular or circular cross section microwave introduction part 2 1B Then, the plasma gas introduction part 21A that introduces plasma gas such as Ar or Kr in a substantially cylindrical shape is formed. The radial slot antenna 20 is mounted on the processing container 11 through a seal 11u, and is connected to the radial slot antenna 2 0 ′ from the outside of the microwave introduction section 2 1B connected to the plasma gas and microwave introduction section 21. 86468 -15- 1239052 The source (typically shown) supplies microwaves at 2.45 GHz or 8 · 3 GHz. The supplied microwave is radiated from the narrow holes i6a, 16b in the seven-hole orifice plate 6 to the processing container 丨 through the cover plate 15 and the shower plate 14, and the space ΠB directly under the shower plate 14 will be provided by The plasma is excited in the plasma gas supplied from the shower plate 14. At this time, the cover plate 15 and the shower plate 14 are formed of Al203 as an effective microwave penetration Jia. At this time, in order to avoid the above-mentioned plasma gas flow path 14 A / helmet plasma, as described above, the plasma gas pressure of the plasma gas flow path 1 4 a is maintained at about 6.67 KPa to 13.3 KPa (about 50 ~ 100 Torr). At this time, as described in the first embodiment, the aforementioned plasma gas flow path i 4 A of the space 11B and the plasma gas supply path before the plasma excitation space is formed as the aforementioned spray through the porous medium. Board 丨 4 isolated composition. As described above, the plasma gas system supplies the aforementioned space from the aforementioned plasma gas flow path through the pores of the shower plate i 4 丨 1B, but because there is no very wide space in the pores that can excite the plasma , So it will not excite the plasma. Therefore, in the device 10, the plasma gas connected to the aforementioned space 丨 1B is introduced into the other spray plate 14 of the red path, and the plasma will not be excited. Therefore, a high-density and uniform electricity can be excited in the aforementioned space η b Pulp. In order to improve the adhesiveness between the aforementioned radial slot antenna 20 and the aforementioned cover plate 15, the microwave plasma processing apparatus 10 in the present embodiment is part of the upper part of the aforementioned processing container 11 which is fastened to the aforementioned narrow hole plate 6 A ring-shaped groove is formed, and the gap formed between the narrow hole plate 16 and the cover plate 15 is reduced by removing the closed groove 1 lg and communicating with the exhaust port 1G. By pressing, the radial slot antenna 20 can be tightly pressed against the cover plate 15 by atmospheric pressure. This gap includes the narrow holes 16a, 16b formed in the narrow hole plate 16 described above, but other gaps 86468 -16-1239052 may be formed for various reasons. At the closed gap, it is sealed by the seal Uu between the line-slot antenna 20 and the processing container u as described above. Further, the gap between the narrow hole plate M and the cover plate 15 is filled with the inert gas having a small molecular weight through the exhaust port G and the groove lg, which can promote the passage from the cover plate 15 to the narrow hole plate 16 Of heat transfer. As the inert gas, it is preferable to use He having a large thermal conductivity and a high ionization energy. When filling the gap with He, it is preferable to set a pressure of about 0.8 bar. In the structure shown in FIG. 3, a valve 11v is connected to the exhaust port 11G at the exhaust port 11G in order to exhaust the aforementioned trench 11g and fill the trench 11g with an inert gas. The waveguide 21C of the gas / plasma introduction section 21 is connected to the disc-shaped antenna body 17, and the plasma gas introduction section 21 A is a series of openings 18A formed in the late phase plate 18 and the _ hole. The opening of the plate is inserted through the opening of the rib plate 5A. Here, the microwave system of the microwave introduction portion 2 is supplied here, and the antenna body 17 and the narrow hole plate 16 are passed through the waveguide 21C. The radial direction advances between the narrow holes 16a, 16b. Fig. 5B shows the narrow holes 16 &amp;, 16b formed in the narrow hole plate 16. Referring to Fig. 5B, the narrow holes 16a are arranged concentrically, Corresponding to each narrow hole 16a ', the orthogonal narrow holes 丨 讣 are formed in the same concentric circle shape. The aforementioned narrow holes ja, 1 are in the radial direction of the narrow hole plate 16 so as to correspond to The interval between the wavelengths of the compressed microwaves of the retardation plate 18 is formed, and as a result, the waves are radiated by the aforementioned narrow-hole plate 16 into slightly plane waves. At this time, since the aforementioned narrow holes 16a and 16b are formed in a mutually orthogonal relationship, the radiation Microwaves will form a circle containing two orthogonal polarized components In the center of the narrow orifice plate 16, an opening 16c is provided which communicates with the aforementioned plasma gas introduction path 86468 -17-1239052 2 1 A. Furthermore, the plasma processing device 10 of FIG. 5A, On the antenna body 17 above, 30% of the cooling block 19 is formed to form a cooling passage 19A, and the cooling block 19 is cooled by cooling water, which will continue to be based on the heat of the spray plate 14 above. Absorbed by the aforementioned slot antenna 20. The aforementioned cooling path 19A is opened on the aforementioned cooling block 19 &gt; it becomes a spiral shape, which means that the cooling water is excluded from the dissolved oxygen and controlled the oxidation of the far-primary potential. In the microwave plasma processing apparatus 10 shown in FIG. 5A, a gas supply structure 31 is processed in the processing container ′ between the shower plate 14 and the substrate 13 on the holding table 13, and A processing gas supply path is provided from a processing gas injection port provided on the outer wall of the processing container u, and a plurality of processing gas passages are discharged from the openings 3 of the plurality of processing gas nozzles. The space 11 C between the substrates 12 to be processed The desired uniform substrate processing is performed. The related substrate processing includes: plasma treatment, plasma nitridation treatment, plasma oxynitridation treatment, plasma CVD, etc., and a separate process gas supply structure 3 1 For the aforementioned space 11 c, &quot; C4 =, C5Fs or C4F6 and other perfluorocarbon gases, F series or C1 series etc. etching chaos &amp;, because the holder 13 is applied with high frequency voltage from the high frequency power supply 13A Reactive ion etching can be performed on the substrate 12 to be processed. According to this example, the microwave plasma processing apparatus 10 is used. By heating the outer wall of the processing apparatus 11 at the right temperature, reaction by-products can be avoided. In the attached processing container, the lambda soil is dry cleaned once a day. [Fourth embodiment] 86468 -18-1239052 Next, an example of a microwave plasma processing apparatus 10A according to a fourth embodiment of the present invention is shown in Figs. 6A and 6B. However, in the figure, parts previously described are given the same reference numerals, and explanations are omitted. Referring to FIG. 6A, instead of the aforementioned spray plate of the porous medium used in the aforementioned microwave plasma processing apparatus 10 of Example 3, a dense eight formed by the aforementioned 法 ιρ method is provided. A shower plate 40 having one or more openings 40B is formed. A plasma gas flow path 40α is formed on the contact side of the shower plate 40 and the cover plate 15 and communicates with each of the openings 40B to form a concave portion of the plasma flow path. In each of the aforementioned openings 40B, a porous gas guide member 41 made of, for example, a plasma gas channeling member made of Ah03, which is a porous ceramic sintered at normal pressure, is inserted. &amp; or

Kr等足電漿氣體通過前述電漿氣體流路4〇A後,通過前述電 漿氣體導路零件41之多孔質媒體之氣孔略一樣地供給前述 空間11 B。 此時與前述微波電漿理裝置1〇之情形同樣地,於電漿氣 體通路40A及前述電漿氣體導入零件4丨内因不會激發電漿 ,故於前述空間ΠΒ可激發高密度且均勻的電漿。/ [第5貫施例] 其次’於圖7A、圖7B表示本發明之第5實施例之微波電聚 ,理裝置10B之例。惟圖中’先前說明之部分賦予相同參照 符號,省略說明。 、,參照圖7A’於本實施例之微波電漿處理裝置i〇b,撤去前 迟下啟貧淋板j 1。又’保持前述噴淋板丨4之伸出部11匕之全 面形成為圓形。 万、所關構成4電槳處理裝置! QB,由於省略前述下段噴淋 86468 -19. 1239052 板3 1無法供給電漿氣體之外之處理氣體進行成膜或飾刻, 但藉由前述噴淋板1 4與電漿氣體一起供給氧化氣體或氮化 氣體,可於被處理基板表面形成氧化膜或氮化膜,或者氧 氮化膜。 於本貫施例’亦由於在前述電聚氣體迪路1 4 A及前述喷淋 板14内不會激發電漿,故於前述噴淋板正下之空間可激發 问法'度且均勻的電聚。 [第6實施例] 圖8A、圖8B為表示本發明之第6實施例之微波電漿處理裝 置1 0C之例。惟圖中,先前說明之部分賦予相同參照符號, 省略說明。 參照圖8 A,於本實施例之微波電漿處理裝置丨〇c,與前述 微波電漿處理裝置1 〇 A的情形相同地,藉由以前述hip法形 成之緻舍Al2〇3所成,至少形成一個以上之開口部之喷 淋板40,及插入於前述開口部4〇b之多孔質媒體例如為多孔 質陶瓷材料之燒結A12〇3所成之電漿氣體導路零件41,將 Ar或Kr等之電漿氣體供給前述處理容器丨i。 又與則述1 0B的情形相同地撤去前述下段喷淋板3丄。又 ’保持前述噴淋板14之伸出部llb之全面形成為圓形。 於:關構成之電漿處理裝置loc,由於省略前述下段喷淋 板μ典法供給電漿氣體之外之處理氣體進行成膜或钱刻, 但藉由前述噴淋板14盥雷將^ ,、兒水軋體一起供給氧化氣體或氮化 氣體,可於被處理基板表 # 、 ^ ^ y成乳化膜:或氮化膜,或者氧 86468 20 . 1239052 於本實施例,亦由於在前述電漿氣體通路40A及前述電漿 氣體導入零件4 1内不會激發電漿,故於前述噴淋板正下之 空間11B可激發高密度且均勻的電漿。 再者,於實施例之多孔質媒體採取多孔質陶瓷材料以常 壓燒結之A1203為例,惟並非限定於此者。 「產業上利用的可能性1 依照本發明,於處理被處理基板之電漿處理裝置,將為 激發電漿之空間,與為激發電漿之電漿氣體導入經路藉由 以多孔質媒體,例如多孔質陶瓷材料分離,防止於前述電 漿氣體導入經路之電漿激發,可於所望電漿激發空間,激 發高密度且均勻的電漿。 【圖式簡單說明】 圖ΙΑ、1B為表示先前之使用徑線隙缝天線之微波電漿處 理裝置之構成之圖。 圖2A、2B為表示本發明之第1實施例微波電漿處理裝置之 構成之圖。 圖3為表示為激發微波電漿之微波電場與電漿氣體Ar之 壓力條件之圖。 圖4A、4B為表示本發明之第2實施例之處理氣體供給構造 之構成之圖。 圖5A、5B為表示本發明之第3實施例之電漿處理裝置之構 成之圖。 圖6A、6B為表示本發明之第4實施例之電漿處理裝置之構 成之圖。 86468 -21 - 1239052 圖7A、7B為表示本發明之第5實施例之電漿處理裝置之構 成之圖。 圖8A、8B為表示本發明之第6實施例之電漿處理裝置之構 成之圖。 【圖式代表符號說明】 lib 伸出部 11G 排氣埠 Hg 溝 14A 電漿氣體流路 16 窄孔板 19 冷部區塊 19A 冷卻通路 21 A 電漿氣體導入路 41 電漿氣體導入零件 21B, 21 微波導入部 101 處理室 104, 202 電漿氣體通路 105 電漿供給埠 110 徑線隙缝天線 110A 同軸導波管 HOC 放射板 111 導體構造物 115 保持台 11a,116 排氣埠 86468 -22 - 1239052 40B, 107 開口部 14, 40,103, 201 噴淋板 llu, 108,109 封口 16a,16b, 110a, 110b 窄孔 11B, 101A, 101C, 101B 空間 11, 101 處理容器 12, 114 被處理基板 15, 102 蓋板 17,1 10B 天線本體 18, 110D 遲相板 10,10A,10B, IOC, 100, 200, 200A 微波電漿處理裝置 -23 - 86468Kr waits for the plasma gas to pass through the plasma gas flow path 40A, and then passes through the pores of the porous medium of the plasma gas guide 41 to supply the space 11B in the same manner. At this time, as in the case of the aforementioned microwave plasma processing apparatus 10, the plasma gas passage 40A and the plasma gas introduction part 4 丨 do not excite the plasma, so high density and uniformity can be excited in the aforementioned space ΠB. Plasma. / [Fifth embodiment] Next, an example of the microwave electric focusing and processing device 10B of the fifth embodiment of the present invention is shown in Figs. 7A and 7B. However, in the figure, the parts previously described are given the same reference numerals, and the description is omitted. With reference to Fig. 7A 'in the microwave plasma processing device i0b of this embodiment, the low-lying leaching plate j 1 is lowered before being removed. Furthermore, the entire surface of the protruding portion 11 of the shower plate 4 is held in a circular shape. Thousands of off-limits constitute 4 electric paddle processing devices! QB, because the above-mentioned lower spraying 86468 -19. 1239052 plate 31 can not be supplied with plasma gas or engraving, but the spray plate 14 and the plasma gas are used to supply the oxidizing gas. Or nitriding gas can form an oxide film, a nitride film, or an oxynitride film on the surface of the substrate to be processed. In the present embodiment, the plasma is not excited in the aforementioned electro-polymerized gas circuit 1 4 A and the spray plate 14, so the space directly below the spray plate can stimulate the method and is uniform. Electropoly. [Sixth Embodiment] Figs. 8A and 8B show an example of a microwave plasma processing apparatus 10C according to a sixth embodiment of the present invention. However, in the figure, parts previously explained are given the same reference numerals, and explanations are omitted. Referring to FIG. 8A, the microwave plasma processing apparatus in this embodiment is the same as the case of the microwave plasma processing apparatus 10A described above, and is formed by using the above-mentioned hip method to form Al203. The shower plate 40 forming at least one opening portion, and a plasma gas guide 41 made of sintered A1203 of a porous ceramic material, for example, a porous medium inserted into the opening portion 40b. Or plasma gas such as Kr is supplied to the aforementioned processing container 丨 i. Similarly to the case of 10B, the aforementioned lower shower plate 3 丄 is removed. Also, the entire shape of the protruding portion 11b of the shower plate 14 is kept circular. In: The plasma processing device loc constructed by Guan, because the above-mentioned lower stage spray plate μ is used to supply process gas other than plasma gas for film formation or money engraving, but by the aforementioned spray plate 14, it will be ^, The oxidizing gas or nitriding gas is supplied together with the hydro-rolled body, and an emulsified film can be formed on the substrate to be processed #, ^ ^ y, or a nitride film, or oxygen 86468 20. 1239052 In this embodiment, because The plasma gas passage 40A and the plasma gas introduction part 41 described above will not excite the plasma, so the space 11B directly under the shower plate can excite a high density and uniform plasma. Furthermore, in the porous media of the embodiment, the porous ceramic material is A1203 sintered at normal pressure as an example, but it is not limited to this. "Possibility of industrial utilization 1 According to the present invention, in a plasma processing apparatus for processing a substrate to be processed, a space for exciting the plasma and a plasma gas for exciting the plasma are introduced into the pathway by using a porous medium. For example, the separation of porous ceramic materials prevents the plasma from being excited by the plasma gas introduction path, which can excite a high-density and uniform plasma in the desired plasma excitation space. [Schematic description] Figures IA and 1B show FIG. 2A and 2B are diagrams showing the structure of a microwave plasma processing apparatus using a diameter slot antenna. FIG. 2A and 2B are diagrams showing the structure of a microwave plasma processing apparatus according to the first embodiment of the present invention. Diagrams of the microwave electric field and the pressure conditions of the plasma gas Ar. Figures 4A and 4B are diagrams showing the structure of a processing gas supply structure of the second embodiment of the present invention. Figures 5A and 5B are diagrams showing the third embodiment of the present invention Fig. 6A and 6B are diagrams showing the structure of a plasma processing apparatus according to a fourth embodiment of the present invention. 86468 -21-1239052 Figs. 7A and 7B are the fifth embodiment of the present invention. example Figure 8A and 8B are diagrams showing the structure of a plasma processing apparatus according to a sixth embodiment of the present invention. [Illustration of Representative Symbols] lib extension 11G exhaust port Hg groove 14A Plasma gas flow path 16 Narrow orifice plate 19 Cold section 19A Cooling path 21 A Plasma gas introduction path 41 Plasma gas introduction part 21B, 21 Microwave introduction part 101 Processing chamber 104, 202 Plasma gas passage 105 Plasma supply Port 110 Diameter Slot Antenna 110A Coaxial Waveguide HOC Radiation Plate 111 Conductor Structure 115 Holder 11a, 116 Exhaust Port 86468 -22-1239052 40B, 107 Opening 14, 40, 103, 201 Shower Plate llu, 108 109 seals 16a, 16b, 110a, 110b narrow holes 11B, 101A, 101C, 101B space 11, 101 processing container 12, 114 processed substrate 15, 102 cover 17, 1 10B antenna body 18, 110D late phase plate 10, 10A, 10B, IOC, 100, 200, 200A microwave plasma processing equipment-23-86468

Claims (1)

1239052 拾、申請專利範圍: 1. 一種電漿處理裝置,其特徵在於包含: 處理容器,其由外壁區隔,具備保持被處理基板之保 持台; 排氣系統,其與前述處理容器結合; 微波穿透窗,其於前述處理容器上,以與前述保持 臺上之被處理基板相對的方式,設置作為前述外壁之 一部分; 電漿氣體供給部,其係將電漿氣體供給前述處理容器 中;及 微波天線,其對應於前述微波設於前述處理容器上; 前述電漿氣體供給部包含多孔質媒體.,經由前述多孔 質媒體將前述電漿氣體供給前述處理容器。 2. 如申請專利範圍第1項之電漿處理裝置,其中前述微波 穿透窗包含構成前述處理容器之一部分之蓋板,及與前 述蓋板密接而設之噴淋板,前述噴淋板構成前述電漿氣 體供給部。 3. 如申請專利範圍第2項之電漿處理裝置,其中前述喷淋 板係由多孔質媒體所構成。 4. 如申請專利範圍第2項之電漿處理裝置,其中前述喷淋 板具有電漿氣體供給通路,及與前述電漿氣體供給通路 連通之至少一個以上之電漿氣體導入部,前述電漿氣體 導入部係由多孔質媒體所構成。 5. 如申請專利範圍第1項之電漿處理裝置,其中前述多孔 86468 1239052 質媒體係由燒結陶爱:所構成。 6. 如申請專利範圍第2項之電漿處理裝置,其中前述蓋板 係由細密陶瓷所構成。 7. 如申請專利範圍第1項之電漿處理裝置,其中進一步於 前述被處理基板與前述電漿氣體供給部之間,設有處理 氣體供給部。 8. 如申請專利範圍第7項之電漿處理裝置,其中處理氣體 供給部具有:使電漿通過之電漿通路;可連接於處理氣 體源之處理氣體通路;及連通於前述處理氣體通路之多 數噴嘴開口部。 9. 如申請專利範圍第1項之電漿處理裝置,其中進一步包 含連接於前述保持台之高頻電源。 10. 如申請專利範圍第1項之電漿處理裝置,其中前述微波 天線係由徑線隙縫天線所構成。 864681239052 The scope of patent application: 1. A plasma processing device, comprising: a processing container, which is separated by an outer wall, and has a holding table for holding a substrate to be processed; an exhaust system, which is combined with the aforementioned processing container; a microwave A penetration window is provided on the processing container as a part of the outer wall so as to oppose the substrate to be processed on the holding table; a plasma gas supply unit is configured to supply plasma gas to the processing container; And a microwave antenna provided on the processing container corresponding to the microwave; the plasma gas supply unit includes a porous medium; and the plasma gas is supplied to the processing container via the porous medium. 2. The plasma processing device according to item 1 of the application, wherein the microwave transmission window includes a cover plate constituting a part of the processing container, and a spray plate provided in close contact with the cover plate, and the spray plate constitutes The aforementioned plasma gas supply unit. 3. The plasma processing device according to item 2 of the patent application range, wherein the spray plate is composed of a porous medium. 4. The plasma processing device according to item 2 of the patent application, wherein the shower plate has a plasma gas supply path, and at least one plasma gas introduction part communicating with the plasma gas supply path, and the plasma The gas introduction part is composed of a porous medium. 5. For the plasma processing device in the first item of the scope of patent application, wherein the aforementioned porous 86468 1239052 mass media is composed of sintered Tao Ai :. 6. The plasma processing device as described in the second item of the patent application, wherein the cover plate is made of fine ceramics. 7. The plasma processing apparatus according to item 1 of the patent application scope, further comprising a processing gas supply section between the substrate to be processed and the plasma gas supply section. 8. The plasma processing device according to item 7 of the scope of patent application, wherein the processing gas supply unit has: a plasma channel through which the plasma passes; a processing gas channel connectable to the processing gas source; and a communication channel connected to the foregoing processing gas channel Most nozzle openings. 9. The plasma processing device according to item 1 of the patent application scope, further comprising a high-frequency power source connected to the aforementioned holder. 10. The plasma processing device according to item 1 of the application, wherein the aforementioned microwave antenna is composed of a radial slot antenna. 86468
TW092118344A 2002-07-05 2003-07-04 Plasma treatment device TWI239052B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002197227A JP4540926B2 (en) 2002-07-05 2002-07-05 Plasma processing equipment

Publications (2)

Publication Number Publication Date
TW200414350A TW200414350A (en) 2004-08-01
TWI239052B true TWI239052B (en) 2005-09-01

Family

ID=30112393

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092118344A TWI239052B (en) 2002-07-05 2003-07-04 Plasma treatment device

Country Status (9)

Country Link
US (2) US20050092437A1 (en)
EP (1) EP1521297B1 (en)
JP (1) JP4540926B2 (en)
KR (1) KR100614065B1 (en)
CN (1) CN100405557C (en)
AU (1) AU2003281401A1 (en)
DE (1) DE60335951D1 (en)
TW (1) TWI239052B (en)
WO (1) WO2004006319A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004039969A1 (en) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasma source for depositing layers on materials, cleaning and etching has power supply connected to electromagnetic radiation generator under gas supply and plasma volume
JP4350695B2 (en) * 2004-12-01 2009-10-21 株式会社フューチャービジョン Processing equipment
JP2006244891A (en) * 2005-03-04 2006-09-14 Tokyo Electron Ltd Microwave plasma processing device
JP5082229B2 (en) * 2005-11-29 2012-11-28 東京エレクトロン株式会社 Plasma processing equipment
JP5082459B2 (en) * 2006-01-20 2012-11-28 東京エレクトロン株式会社 Plasma processing apparatus and top plate manufacturing method
US20080254220A1 (en) 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
WO2007083795A1 (en) * 2006-01-20 2007-07-26 Tokyo Electron Limited Plasma processing equipment
JP4915985B2 (en) * 2006-02-06 2012-04-11 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR100980529B1 (en) * 2006-03-27 2010-09-06 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
JP2008047869A (en) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
JP5463536B2 (en) * 2006-07-20 2014-04-09 北陸成型工業株式会社 Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
JP5004271B2 (en) * 2006-09-29 2012-08-22 東京エレクトロン株式会社 Microwave plasma processing apparatus, dielectric window manufacturing method, and microwave plasma processing method
JP5010234B2 (en) 2006-10-23 2012-08-29 北陸成型工業株式会社 Shower plate in which gas discharge hole member is integrally sintered and manufacturing method thereof
JP5058727B2 (en) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 Top plate structure and plasma processing apparatus using the same
KR101111207B1 (en) 2009-05-20 2012-02-20 주식회사 에이피시스 Apparatus for generating plasma
JP6101467B2 (en) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 Film forming method and film forming apparatus
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
CN104357810A (en) * 2014-11-04 2015-02-18 大连理工常州研究院有限公司 Coaxial microwave plasma film-deposition equipment
JP6462449B2 (en) * 2015-03-26 2019-01-30 京セラ株式会社 High-frequency window member, semiconductor manufacturing device member, and flat panel display (FPD) manufacturing device member
JP6803917B2 (en) * 2016-02-12 2020-12-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Vacuum processing system and method of performing vacuum processing
US11776793B2 (en) * 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
CN112663029B (en) * 2020-11-30 2021-10-19 上海征世科技股份有限公司 Microwave plasma chemical vapor deposition device and vacuum reaction chamber thereof

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US5129359A (en) * 1988-11-15 1992-07-14 Canon Kabushiki Kaisha Microwave plasma CVD apparatus for the formation of functional deposited film with discharge space provided with gas feed device capable of applying bias voltage between the gas feed device and substrate
JP2894658B2 (en) * 1992-01-17 1999-05-24 株式会社東芝 Dry etching method and apparatus
JPH06208952A (en) * 1993-01-11 1994-07-26 Fuji Electric Co Ltd Plasma cvd processing system
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5985089A (en) * 1995-05-25 1999-11-16 Tegal Corporation Plasma etch system
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH09129607A (en) * 1995-11-01 1997-05-16 Canon Inc Device and method of microwave plasma etching
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JPH11186238A (en) * 1997-12-25 1999-07-09 Nec Corp Plasma processor
JPH11193466A (en) * 1997-12-26 1999-07-21 Canon Inc Plasma treating device and plasma treating method
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
KR100762754B1 (en) * 1999-11-30 2007-10-09 동경 엘렉트론 주식회사 Plasma processing apparatus
US6847003B2 (en) * 2000-10-13 2005-01-25 Tokyo Electron Limited Plasma processing apparatus
US6598610B2 (en) * 2001-02-05 2003-07-29 Dalsa Semiconductor Inc. Method of depositing a thick dielectric film
JP2002299240A (en) * 2001-03-28 2002-10-11 Tadahiro Omi Plasma processor

Also Published As

Publication number Publication date
CN1533596A (en) 2004-09-29
EP1521297A1 (en) 2005-04-06
EP1521297B1 (en) 2011-02-02
US20050092437A1 (en) 2005-05-05
WO2004006319A1 (en) 2004-01-15
KR100614065B1 (en) 2006-08-22
JP2004039972A (en) 2004-02-05
EP1521297A4 (en) 2006-06-07
AU2003281401A1 (en) 2004-01-23
US20090229755A1 (en) 2009-09-17
KR20040045900A (en) 2004-06-02
CN100405557C (en) 2008-07-23
DE60335951D1 (en) 2011-03-17
JP4540926B2 (en) 2010-09-08
TW200414350A (en) 2004-08-01

Similar Documents

Publication Publication Date Title
TWI239052B (en) Plasma treatment device
KR100685248B1 (en) Plasma processing device
EP1300878B1 (en) Device for plasma processing
JP4012466B2 (en) Plasma processing equipment
KR20030004430A (en) Plasma processing device
KR100501777B1 (en) Plasma processing device
JP2008243827A (en) Plasma processing method
JP4113895B2 (en) Plasma processing equipment
JP4113896B2 (en) Plasma processing equipment
JP4689706B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees