TWI222466B - Novel method to form a poly connector - Google Patents

Novel method to form a poly connector Download PDF

Info

Publication number
TWI222466B
TWI222466B TW88107081A TW88107081A TWI222466B TW I222466 B TWI222466 B TW I222466B TW 88107081 A TW88107081 A TW 88107081A TW 88107081 A TW88107081 A TW 88107081A TW I222466 B TWI222466 B TW I222466B
Authority
TW
Taiwan
Prior art keywords
layer
patent application
item
metal layer
scope
Prior art date
Application number
TW88107081A
Other languages
Chinese (zh)
Inventor
Ching-Nan Yang
Jia-Cheng Liou
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW88107081A priority Critical patent/TWI222466B/en
Application granted granted Critical
Publication of TWI222466B publication Critical patent/TWI222466B/en

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)

Abstract

The present invention includes forming a polysilicon layer on the isolation layer, followed by etching the polysilicon layer by using an etchant that is only attacks the silicon material but stop on the isolation. Subsequently, a metal layer is formed on the patterning feature. A refractory or noble metal layer, such as Ti, Pt, Co, W, Ni, Pd, Cr etc, is formed on the isolation layer and the polysilicon. Successively, a rapid thermal process is employed at 350 to 700 degrees centigrade in N2 ambient to react the metal with the polysilicon, thereby forming silicide on the defined polysilicon. The non-reactive metal on the dielectric layer is next removed.

Description

1222466 A7 B7 五、發明説明( 5-1發明領域: 本發明係有關於一種電性連接結構之製程,特別是應用 在積體電路上之金屬矽化物之電性連接、结構製程。 5-2發明背景: 在超大型積體電路趨勢中,半導體元件的尺寸不斷地 縮小’積集度不斷地提昇。經由縮小電子元件的尺寸後已 經可以成半導體積體電路的最大整合能力。隨著電子元 件尺寸的縮小化後,在積體電路的製造過程上出現許多挑 戰。此外’由於電腦以及通訊技術之蓬勃發展,伴隨需要 的是更多不同種類與應用之記憶體數量。例如,由語音操 作之電腦界面或其他通訊之界面均需要許多之記憶元件。 是故’積體電路之趨勢仍然會朝向高積集度發展。 經濟部中央標準局員工消費合作社印製 當元件外觀不斷縮小時,降低電性連接結構電阻之需 求更較以往重要,電阻值升高將導至元件操作速度因RC 延遲而變慢。為解決上述問題之提案之一為利用鋁金屬將 複晶矽材質替換,但是,鋁金屬之熔點低於許多後續製程 之處理溫度,也就是將大於攝氏溫度5〇〇度以上。因此鋁 不適合作為替代之材質。 最近,形成於矽層上之矽化金屬為一種普遍用來降低 本紙張尺度適用中國國家榡準(CNS ) Μ規格(210 X 297公釐) 1222466 A7 B7 五、發明説明() 接觸電阻之結構化或方法。利用自行對準矽化製程(self-aligned silicided process)所製作之元件將可以有效降低電 阻與增加操作速度。製作矽化金屬之製程通常與涉及一沈 積過程與一沈積後熱處理製程,也就是先沈積一金屬於矽 層之上,然後將晶圓施以熱處理製程,因金屬與矽反應而 形成金屬矽化物。在此技術領域中,CVD製程可以提供較 佳之階梯覆蓋性(step coverage)與較高之產能。一種稱做冷 牆式(cold-wall system)之系統在鎢矽化物製程中十分成 功。 在矽與矽化物分別形成於氧化物介電層之後,當在圖 案化矽化物/矽複合結構時將衍生出一問題。若要同步蝕 刻上述之複合結構,由於蝕刻矽化鎢之蝕刻劑也會蝕刻氧 化物,因此製程中要控制蝕刻終止於氧化層之上,將十分 地困難。另外之方法為分別利用不同之蝕刻製程與蝕刻劑 來蝕刻矽化鎢與矽層,而蝕刻矽層之蝕刻劑不可蝕刻氧化 物,但是此種作法將增加成本及降低產能。 (請先閱讀背面之注意事項再填寫本頁)1222466 A7 B7 V. Description of the Invention (5-1 Field of the Invention: The present invention relates to a process for an electrical connection structure, particularly an electrical connection and structure process for a metal silicide applied to an integrated circuit. 5-2 Background of the invention: In the trend of ultra-large integrated circuits, the size of semiconductor components is continuously shrinking, and the degree of accumulation is continuously increasing. After reducing the size of electronic components, it is already possible to achieve the maximum integration capability of semiconductor integrated circuits. After the reduction in size, many challenges have arisen in the manufacturing process of integrated circuits. In addition, due to the rapid development of computers and communication technology, more and more types and applications of memory are required. For example, voice operations Computer interfaces or other communication interfaces require many memory components. Therefore, the trend of integrated circuits will continue to develop towards a high degree of accumulation. Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs when the appearance of components is shrinking, power consumption is reduced. The need for structural connection resistance is more important than in the past. The increase in resistance value will lead to the component operation speed due to RC. Delay and slow down. One of the proposals to solve the above problem is to replace the polycrystalline silicon material with aluminum metal. However, the melting point of aluminum metal is lower than the processing temperature of many subsequent processes, that is, it will be more than 500 degrees Celsius. Therefore, aluminum is not suitable as a substitute material. Recently, the silicided metal formed on the silicon layer is a commonly used to reduce the size of this paper. Applicable to the Chinese National Standard (CNS) M specification (210 X 297 mm) 1222466 A7 B7 V. Description of the invention () Structure or method of contact resistance. Components made by self-aligned silicided process can effectively reduce resistance and increase operation speed. The process of making silicided metal usually involves a deposition process. And a post-deposition heat treatment process, that is, a metal is deposited on the silicon layer, and then the wafer is subjected to a heat treatment process to form a metal silicide due to the reaction between the metal and the silicon. In this technical field, the CVD process can provide a comparative Good step coverage and higher capacity. A system called cold-wall system It has been very successful in the tungsten silicide process. After silicon and silicide are formed on the oxide dielectric layer, a problem arises when patterning the silicide / silicon composite structure. To synchronize the above-mentioned composite structure, Since the etchant that etches tungsten silicide will also etch oxides, it will be very difficult to control the etching to stop on the oxide layer in the process. Another method is to use different etching processes and etchant to etch tungsten silicide and Silicon layer, and the etchant that etches the silicon layer cannot etch oxides, but this method will increase costs and reduce productivity. (Please read the precautions on the back before filling this page)

屬 金 化 矽 成 形 以 用 程 製 的 新 個 1 要 需 此 因A new one that is formed of siliconized silicon and is made by process.

經濟部中央標準局員工消費合作社印製 述概及 的 目 明發 度 過 被 層 介 之 下 層 矽 於 位 止 防 種 1 ο 為程 的製 目屬 之金 明化 發矽 本之 刻 蝕 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) I222466 經濟部中央標準局員工消費合作社印製 A7、____B1__________ _五、發明説明() 本發明包含形成二氧化矽層於基板之上,做為MO S電 晶鱧之閘極氧化層。一複晶矽層沈積於二氧化矽層、場氧 化區域以及基板之上。一矽化金屬與遮蓋層(cap layer)或 ARC分別形成於複晶矽層之上。遮蓋層可以為氧化物或氮 化物形成。然後以習知技術形成閘極結構、摻雜區、其他 電性連接傳遞結構等。形成側壁間隙於閘極之側壁之上。 隨後,一做為絕緣之介電層形成於上述之閘極結構、場氧 化區域以及基板之上。接著,一接觸窗(opening)利用微影 以及蝕刻之技術,形成於介電層之中以曝露部份之基板, 一複晶矽層形成於介電層之上’並且回填至接觸窗之中。 蝕刻複晶矽層形成圊案。此蝕刻之蝕刻劑只蝕刻複晶矽而 不攻擊氧化物,或是兩者間具有蝕刻高選擇性,使得蝕刻 將停止於氧化層之上。 隨後,一金屬層隨後沈積於上述之圊案化之複晶矽層 與介電層之上。舉一實施例,可以沈積一耐火金屬或貴重 金屬(refractory 或 noble metal),例如可以選用 Ti,Pt,Co, W, Ni, Pd,Cr或任何適合之材質沈積於介電層與圖案化複晶矽 層之上。也可以沈積Ti/TiN作為上述之金屬層。執行一快 速熱回火於氮氣中於350至700 °C處理,用來反應上述之 複晶層與金屬層以形成矽化金屬於其上。最後,再去除未 參與反應之金屬,矽化金屬便自行對準形成於複晶矽層之 表面上。最後,形成一絕緣膜層作為保護層。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填寫本頁)The central government bureau of the Ministry of Economic Affairs' employee consumer cooperatives printed the general outline of the project to pass through the underlying layer of silicon in place to prevent the seed 1 ο for the process of the project belongs to Jin Minghua's silicon etched paper standard applicable China National Standard (CNS) A4 specification (210X297 mm) I222466 Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs A7, ____B1__________ _V. Description of the invention () The invention includes the formation of a silicon dioxide layer on a substrate as MO S gate electrode oxide layer. A polycrystalline silicon layer is deposited on the silicon dioxide layer, the field oxidation region, and the substrate. A silicide metal and a cap layer or ARC are respectively formed on the polycrystalline silicon layer. The masking layer may be formed of an oxide or a nitride. Gate structures, doped regions, and other electrical connection transfer structures are then formed using conventional techniques. A sidewall gap is formed above the sidewall of the gate electrode. Subsequently, a dielectric layer as an insulation is formed on the gate structure, the field oxidation region, and the substrate. Next, a contact window (opening) is formed in the dielectric layer to expose a part of the substrate using lithography and etching techniques. A polycrystalline silicon layer is formed on the dielectric layer 'and backfilled into the contact window. . Etching the polycrystalline silicon layer forms a pattern. The etchant for this etch only etches the polycrystalline silicon without attacking the oxide, or has a high etching selectivity between the two, so that the etching will stop on the oxide layer. Subsequently, a metal layer is subsequently deposited on the diced polycrystalline silicon layer and the dielectric layer described above. For example, a refractory or noble metal can be deposited. For example, Ti, Pt, Co, W, Ni, Pd, Cr or any suitable material can be deposited on the dielectric layer and the patterning compound. Crystalline silicon layer. Ti / TiN can also be deposited as the above-mentioned metal layer. A rapid thermal tempering was performed at 350 to 700 ° C in nitrogen to react the above-mentioned polycrystalline layer and metal layer to form a silicided metal thereon. Finally, the metal not participating in the reaction is removed, and the silicided metal is aligned on the surface of the polycrystalline silicon layer. Finally, an insulating film layer is formed as a protective layer. This paper size applies to Chinese National Standard (CNS) A4 specification (210 × 297 mm) (Please read the precautions on the back before filling this page)

、1T, 1T

1222466 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明( 圈式簡單說明: 本發明的較佳實施例將於往後之說明文字中辅以下列 圖形做更詳細的闡述: 第一圖為一半導體晶圓的截面圖,用以描述本發明形成梦 層、介電層的步驟。 第二圖為一半導體晶圓的截面圖,用以描述本發明之形成 金屬層之步驟。 第三圖為一半導體晶圓的截面圖,用以描述本發明之執行 熱處理的步驟。 第四圖為一半導體晶圓的截面圊,用以描述本發明中在曰曰曰 圓上形成閘極結構之步驟。 第五圖為一半導體晶圓的載面圖,用以描述本發明中形成 側壁間隙的步驟。 第六圖為一半導體晶圓的截面圖,用以描述本發明中形成 氧化物的步驟。 第七圖為一半導體晶圓的截面圊,用以描述本發明中在晶 圆上形成一開孔的步驟。 第八圖為一半導體晶圓的截面囷,用以描述本發明中形成 複晶矽圖案的步驟。 第九圖為一半導體晶圓的截面圖,用以描述本發明中形成 金屬層的步驟。 第十圖為一半導體晶圓的截面圊,用以描述本發明中形成 石夕化物的步驟。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)1222466 A7 B7 Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 5. Description of the invention (Circular brief description: The preferred embodiment of the present invention will be supplemented by the following figures in the following explanatory text for a more detailed explanation: First The figure is a cross-sectional view of a semiconductor wafer, used to describe the steps of forming a dream layer and a dielectric layer in the present invention. The second figure is a cross-sectional view of a semiconductor wafer, used to describe the steps of forming a metal layer in the present invention. The third figure is a cross-sectional view of a semiconductor wafer, which is used to describe the steps of performing heat treatment of the present invention. The fourth figure is a cross-section of a semiconductor wafer, which is used to describe the formation of a gate structure on a circle in the present invention. The fifth figure is a cross-sectional view of a semiconductor wafer, which is used to describe the step of forming a sidewall gap in the present invention. The sixth figure is a cross-sectional view of a semiconductor wafer, which is used to describe the formation of oxides in the present invention. The seventh figure is a cross section 圊 of a semiconductor wafer, which is used to describe the step of forming an opening in the wafer in the present invention. The eighth figure is a cross section 囷 of a semiconductor wafer, which is used to describe this step. The step of forming a polycrystalline silicon pattern in the Ming. The ninth figure is a cross-sectional view of a semiconductor wafer, which is used to describe the step of forming a metal layer in the present invention. The tenth figure is a cross-section of a semiconductor wafer, used to describe this. Steps to form Shixi compounds in the invention. This paper size is applicable to China National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling this page)

1222466 A7 B7 __ 五、發明説明() ^ 第Η 圖為一半導體晶圓的截面圖,用以描述本發明中形 成保護層的步驟。 發明詳細說明: 本發明揭露一種矽化金屬之製程用以形成自行對準金 屬石夕化物於石夕層之上。在傳統技術中,金屬層首先形成於 一石夕層之上,隨後利用熱處理用來反應矽與金屬,進而形 成石夕化金屬,再將矽化金屬與矽層微影圖案化。如前述所 言’當石夕層形成於氧化物層之上時將會不易控制蝕刻製程 或是造成成本之增加。本方法將提供一種新的製程用以解 決傳統所不易克服之問題。以下將藉由實施例伴隨圖示加 以說明。 經濟部中央標準局員工消費合作社印製 參照第一圖之較佳實施例中,一晶面為< i 〇 〇 >之半導 體材質做基板當做一實施例。形成一矽層6於已在基板2 上之氧化物介電層6之上作為電性傳導之磨層。重要之步 驟為先行圖案化該矽層以製作所需之圖案,如第二圖所 示。上述之蝕刻製程所使用之蝕刻劑對於矽與氧化物之間 必須要有高選擇性。此步驟與傳統之製程觀念完全不同, 或疋可以使用完全不餘刻氧化物只攻擊梦材質之姓刻劑。 以一實施例而言,可以使用HBr/Cl2作為蝕刻劑。 接著,金屬層形成於圖案化之矽層6與介電層4之上。 6 1222466 A7 B7 五、發明説明() 下-步驟為製作自行對準石夕化金屬。戶斤以,可以先行沈積 耐火金屬或貝重金屬(refract〇ry或metal),例如可 ^ i’ Pt’ C〇’ % Ni, Pd,Cr或任何適合之材質沈積於介 電層4與圖案化石夕層6之上。Ti/TiN也可以作為上述之金屬 層。然後執行一快逮熱回火於氮氣中於35〇至7〇〇。〇處 理,用來反應上述之矽層6與金屬層8以形成矽化金屬1〇 於其上最後,再去除未參與反應之金屬8, 便自打對準形成於矽層之表面上,因此不需要任何蝕刻金 屬矽化物(如矽化鎢)之步驟,示之於第三圖。所以傳統之 問題得以解決而不增加步驟或成本。 本發明也可以用來製作DRAM、3尺八]^[或其他元件之電 性連接(C〇nneCt〇r)結構。參閱第四圖,一半導體基板,例 如可以达用P型或N型’晶向為 < 丄〇 〇〉之單晶矽做為基板 20。絕緣區域,如場氧化區域24形成於半導體基板如之 上做為元件間之絕緣區域。當然,在不同之需求之下,溝 渠式隔離區域亦可以當作此絕緣區域。場氧化區域24可以 使用LOCOS或是其他相關之場氧化絕緣區域技術,形成於 該基板20之上做為元件間之絕緣結構。一般而言,可以藉 由微影與蝕刻技術蝕刻氮化矽及氧化矽複合層^ ,再以^ 化製程形成場氧化層24於基板20之上。完成之後以熱磷酸 去除上述之氮化矽層,以氫氟酸去除氧化矽層,場氧化區 域24之厚度約為3000-8000埃之間。 本紙張又度適用中國國家標準(CNS ) A4規格(210x297公釐) (請先聞讀背面之注意事項再填寫本頁) 4 訂 經濟部智慧財產局員工消費合作社印製 1222466 經濟部中央標準局員工消費合作社印製 A7 _B7_五、發明説明() 接著,二氧化矽層26形成於基板20之上,做為MOS 電晶體之閘極氧化層。此二氧化矽層2 6 一般為利用熱氧化 法形成’製程溫度約為800至1100C之間’厚度約20至 200埃。當然,一般之技術如化學氣相沈積法以TEOS為反 應物,製程溫度約600至800 °C,壓力約1至10托耳也可以 形成二氧化矽層26。 一導電層28沈積於二氧化矽層2.6、場氧化區域24以及 基板2 0之上。以一實施例而言,此導電層2 8以複晶矽層2 8 利用化學氣相沈積法(CVD)形成較佳。而其他之金屬或合 金在適當條件之下亦可以使用。一矽化金屬30與遮蓋層 (cap layer)或ARC 34分別形成於複晶矽層28之上。遮蓋 層34可以為氧化物或氮化物形成。於矽化金屬30與遮蓋層 34之間可以具有一 TEOS 氧化層32用以消除之間之應 力。然後以習知技術形成閘極結構3 6、摻雜區、其他電性 連接傳遞結構38等。 參閱第五圖,完成閘極結構3 6之後,形成側壁間隙4 0 於閘極之側壁之上。隨後,參閱第六圖,一做為絕緣之介 電層42形成於上述之閘極結構36、場氧化區域24以及基 板20之上。以較佳實施例而言,該介電層42最好是非摻雜 之TEOS乳化物或類似之材質。接著’一接觸窗(opening) 44利用微影以及蝕刻之技術,形成於介電層42之中以曝露 部份之基板20。換言之,接觸窗44曝露電晶體之摻雜區, (請先閲讀背面之注意事項1222466 A7 B7 __ 5. Description of the invention () ^ Η The figure is a cross-sectional view of a semiconductor wafer, which is used to describe the steps of forming a protective layer in the present invention. Detailed description of the invention: The present invention discloses a process for silicidating metal for forming self-aligned metal lithium oxide on the lithium layer. In the conventional technology, a metal layer is first formed on a stone layer, and then heat treatment is used to react silicon and metal, thereby forming a silicon metal, and then patterning the silicide metal and the silicon layer. As mentioned earlier, when the Shixi layer is formed on the oxide layer, it will be difficult to control the etching process or cause an increase in cost. This method will provide a new process to solve problems that are not easily overcome by tradition. The following description will be given by accompanying the drawings with examples. Printed by the Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs In the preferred embodiment with reference to the first figure, a semiconductor material with a crystal plane of < i 〇 〇 > is used as the substrate as an embodiment. A silicon layer 6 is formed on the oxide dielectric layer 6 on the substrate 2 as an abrasive layer for electrical conduction. The important step is to pattern the silicon layer first to make the desired pattern, as shown in the second figure. The etchant used in the above-mentioned etching process must be highly selective between silicon and oxide. This step is completely different from the traditional concept of the process, or you can use a completely unrefined oxide to attack only the name of the dream material. In one embodiment, HBr / Cl2 can be used as an etchant. Next, a metal layer is formed on the patterned silicon layer 6 and the dielectric layer 4. 6 1222466 A7 B7 V. Description of the invention () The next step is to make self-aligned petrified metal. For example, refractory metal or refractory metal (metal) can be deposited first, for example, ^ i 'Pt' C〇 '% Ni, Pd, Cr or any suitable material can be deposited on the dielectric layer 4 and the pattern fossil Evening layer 6 above. Ti / TiN can also be used as the above-mentioned metal layer. A quick heat-tempering was then performed under nitrogen at 350-700. 〇 treatment, used to react the above-mentioned silicon layer 6 and metal layer 8 to form a silicided metal 10 on top of it, and then remove the metal 8 that is not involved in the reaction, then it is self-aligned and formed on the surface of the silicon layer, so no need Any step of etching metal silicide (such as tungsten silicide) is shown in the third figure. So traditional problems can be solved without adding steps or costs. The present invention can also be used to make DRAM, 3 ”, or other components' electrical connection (ConnCotor) structures. Referring to the fourth figure, a semiconductor substrate such as P-type or N-type 'monocrystalline silicon having a crystal orientation of < 丄 〇 〇> can be used as the substrate 20, for example. An insulating region such as a field oxidation region 24 is formed on a semiconductor substrate as an insulating region between elements. Of course, under different requirements, a trench isolation area can also be used as this insulation area. The field oxidized region 24 can be formed on the substrate 20 as an insulating structure between the elements using LOCOS or other related field oxidized insulating region technology. In general, the silicon nitride and silicon oxide composite layers can be etched by lithography and etching techniques, and then a field oxide layer 24 is formed on the substrate 20 by a chemical process. After the completion, the above silicon nitride layer is removed by hot phosphoric acid, and the silicon oxide layer is removed by hydrofluoric acid. The thickness of the field oxidation region 24 is about 3000-8000 angstroms. This paper is again applicable to China National Standard (CNS) A4 (210x297 mm) (please read the notes on the back before filling out this page) 4 Order printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 1222466 Central Standards Bureau of the Ministry of Economic Affairs Printed by employee consumer cooperative A7 _B7_ V. Description of the invention () Next, a silicon dioxide layer 26 is formed on the substrate 20 as a gate oxide layer of the MOS transistor. The silicon dioxide layer 2 6 is generally formed by a thermal oxidation method. The process temperature is about 800 to 1100C and the thickness is about 20 to 200 angstroms. Of course, general technology such as chemical vapor deposition uses TEOS as the reactant, and the process temperature is about 600 to 800 ° C, and the pressure is about 1 to 10 Torr to form the silicon dioxide layer 26. A conductive layer 28 is deposited on the silicon dioxide layer 2.6, the field oxide region 24, and the substrate 20. According to an embodiment, the conductive layer 28 is preferably formed by using a polycrystalline silicon layer 28 using a chemical vapor deposition (CVD) method. Other metals or alloys can be used under appropriate conditions. A silicide metal 30 and a cap layer or ARC 34 are formed on the polycrystalline silicon layer 28, respectively. The cover layer 34 may be formed of an oxide or a nitride. A TEOS oxide layer 32 may be provided between the silicide metal 30 and the cover layer 34 to eliminate the stress therebetween. Gate structures 36, doped regions, other electrical connection transfer structures 38, etc. are then formed using conventional techniques. Referring to the fifth figure, after completing the gate structure 36, a sidewall gap 40 is formed on the sidewall of the gate. Subsequently, referring to FIG. 6, a dielectric layer 42 as an insulation layer is formed on the gate structure 36, the field oxidation region 24, and the substrate 20 described above. In a preferred embodiment, the dielectric layer 42 is preferably an undoped TEOS emulsion or a similar material. Next, a 'opening 44' is formed in the dielectric layer 42 to expose a portion of the substrate 20 using lithography and etching techniques. In other words, the contact window 44 exposes the doped region of the transistor. (Please read the precautions on the back first

Order

本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公董) 1222466 A7 B7 五、發明説明() 不之於第七圖。 如第八圖所示’第一導電層46形成於介電層42之上, 並且回填至接觸窗44之中。上述之第一導電層46以摻雜之 複晶矽(doped polysilicon)或是同步摻雜之複晶矽(in-situ doped polysilicon)組成最佳,以較佳實施例而言, 上述之第一導電層46利用低壓化學氣相沈積法(LPCVD) 形成’厚度約為1000至1500埃之間。丁一步驟為形成一 光阻圖案(未示出)於第一導電層46之上,一般可以利用微 影製程達到上述之目的。再利用光阻作為罩幕,蝕刻複晶 石夕層4 6形成圖案。此#刻之姓刻劑只姓刻複晶石夕而不攻擊 氧化物,或是兩者間具有蝕刻高選擇性,使得蝕刻將停止 於氧化層42之上。Vss線及承載塾(landing pad)因而定 義0 隨後,一金屬層48隨後沈積於上述之圖案化之複晶矽 層46與介電層42之上。舉一實施例,可以沈積一耐火金屬 或責重金屬(refractory或noble metal),例如可以選用Ti,Pt, Co, W,Ni,Pd,Cr或任何適合之材質沈積於介電層42與圖案 化複晶矽層46之上。也可以沈積Ti/TiN作為上述之金屬層 48’如第九圖所示。 參閱第十圖,執行一快速熱回火於氮氣中於350至700 °C處理,用來反應上述之複晶層46與金屬層48以形成矽化 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 一 f:-老-#一!·三T.H 一τ ΧΙ.Γ三T.This paper size applies to the Chinese National Standard (CNS) A4 specifications (210X297 public directors) 1222466 A7 B7 V. Description of the invention () No less than the seventh figure. As shown in FIG. 8 ', the first conductive layer 46 is formed on the dielectric layer 42 and is filled back into the contact window 44. The first conductive layer 46 is preferably composed of doped polysilicon or in-situ doped polysilicon. In a preferred embodiment, the first The conductive layer 46 is formed using a low pressure chemical vapor deposition (LPCVD) method to a thickness of about 1000 to 1500 angstroms. The first step is to form a photoresist pattern (not shown) on the first conductive layer 46. Generally, the photolithography process can be used to achieve the above purpose. The photoresist is used as a mask to etch the polycrystalline stone layer 46 to form a pattern. The last name of the # 刻 之 刻刻 剂 only engraved polycrystalline stone without attacking the oxide, or there is a high etching selectivity between the two, so that the etching will stop on the oxide layer 42. The Vss line and the landing pad are thus defined. Subsequently, a metal layer 48 is then deposited on the patterned polycrystalline silicon layer 46 and the dielectric layer 42 described above. For example, a refractory metal or a refractory metal can be deposited. For example, Ti, Pt, Co, W, Ni, Pd, Cr or any suitable material can be deposited on the dielectric layer 42 and patterned. Above the polycrystalline silicon layer 46. It is also possible to deposit Ti / TiN as the aforementioned metal layer 48 'as shown in the ninth figure. Refer to the tenth figure, perform a rapid thermal tempering in nitrogen at 350 to 700 ° C, which is used to reflect the polycrystalline layer 46 and metal layer 48 described above to form silicified paper. This paper applies the Chinese National Standard (CNS) A4 specification (210X297 mm) One f: -Old- # one! · Three T.H -τ χΙ.ΓThree T.H.

經濟部中央標準局員工消費合作社印製 1222466 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明() 金屬50於其上。最後,再去除未參與反應之金屬48,矽化 金屬5 0便自行對準形成於複晶矽層之表面上,因此不需要 任何蝕刻金屬矽化物如矽化鎢之步驟。最後,一絕緣膜層 52形成於上述結構之上作為一保護層,如第《I--圖所示。 本發明可以得到許多優點,如本發明之元件因自行對 準石夕化物而使操作速度加快,閘極電阻可以因石夕化物而下 降,因過度蝕刻所造成氧化物之蝕刻可以被避免,製程簡 單,不須增加額外成本。 以上所述僅為本發明之較佳實施例而已,並非用以限 定本發明之申請專利範圍;凡其它未脫離本發明所揭示之 精神下所完成之等效改變或修飾,均應包含在下述之中請 專利範圍内。 10Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 1222466 Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs A7 B7 V. Invention Description () Metal 50 is on it. Finally, the non-reactive metal 48 is removed, and the silicided metal 50 is aligned on the surface of the polycrystalline silicon layer by itself. Therefore, it does not require any step of etching the metal silicide such as tungsten silicide. Finally, an insulating film layer 52 is formed on the above structure as a protective layer, as shown in FIG. The present invention can obtain many advantages. For example, the element of the present invention accelerates the operation speed because of self-alignment of the lithium oxide, the gate resistance can be reduced due to the lithium oxide, and the etching of the oxide due to over-etching can be avoided. Simple, no extra cost. The above is only a preferred embodiment of the present invention, and is not intended to limit the scope of patent application of the present invention. Any other equivalent changes or modifications made without departing from the spirit disclosed by the present invention shall be included in the following Within the scope of patents. 10

Claims (1)

經濟部智慧財產局員工消費合作杜印製 1222466 A8 B8 C8 D8 t、申請專利範圍 6 .如申請專利範圍第1項之方法,其中上述之熱製程於包 含氮之環境中執行。 7.如申請專利範圍第1項之方法,其中上述之該第二複 晶矽層用於形成一承載墊於該介電層上。 8 .如申請專利範圍第1項之方法,其中上述之金屬層包含 W 〇 9 .如申請專利範圍第1項之方法,其中上述之金屬層包含 TiN/Ti。 1〇.如申請專利範圍第1項之方法,其中上述之金屬層包 含Ti。 1 1 .如申請專利範圍第1項之方法,其中上述之金屬層包 含Pt 〇 1 2 .如申請專利範圍第1項之方法,其中上述之金屬層包 含C 〇 〇 1 3 .如申請專利範圍第1項之方法,其中上述之金屬層包 含Ni。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) n n n n n n n n n n · It n n n u n n 一-0, I n n n n n n n I (請先閱讀背面之注意事項再填寫本頁) 1222466 A8 B8 C8 D8 夂、申請專利範圍 14·如申請專利範圍第1項之方法,其中上述之金屬層包 含Pd 〇 15·如申請專利範圍第1項之方法,其中上述之金屬層包 含Cr。 1 6. —種形成導電性連接結構之方法,該方法包含: 形成一閘極於一基板之上’且該基板上設有複數個場氧化 區域; 形成一介電層(dielectric layer)在該閘極之上以作絕緣 作用; 形成一開孔於該介電層之中以曝露該閘極之摻雜區域; 形成一複晶矽層在該介電層之上及回填於該開孔之中; 蝕刻該複晶層,蝕刻該複晶矽層之蝕刻劑具有相對於介電 層高之蝕刻速率,用以形成一承載墊於該介電層.上; 形成一金屬層在該複晶碎層之上; 執行一熱製程以反應該複晶矽層與該金屬層以形成矽化金 屬於該複晶石夕層表面;及 去除未參予反應之該金屬層。 17·如申請專利範圍第16項之方法,其中上述之介電層包 含氧化發。 1 8 ·如申請專利範圍第1 6項之方法,其中上述之該介電 層係利用TEOS形成。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先.閱讀背面之注意事項再填寫本頁) -I 1 I I 1 I I « — — 111 — — — 1^ 經濟部智慧財產局員工消費合作社印製 -ϋ ϋ 1· n ϋ n n ϋ n n n n n I ϋ n n n n u I · 經濟部智慧財產局員工消費合作社印製 1222466 A8 B8 C8 D8 t、申請專利範圍 1 9 .如申請專利範圍第1 6項之方法,其中上述之蝕刻劑包 含 HBr/Cl2〇 2 0 .如申請專利範圍第1 6項之方法,其中上述之熱製程約 為3 5 0至7 0 0 °C之間。 2 1 ·如申請專利範圍第1 6項之方法,其中上述之熱製程於 包含氮之環境中執行。 2 2 ·如申請專利範圍第1 6項之方法,其中上述之該第二 複晶矽層用於形成一承載墊於該介電層上。 23. 如申請專利範圍第16項之方法,其中上述之金屬層包 含W 〇 24. 如申請專利範圍第16項之方法,其中上述之金屬層包 含 TiN/Ti。 2 5 .如申請專利範圍第1 6項之方法,其中上述之金屬層包 含Ti。 26.如申請專利範圍第16項之方法,其中上述之金屬層包 含P t 〇 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) _ n ill l n I— n I 一 丨 ····· IBIM aw 丨 · (請先閱讀背面之注意事項再填寫本頁) 1222466 A8 B8 C8 D8 申請專利範圍 包 層 属 金 之 述 上中 其 法 方 之 項 6 1X第圍 範 利 專 請 "φ- 〇 口 ο 士 C 2含 包 層 屬 金 之 述 上中 其 法 方 之 項 6 r-H第圍 範 利 專 請申 如 8 2 Ν 含 包 層 屬 金 之 述 上 中 其 法 方 之 項 6 IX第 圍 範 利 專 請 φ, 〇 如2 9 2 含 包 層 金 之 述 上 中 其 法 方 之 項 6 IX第圍 範 fuj專 請 中 。 如cr ο ) 3 含 ▼ m u m I— i m n 一 w·· *··> *» ·· im·· ··丨 -(請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 5 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)The consumer property cooperation of the Intellectual Property Bureau of the Ministry of Economic Affairs has printed 1222466 A8 B8 C8 D8 t, and applied for the scope of patent 6. If the method of the scope of patent application No. 1 is applied, the above thermal process is performed in an environment containing nitrogen. 7. The method of claim 1, wherein the second polycrystalline silicon layer is used to form a supporting pad on the dielectric layer. 8. The method according to item 1 of the patent application, wherein the above-mentioned metal layer comprises W 009. The method according to item 1 of the patent application, wherein the above-mentioned metal layer comprises TiN / Ti. 10. The method of claim 1 in which the above-mentioned metal layer contains Ti. 1 1. The method according to the scope of patent application, wherein the above-mentioned metal layer comprises Pt 〇 2. The method according to the scope of patent application, wherein the above-mentioned metal layer comprises C 〇 03. The method of item 1, wherein the metal layer includes Ni. This paper size is in accordance with China National Standard (CNS) A4 (210 X 297 mm) nnnnnnnnnn · It nnnunn I-0, I nnnnnnn I (Please read the precautions on the back before filling this page) 1222466 A8 B8 C8 D8 Patent application range 14. The method as claimed in item 1 of the patent range, wherein the above-mentioned metal layer contains Pd 015. As described above, the method as claimed in the claim 1, wherein the metal layer includes Cr. 16. A method of forming a conductive connection structure, the method includes: forming a gate electrode on a substrate; and the substrate is provided with a plurality of field oxidation regions; forming a dielectric layer on the substrate; Forming an opening in the dielectric layer to expose the doped region of the gate; forming a polycrystalline silicon layer over the dielectric layer and backfilling the opening Medium; etching the polycrystalline layer, the etchant for etching the polycrystalline silicon layer has an etching rate higher than that of the dielectric layer, and is used to form a carrier pad on the dielectric layer; forming a metal layer on the polycrystalline layer On the broken layer; performing a thermal process to react the polycrystalline silicon layer and the metal layer to form a silicided metal on the surface of the polycrystalline silicon layer; and removing the metal layer not participating in the reaction. 17. The method according to item 16 of the scope of patent application, wherein the above-mentioned dielectric layer contains an oxidized emission. 18 · The method according to item 16 of the scope of patent application, wherein the above-mentioned dielectric layer is formed using TEOS. This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) (Please read the notes on the back before filling out this page) -I 1 II 1 II «— — 111 — — — 1 ^ Ministry of Economic Affairs Printed by the Intellectual Property Bureau employee consumer cooperative-ϋ ϋ 1 · n ϋ nn ϋ nnnnn I ϋ nnnnu I The method according to item 16, wherein the above-mentioned etchant comprises HBr / Cl2 0 2 0. The method according to item 16 of the patent application scope, wherein the above-mentioned thermal process is about 350 to 700 ° C. 2 1 · The method according to item 16 of the scope of patent application, wherein the above thermal process is performed in an environment containing nitrogen. 2 2 · The method of claim 16 in the scope of patent application, wherein the second polycrystalline silicon layer is used to form a supporting pad on the dielectric layer. 23. The method according to item 16 of the patent application, wherein the aforementioned metal layer contains W 24. The method according to item 16 of the patent application, wherein the aforementioned metal layer comprises TiN / Ti. 25. The method according to item 16 of the patent application, wherein the above-mentioned metal layer contains Ti. 26. The method according to item 16 of the scope of patent application, wherein the above-mentioned metal layer includes P t 〇 This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) _ n ill ln I— n I 丨····· IBIM aw 丨 · (Please read the precautions on the back before filling in this page) 1222466 A8 B8 C8 D8 The scope of patent application for the scope of gold is described in the above paragraph of its legal method 6 1X Please " φ- 〇 口 ο Taxi C 2 Including the cladding metal in the description of its method 6 rH No. Fan Li special application for 8 2 Ν In the cladding metal is the description of its method Item 6 of the IX Fan Fan special offer φ, 〇 Such as 2 9 2 Covered gold description of the French method of the item 6 IX of the Fan Fu special request. Such as cr ο) 3 Contains ▼ mum I— imn a w ·· * ·· > * »·· im ··· 丨 丨 (Please read the precautions on the back before filling this page) Employees of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by Consumer Cooperatives 5 This paper is sized for China National Standard (CNS) A4 (210 X 297 mm)
TW88107081A 1999-04-30 1999-04-30 Novel method to form a poly connector TWI222466B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88107081A TWI222466B (en) 1999-04-30 1999-04-30 Novel method to form a poly connector

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88107081A TWI222466B (en) 1999-04-30 1999-04-30 Novel method to form a poly connector

Publications (1)

Publication Number Publication Date
TWI222466B true TWI222466B (en) 2004-10-21

Family

ID=34546002

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88107081A TWI222466B (en) 1999-04-30 1999-04-30 Novel method to form a poly connector

Country Status (1)

Country Link
TW (1) TWI222466B (en)

Similar Documents

Publication Publication Date Title
CN101432860B (en) Method for forming self-aligned metal silicide contacts
JPH11204791A (en) Semiconductor device and its manufacture
JP2000315781A (en) Semiconductor element and manufacture thereof
JPH10256511A (en) Manufacture method of semiconductor device
KR20070080819A (en) Semiconductor device and method of manufacture thereof
TW410387B (en) Fabrication of a semiconductor device
JP2000031116A (en) Formation of gate electrode for semiconductor element
JPH11121399A (en) Manufacture of semiconductor device
JP2003017465A (en) Semiconductor device and manufacturing method therefor
JP3228230B2 (en) Method for manufacturing semiconductor device
JPH1064843A (en) Pt film etching method and method for forming pt-polysilicon gate using it
US6383921B1 (en) Self aligned silicide contact method of fabrication
TWI222466B (en) Novel method to form a poly connector
JP3127908B2 (en) Method for manufacturing semiconductor device
TW586152B (en) Semiconductor device and manufacturing method thereof
JP2007150249A (en) Semiconductor device and its manufacturing method
JPH10303144A (en) Formation of silicide layer of semiconductor device
JP2833468B2 (en) Method for manufacturing semiconductor device
US7494864B2 (en) Method for production of semiconductor device
JP3487080B2 (en) Semiconductor device and manufacturing method thereof
JP3660474B2 (en) Manufacturing method of semiconductor device
JP2561026B2 (en) Method for manufacturing semiconductor device
JP2004289138A (en) Semiconductor device and its manufacturing method
JPS61150216A (en) Manufacture of semiconductor device
KR100372819B1 (en) method for forming gate spacer in semiconductor device