TW546801B - Guard heater and pressure chamber assembly including the same - Google Patents

Guard heater and pressure chamber assembly including the same Download PDF

Info

Publication number
TW546801B
TW546801B TW091111055A TW91111055A TW546801B TW 546801 B TW546801 B TW 546801B TW 091111055 A TW091111055 A TW 091111055A TW 91111055 A TW91111055 A TW 91111055A TW 546801 B TW546801 B TW 546801B
Authority
TW
Taiwan
Prior art keywords
pressure chamber
pressure
fluid
chamber
chamber assembly
Prior art date
Application number
TW091111055A
Other languages
English (en)
Inventor
Steven Lee Worm
James B Mcclain
Original Assignee
Micell Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micell Technologies Inc filed Critical Micell Technologies Inc
Application granted granted Critical
Publication of TW546801B publication Critical patent/TW546801B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

546801
更特定言之則係關於用以控制一 本發明係關於壓力室, 壓力室室内溫度之構件。 積體電路⑽、光電子裝置、微機械裝置、及其他精密製 品之形成方式通常係、在基材上設置薄膜,且製程中往往必 須去除或清除基材上之部分或所有薄膜。舉例而言,在製 造包含1C之半導體晶圓時,吾人可在半導體基材上設置一 薄抗光蝕層,隨後再將其去除。 吾人從微電子基材之表面構造上所去除之污染物會因先 前所執行之不同製造步驟(例如離子植入作業後之「後端製 程」(BEOL)清潔作業、「前端製程」(FE〇L)之清潔作業、 及化學機械研磨(CMP)後之步驟)而在本質及組成上展現極 大之差異。因此,清潔及處理步驟必須針對該等污染物, 以適當之化學物質及溶劑與其反應、使其離子化、溶解、 膨脹、分散、乳化、或汽化,方可將該等污染物從基材上 去除。目前已發展出多種具有上述功能之乾式清潔法、及 以水與溶劑為基底之系統,以因應種類繁多之廢料。 發明概要 根據本發明方法之具體實例,一種用以清潔一微電子基 材之方法包括將該基材置於一壓力室中,並令一包括密相 C〇2之加工流體以循環方式通過該室,致使該加工流體接觸 該基材。在令加工流體循環流動之步驟之至少部分過程中 ,吾人可以循環方式調變該(:02之態相。 -4 - ^紙張尺度適用中國國家標準(CNS) A4规格(210 X 297公釐) 546801 A7 __ B7_ 五、發明説明(2 ) _ 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將該基材置於一壓力室中,並在一室内 將一包括密相co2之加工流體喷灑於該基材上。在喷灑加工 流體之步驟之至少部分過程中,吾人可以循環方式調變該 c〇2之態相。 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將該基材置於一壓力室中,該壓力室内 含一包括密相C02之加工流體,致使該基材曝露於該〇:〇2中 。吾人可以循環方式調變該C02之態相,作法係令c〇2質量 流交替出現於一 C02供應源與該室之間、及該室與一低壓源 之間。該C〇2供應源之壓力大於該室,該低壓源之壓力則小 於該室。 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將該基材置於一壓力室中,並將一包括 密相C〇2之加工流體導入該室,致使該加工流體接觸該基材 ’藉以清潔該基材。吾人可將部分加工流體從該室中移出 ,並將該部分加工流體重新導入該室。 根據本發明方法之其他具體實例,一種用以清潔—微電 子基材之方法包括將该基材置於一壓力室中,並將_包括 在相C〇2之加工流體導入該室,致使該加工流體接觸該基材 ’藉以清潔該基材。吾人可將部分加工流體從該室中移出 ’並蒸顧該部分從該室移出之加工流體,使C〇2與該加工流 體之其他組份分離。分離所得之C〇2則將重新導入該室。 根據本發明方法之其他具體實例,一種用以清潔—微電 衣紙張&度適用中國國家標準(CNS) A4規格(210X297公爱) 546801
子基材之方法包衽尤 ^ ^ 在加工室内利用一包含C〇2之加工流體 f基材ϋ將使用過之加工流體從該加工室中移出。 吾人可從使用過之加 <加工*體中分離出C〇2。分離所得之c〇2 可在該加工室或另_ 飞另加工室内重覆使用。 根據本發明之呈體恭 ^ κ例,一種用以清潔一微電子基材之
裝置包括一壓力宮;_#/ZL 至及一構件,該構件可使一包括密相C〇2i 加工流體以循環方式 a Λ通過该至,致使該加工流體接觸該基 材。ά亥裝置尚包括一 -fcg. /^L j. 構件’其可在該加工流體之循環過程 中調變該(:〇2之態相。 _據本1月之其他具體實例,_種可利用—包括密相^ 之加工"IL體清潔一微電子基材之裝置包括一壓力室。一喷 灑兀件可在該室内將該加工流體喷灑於該基材上。該裝置 尚包括一構件,其可以循環方式調變該c〇2之態相。 根據本發明之具體實例,一種用以清潔一微電子基材之 裝置包括一壓力室,其内裝有-包括密相co2之加工流體。 - c〇2供應源可與該室形成流體連通,且該叫供應源之壓 力大於該室。一低壓源可與該室形成流體連通,且該低壓 源之壓力小於該室。流體控置S件可以循環方式調變該室 内c〇2之態相’作法係令⑶2f量流交替出現於該c〇2供應 源與該室之間、及該室與該低壓源之間。 根據本發明之具體實例,一種用以清潔一微電子基材之 裝置包括-壓力室及-加工流體供應源’其中該加工流體 包括密相C〇2,該供應源可與該室形成流體連通。一蒸餾系 統包括一蒸餾器,其與該室形成流體連通,且可分離出节
W
裝 訂
546801 A7
系統可將分離所得之co2重新導 該 加工流體中之C〇2 入該室或另一室中 =發明之具體實例,一種用以清潔—微電子基材之 n —加工室’其内裝有一包括密相叫之加工流體 ,=;,其可將使用過之加工流體從該加工室中移出 離包括:一構件’其可從使用過之加工流體中分 出ο”及一構件’其可將分離所得之c〇2送回該加工室 或另一加工室供後續使用。 «本發明之具體實例,_種可與_基材搭配使用之加 至、心成包括一谷器及一基材固持器。該容器構成一室。 該基材固持器具有-轉動轴,且包括位置相對之前、後表 面。該前表面可支樓該基材。至少一片動輪葉係由該後表 面向後伸’並沿该轉動軸之徑向延伸。若令該基材固持 器繞該轉動軸旋轉,該動輪葉可產生一壓差,其有助於將 忒基材固定於該基材固持器上。該加工室總成最好包括複 數片動輪葉,且δ亥專動輪葉係由該後表面向後伸出,並沿 該轉動軸之徑向延伸。 根據本發明之其他具體實例,一種可與一基材搭配使用 之基材固持器具有一轉動軸,且尚包括位置相對之前、後 表面。該前表面可支撐該基材。至少一片動輪葉係由該後 表面向後伸出’並沿該轉動軸之徑向延伸。若令該基材固 持器繞該轉動軸旋轉,該動輪葉可產生一壓差,其有助於 將該基材固定於該基材固持器上。該基材固持器最好包括 複數片動輪葉,且該等動輪葉係由該後表面向後伸出,並 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 五、發明説明(5 沿該轉動軸之徑向延伸。 根據本發明方 , 之具體實例,一種可使一基材固持器繞 一轉動軸旋轉之古、上^ 抑—2 々法包括提供一基材固持器。該基材固持 為包括位置相對 至少-片動輪葦二 面。該前表面可支律該基材。 一二 ”’、由该後表面向後伸出,並沿該轉動軸之 徑向延伸。若今兮 可產生一眾 ^基材固持器繞該轉動轴旋轉’該動輪葉 β' /、有助於將該基材固定於該基材固持器上。 根據本發明之呈髀本 —、 一篮貝例,一種可與一基材搭配使用之壓 β、室"成L括谷器及一基材固持器總成。該容器構成一 [f/ 4基材固持器總成包括:-基材固持器,其位於 。亥壓力至Θ ’且该基材固持器包括一可支撐該基材之前表 面及外〃又,其構成一第二室。至少一條連接通道可供 》丨匕在δ亥基:才才固持·哭之亩ρ主 . ^ 之别表面與該第二室間流動。若將該 基材安裝於該基材固持器之前表面上,該基材可覆蓋該連 接通道。一被動低壓源可與該第二室形成流體連通。 根據本發明之其他具體實例…種可與一基材搭配使用 之壓力室總成包括一容器及一基材固持器總成。該容器構 成一壓力室。該基材固持器總成包括:一基材固持器,里 位於該1力室内,且該基材固持器包括一可支撐該基材之 前表面;及一外殼,其構成一第二室。一具有限制性之通 道可供流體在該m力室與該第二室間流動。至少一條連接 通道可供流體在該基材固持器之前表面與該第二室間流動 。若將該基材安裝於該基材固持器之前表面上,該基材可 覆蓋該連接通道。一低壓源可與該第二室形成流體連通。 -8- t紙張尺度適用中國國家標^A4規格_χ297公釐) 546801 A7 B7
根據本發明 一基材固定於 一第一壓力。 材固持器,其 支樓該基材之 一條連接通道 室間流動。若 覆盖該連接通 提供-低於該 方法之具體實例,一種用产 广 禮用以在一壓力室内步 一基材固持器之方法包括在兮 ' 匕秸在忒壓力室内提 另需設置一基材固持器總成,其包括:一声 位於該壓力室内,且該基材固持器包括」 前表面;及一外殼,其構成一第二室。至3 可供流體在該基材固持器之前表面與該第二 將該基材安裝於該基材固持器上,該基材^
裝 道。另需利用一被動低壓源,在該第二室 第一壓力之第二壓力。 訂
線 根據本發明方法之其他具體實例,一種用以在一壓力室 内將-基材固定於一基材固持器之方法包括在該壓力室二 提供一第一壓力。另需設置一基材固持器總成,其包括: 一基材固持器,其位於該壓力室内,且該基材固持器包括 一可支撐該基材之前表面;及一外殼,其構成一第二室。 一具有限制性之通道可供流體在該壓力室與該第二室間流 動。至少一條連接通道可供流體在該基材固持器之前表面 與該第二室間流動。若將該基材安裝於該基材固持器上, 該基材可覆蓋該連接通道。另需在該第二室内提供一低於 該第一壓力之第二壓力。 根據本發明之具體實例,一種用以保留一流體之壓力室 總成包括可相互分離之第一及第二外殼,其構成一封閉室 及机體A漏路彳空’該路徑係由該室延伸至一外部區域。 内側Φ封用元件係沿該洩漏路徑而設置,可限制流體從 。亥至流往遠外部區域之流量。一外側密封用元件係沿該洩 546801 A7
=路徑而設置’且位於該内側密封用元件與該外部區域之 B ’可限制流體從該室流往該外部區域之流量。 封用元件係一杯形封。 j ^ 根據本發明之其他具體實例,一種用以保留一流 力”成包括可相互分離之第一及第二外殼,其構成—封 閉室及一流體洩漏路徑,該路徑係由該室延伸至一外邛區 二:-内側密封用元件係沿㈣漏路徑而設置,可限制: 體k該室流往該外部區域之流量。一外側密封用元件係沿 该洩漏路徑而設置,且位於該内侧密封用元件與該外部區 域之間,可限制流體從該室流往該外部區域之流量。該内 側密封用元件係一杯形封。當該室内之壓力超過該外部區 域之壓力時,該内側密封用元件可限制流體從該室流往^ 外部區域之流量。當該室内之壓力小於該外部區域之壓^
時,該外側密封用元件可限制流體從該外部區域流往該 之流量。 X 根據本發明之具體實例,一種可為一基材加工之壓力室 總成包括一壓力容器,其構成一封閉壓力室。該壓力室内 設有一基材固持器,其可固持該基材。一驅動總成可移動 5玄基材固持器。該驅動總成包括:一第一驅動元件,其連 接於該基材固持器,俾隨該基材固持器、相對於該壓^容 器而移動;及一第二驅動元件,其與該第一驅動元件間$ 法以流體相通,其與該壓力室間亦無法以流體相通。一驅 動單元可移動該第二驅動元件。該驅動單元與該第—驅動 元件間無法以流體相通,該驅動單元與該壓力室間亦無去 -10- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇Χ297公爱1 一~~' ------- 裝 訂
線 M6801 五 、發明說明(8 以流體相通。贫莖— _ / — σ苐一驅動70件係以非機械方式連接於該第 70 ,致使該驅動單元可透過該第一及第-驅動元 件移動該基材固持器。 $及弟一驅動兀 根據本發明之盆他呈 力室油成勺衽; 為一基材加工之壓 室=包括—壓力容器’其構成-封閉壓力室。該壓力 成可基材固持器,其可固持該基材。一磁力驅動總 成了使该基材固持器相對於該壓力容器而移動。 :據本發明之其他具體實例’一種可為一基材加工之壓 。成I括壓力各器,其構成一封閉壓力室及一外部 汗:’該外部開口可與該壓力室形成流體連通。該壓力室 二:有-基材固持器,其可固持該基材。_驅動總成可使 二土,固持器相對於該壓力容器而移動,該驅動總成包括 Ζ外殼’其可覆蓋該壓力室之外部開口,因而密封該外部 /¾¾ 口。 根據本發明之具體實例,一壓力室總成包括一壓力容器 及一T護加熱器總成。該壓力容器構成一封閉室。該防護 t熱器總成包括一防護加熱器,其位於該室内,且介於該 壓力容器之一包圍部分與一容納空間之間。該防護加熱器 可控制該容納空間之溫度。該防護加熱器與該壓力容器之 包圍部分無法相互傳熱。 —根據本發明之某些具體實例,在該防護加熱器與該壓力 容器之包圍部分間形成一絕熱間隙。最好該絕熱間隙之寬 度至少為0.1公厘。 根據本發明之某些具體實例,該防護加熱器總成包括一 -11- 546801 A7 B7 五、發明說明(9 ) 層絕熱材料,其位於該防護加熱器與該壓力容器之包圍部 分間。最好該層絕熱材料之厚度至少為〇1公厘。 > t防護加熱器總成尚可包括一第二防護加熱器,其位於 泫至内,且介於該壓力容器之一第二包圍部份與該容納空 ^之間。该第二防護加熱器可控制該容納空間之溫度。該 第二防護力口熱器與該壓力容器之第三包圍部分無法相互 熱。 X防叹加熱器内可裝設一流體噴灑桿。該容納空間内可 設置一基材固持器。 ,亡根據本發明之具體實例,一種可與一基材及一加工流體 ::搭配使用之加工室總成包括一容器及一噴灑元件。該容 :構成一至。該噴灑元件包括至少一個形成於該噴灑元件 ,噴口,其可在該室内將該加工流體流分布於該基材上 /力^机祖可從该喷灑元件中、經由該至少一個喷口流 二二,灑元件亦將依此作出反應,繞-轉動軸、相對 5亥谷益而旋轉。 該噴灑元件可#枯—八士· Μ \ I括刀布部分’其内包含一分布渠道。 固喷口即由該分布渠道延伸至該噴灌元件外。 該二二::Ι:=Γ與該轉動軸形成-角度。 約在5與85度之間伸方向與該轉動輪所形成之角度最好 總成可包括複數個形成於該麵元件_之賁口。 在5 X喷灑70件與該容器間可設置一軸承,# 與該容器可相對轉動。 軸承,使该嗔灑疋件 張尺度適用中國國家標準石 12. 546801 五、發明説明() 根據本發明之其他具體實例,—種可將—加工流體流分 於-基材上之喷灑元件包括一噴灑元件,其包括至少一 個形成於該責灑元件中之嘖 T < T 口,该賀口可在該室内將該加 工 >瓜體流分布於該基材上 一 4上 5亥加工流體可從該喷灑元件中 、經由該至少一個噴口流屮 爪出 而该喷灑元件亦將依此作出 反應’繞""**轉動轴旋轉β i該噴灑元件内可包含—分布渠道,該至少一個噴口即由 該分布渠道延伸至該噴灑元件外。 / 亥至少一個喷口之延伸方向可與該轉動轴形成一角度。 α亥至y個噴'口之延伸方向與該轉動軸所形成之角度最好 約在5與85度之間。 α亥噴灑元件可包括複數個形成於該噴灑元件中之喷口。 該噴灑元件可包括一桿狀分布部分,該至少一個喷口即 形成於該分布部分中。或者該噴灑元件可包括一碟狀分布 4刀,泫至少一個噴口即形成於該分布部分中。 根據本發明方法之具體實例,一種用以將一加工流體施 予一基材之方法包括:將該基材置於一容器之一室中;提 供一噴灑7C件,其包括至少一個形成於該喷灑元件中之噴 口;透過該至少一個噴口,將該加工流體分布於該基材上 ’及令該加工流體從該噴灑元件中經由該至少一個嗔口流 出’俾使該喷灑元件繞一轉動軸、相對於該容器而旋轉。 瞭解此項技藝之人士'在參閱以下有關較佳具體實例之圖 式及詳細說明後即可明瞭本發明之目的,但該等說明僅為 本發明之範例。 -13- 本紙張尺度適用中國國家標率(CNS) Α4規格(210X297公釐) 546801
圖1係一方塊圖,顯示一根據本發明具體實例之裝置, 二:化學物質供應/調節系統之方塊圖,該系統形成圖 1所不裝置之一部分; ▲圖螬一方塊圖,顯示化學物質供應/調節系統之一替代設 計,該系統形成圖!所示裝置之一部分; 圖4係一方塊圖,顯示化學物質供應/調節系統之另一替代 設計,該系紇形成圖i所示裝置之一部分; 圖5係一方塊圖,顯示再循環系統之一替代設計,該系統 形成圖1所示裝置之一部分; 圖6係一方塊圖,顯示再循環系統之另一替代設計,該系 統形成圖1所示裝置之一部分; 圖7係一方塊圖,顯示一根據本發明具體實例之供應/回收 糸統; 圖8係一剖面圖,顯示一根據本發明具體實例之壓力室總 成,該總成處於關閉狀態; 圖9係圖8所示壓力室總成之剖面圖,該總成處於開啟狀 態; 圖10係一上防護加熱器之剖面圖,該上防護加熱器形成 圖8所示壓力室總成之一部分; 圖11係圖10所示上防護加熱器之俯視平面圖; 圖12係圖1 〇所示防護加熱器之底視平面圖; 圖13係一下防護加熱器之剖面圖,該下防護加熱器形成 圖8所示壓力室總成之一部分; -14- 本紙張瓦度適用中國國家標準(CNS) A4規格(210 X 297公爱)
圖14係圖1 3所示下防鳟力 Γ I万邊加熱|§之底視平面圖; 圖15係圖8所示壓力室總成之局部放大剖面圖; 系杯开/封之立體圖式,該杯形封形成圖8所示壓力 室總成之一部分; 圖17係圖16所示杯形封之局部立體圖式; 圖18係一剖面圖’顯示-根據本發明其他具體實例之壓 力室總成; 圖19係一剖面圖,顧+ ^ J囬圚顯不一根據本發明其他具體實例之壓 力室總成; 圖20係一夾盤之俯視平面圖,該夾盤形成圖^所示壓力 室總成之一部分; 圖21係圖20所示夾盤之底視平面圖; 圖22係圖20所示夾盤沿圖21中22-22剖面線之剖面圖; 圖23係一剖面不意圖,顯示一根據本發明其他具體實例 之壓力室總成; 圖24係一夾盤之俯視平面圖,該夾盤形成圖23所示壓力 室總成之一部分; 圖25係圖24所示夾盤沿圖24中25-25剖面線之剖面圖; 圖26係一剖面圖,顯示一根據本發明其他具體實例之壓 力室總成; 圖27係一喷灑元件之底視圖,該元件形成圖26所示壓力 室總成之一部分; 圖28係圖27所示噴灑元件沿圖27中28-28剖面線之剖面圖;及 圖29係一底視平面圖,顯示一根據本發明其他具體實例 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 546801 A7 B7 五、發明説明(13 ) 之噴灑元件。 紅佳具體實例之詳細說明 以下將參照附圖以便為本發明提供更完整之說明。附圖 所不係本發明之較佳具體實例,但本發明亦可具有多種不 同形式之具體實例,並不限於本文所提出之具體實例。之 所,提出該等,體實例係為揭示更詳盡而完整之内容,並 使熟習此項技蟄之人士可徹底瞭解本發明之範圍。 僅就本發明其中-項而言,本發明大致係關於微電子基 材(例如半導體基材)之清潔或處理,其操作時機可在積體電 路、微電子裝置、微型電子機械裝置(MEM)、微型電子光 學機械裝置(MEOM)、及光電子裝置之製造過程中或製造完 成後。在積體電路製程中,去除表面污染物及微粒係一重 要步驟。製程中之清潔步驟(一般稱為「清潔作業」)甚多。 不同類型之清潔作業包括:擴散前之清潔作業;前段製程 中、灰化後之清潔作業,·後段製程中、蝕刻後之清潔作業 ,金屬沉積前之清潔作業;前端製程之電漿剝離作業;後 段製程之清潔/剝離作業;離子植入後之清潔作業;及化學 機械研磨(CMP)後之清潔作業。製程中可出現多種類型之微 粒及污染物,其來源亦有多種可能。該等微粒及污染物之 本質可為分子、離子、原子、或氣態,其來源則可為製程 本身(例如抗光蝕劑之再沉積)或來自製程外(例如晶圓之傳 輸)。 本發明之方法及裝置可有效解決互連系統由Ai/si〇2改為 Cu/低k(電介質常數)材料後所產生、原本未曾出現之問題。 -16- 本紙張尺度適用中國國家標率(CNS) A4規格(210 X 297公釐) 546801
例如’改用Cii後之一主要問題在於,Cu並不具有A1可自行 鈍化之性質,因此,若曝露在氧化環境中便有可能被腐蝕 。Cu若在雙道鑲嵌結構之清潔作業中被腐蝕,將導致接點 之電阻值升高,並使電介質層產生讓切及舉離之現象,因 而降低電路產出。另一項眾所關注之焦點則為傳統清潔作 業與低k材钭之化學相容性。例如,由有機矽酸鹽破璃 (OSG)及其他無機旋塗式電介質薄膜所產生之胺化學物質氣 體經證實會對通道造成損害。本發明之特點可解決該等新 型互連系統目前尚待克服之清潔問題。 參見圖1 ,圖中顯示一根據本發明較佳具體實例之裝置1〇 。如圖所示,裝置10可清潔一晶圓基材5之一表面。但熟習 此項技藝之人士在參閱本文之說明後便可瞭解,以下所說 明之裝置及方法之多種構造及特點亦可用於清潔、或以其 他方式處理晶圓或他種基材或工件。此外,熟習此項技藝 之人士在參閱本文之說明後即可瞭解,以下所說明之多種 構件及步驟或可省略、或可改為其他適當之構件或步驟(例 如傳統之構件或步驟)。 舉例而言,晶圓5可為一由半導體材料(例如矽、氡化矽、 石申化鎵…等)製成之晶圓。晶圓5具有一大體為平面狀之工作 表面5A、及一位置與其相對且大體為平面狀之背面5b。工 作表面5A上具有一連續或不連續之廢料層。該廢料層可為 一層抗光蝕釗、反應性離子蝕刻殘餘物、化學機械研磨殘 餘物、或離子·植入後之殘餘物。上述廢料層中之廢料可包 括·無機或有機污染物,例如以苯乙烯系樹脂、丙烯酸系
裝 訂
線 -17-
546801 A7 -------B7 _ 五、發明説明(15 ) 樹脂、紛盤清漆樹脂、環烯樹脂、或順丁烯二酸酐樹脂為 基底之聚合物;以氟離子、氣離子、溴離子、或碘離子為 基底之姓刻殘餘物;及内含氧化矽或氧化鋁研磨劑之研磨 聚殘餘物’其中亦可包含其他常見之研磨漿添加物,例如 氧化劑、緩衝劑、安定劑、表面活性劑、鈍化劑、錯合劑 、腐姓抑制劑、或其他作用劑。吾人亦可利用該裝置清潔 、或以其他方式處理他種工件,例如MEMS、MEOMS、光 電子裝置、及立體之微米/奈米結構。 裝置10大致包括一流量/壓力控制系統1〇〇、一再循環系統 2 〇〇、一供應/回收系統300、一壓力室總成400、及一基材 操作系統500(圖8)。壓力室總成400包括一壓力室41〇。晶圓 5在接受加工時需固定在壓力室41〇中,以下將有更詳細之 說明。流量/壓力控制系統1〇〇可調節一或多種化學物質(又 稱添加劑或改良劑)、C〇2(可為液態、氣態、及/或超臨界流 體(ScC〇2))、及/或化學物質與C〇2之混合物,並將其施於晶 圓5之工作表面5A。基材操作系統500可固持晶圓5 ,若有需 要亦可用於移動晶圓5,俾產生均勻之清潔效果。再循環系 統200可用於過濾加工流體,並將其送回壓力室41〇。供應/ 口收糸統3 0 0可供應加工流體’亦可用於清除加工後之流出 物,若有需要亦可送回部分流出物(基本上係回收之c〇2)以 便在裝置10中作進一步使用。 以下將詳細說明流量/壓力控制系統1 00。系統丨〇〇包括一 槽丁卜其内裝有處於高壓狀態之C〇2。槽T1内C〇2之壓力最 好約介於400 psi與4000 psi之間,需視裝置1〇所執行之加工 -18 - f紙張尺度適用中國國家摞i^(CNS) A4規格(210X297公爱) ' ------ 546801 發明説明(16 作業而定。槽丁〗之容積最好至少為壓力室41〇容積之5倍。 一溫度控制元件之運作係與槽丁1相連。舉例而言,該溫度 f制7G件可為-溫度感測器及_加熱線圈或探針或熱交換 益。槽T1内c〇2之溫度最好約介於與9〇艺之間,需視妒 置10所執行之加工作業而定。該⑶2可為液態、氣態、或超 臨界態。 裝 複數條出流管線L3、L4、及L5可與槽71形成流體連通。 若需從槽Tit輸出液態c〇2,管線L3、Μ、及㈣好係接 於槽T1之-较低部分(例如經由一位置較低之出口或一没取 管)。槽τι可經由出流管線L3、L4、及L5而與一化學物質供 f /調節系統⑶⑷係以示意方式表示,下文將有更詳細: 說明)、一進給管線u、及一進給管線。形成流體連通。閥 VI、V2、及V3可分別控制管線以、L4、及L5内之流量。 訂 複數個化學物質供應源S1、S2、幻可與系統12〇形成流體 連通。各供應源S1、S2、S3均可包括單—化學物質、或包 括多種相容之化學物質(可分別在各供應源si、s2、幻内、 或在其上游加以混合)β該等供應源所包含之各化學物質可 線 置於適當容器巾。若可行的話,該等容器之壓力最好為大 氣壓力,以方便再填充。 舉例而言,由供應源S1、S2、S3所提供之化學物質可包 括:水;氧化劑’例如過氧化物或過錳酸鹽;酸類,例如 風氟酸、硫酸、及确酸;鹼類,例如第二及第三胺;氫氧 化銨;溶劑,例如有機碳酸鹽、内酯、酮、醚、醇、亞砜 、硫醇、及院烴;表面活性劑,例如包含氣化區段m -19- 546801 A7 B7
或親脂性區段之區間共聚合物或無規共聚人 ^ . . 视’具有以石夕 虱烷為基底之組份及親水或親脂性組份之表面活性,·、 碳氫化合物為基底之傳統離子性及非離子性表面活以 及鹽類,壯氟化録及膽素。不相容之化學物質係指^ 合或彼此接觸後,有可能相互產生反應,因而妨礙加工作 業並/或使裝置10或晶圓5受到損害或不當污染之化學物質 。不相容化擎物質之實例包括酸與鹼。 貝
裝 各供應源SI、S2、S3内均可設置一液面感測器,藉以指 示需要再填充之時機,並/或為作業中所使用之化學^質^ 供一度量。為控制供應源之溫度,亦可設置諸如加熱線圈 或加熱套等構件。各供應源S1、S2、S3内均可設置一混八 用元件。 % 口 訂
線 系統120可提供一或多份體積受控之化學物質(可包含戋不 含C〇2),且系統120可調節該等體積,下文將有更詳細之說 明。進給管缘L1及L2均與系統120形成流體連通,俾接收該 一或多份體積之化學物質。進給管線L1可與一噴嘴191形成 流體連通,該噴嘴則與壓力室410形成流體連通。進給管線 L2可與壓力室410内之一喷灑元件190形成流體連通。進給 管線L1及L2中分別設有過濾器F1&F2。如圖所示,過濾器 FI、F2最好位於所有注入進給管線L1、L2之管線之下游端。 一真空管線L16可與壓力室410形成流體連通。一真空單 元P1可透過管線L16將壓力室410抽成完全或不完全真空。 真空單元P1可為一泵、或一或多個利用一連續運作之真空 泵而隨時保持在真空狀態或接近真空狀態之槽。真空槽之 -20- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 546801
4點在於C力至41 G之排氣速度較快,且真空槽可在晶圓加 工過中再棑I。右使用多個真空槽,吾人可分階段操作 該專真空槽,以便以較短之昧 罕乂 m之4間,在壓力室410内產生較大 之真空。 具空平元P1亦有助於營王5 ^由道 3 、&理破導入糸統内之空氣(或周圍氣 體)。在各批攻步驟中,吾人均可開啟及關閉壓力室410以 便插入及/或移除一基材。當壓力室410處於開啟狀態時, Φ -亥室將充滿周圍氣體(基本上為空氣)。吾人可利用真空單元 Pi進行主動控制及管理,防止以此方式注入之周圍氣體在 加工流體内逐漸累積(假設加工流體可完成某種程度之再循 環)。 裝 一/盾環管泉L6使流體得以在壓力室41〇與系統12〇間流通 。管線L6最奸係接於壓力室41〇之一較低部分。 一第二氣體供應槽丁2可透過一可控制閥v丨5而與壓力室 4 1 0形成流體漣通,該閥位於該槽與該壓力室之間。該第二 氣體之飽和蒸汽壓最好大於C〇2之飽和蒸汽壓。該第二氣體 隶好為一鈍氣’若為氦、氮、或氬則更佳。 產生脈衝之設計 一可變容積元件或脈衝產生器102可與壓力室41〇形成流 體連通。脈衝產生器102包括一室102B、及可在室iq2B内 移動之加壓元件102A。脈衝產生器1〇2可使壓力室41〇内之 壓力快速下降及/或上升(亦即產生一脈衝)。加壓元件i 〇2 A 之掃過容積最好約在壓力室410容積之0.1與5倍之間。脈衝 產生為1 02產生壓力脈衝循環之速率最好約在1循環/工〇秒與 -21 - 本紙張尺度適用t國國家標準(CNS) A4規格(210 X 297公釐) 546801
壓力室410内之壓 若該幅度約介於 以=動活塞戋膜片。在一具體實例中,高壓槽71可與一低 壓容器(例如T2)形成流體連通,俾為脈衝機構(活塞或膜片) 提供原動力。 50循壤/秒之間。脈衝產生器102最好可使 力至少以100 psi之幅度下降及/或上升, 3 00 psi與1 5〇〇 pSi之間則更佳。 «衝機構可為任-適當之機構,例如一連接至線性引 動-之/舌塞’-轉動軸及一連桿;一可藉由外部電線圈而 移動之磁性活塞;及/或一以電力、氣力、或液力驅動之活 塞或肤片。在一液力或氣力系統中,該脈衝機構可搭配一 閥系統’其可讓壓力迅速進、出膜片之非加工作業側,藉 σ人可增σ又適g之閥系統(未圖示),使流體經由某一路徑 /主入脈衝至1 02B中,若關閉該路徑中之一閥則可迫使該流 體通過一包括一過濾器之第二路徑並返回壓力室410中。該 第一路從可利用喷灑元件1 90將返回之流體送至壓力室4 J〇 。該複數條珞徑可防止剛從晶圓上去除之污染物或脈衝室 内所產生之徵粒(若使用活塞)再次被導入。 圖示之脈銜產生器102係接於壓力室41〇之一底部,但脈 衝產生器102貫可接於壓力室41〇之任一高度。特定言之, 若脈衝產生范102係用於促進一需在壓力室41 〇内使用雙態 相(液體/氣體)加工流體之加工作業、抑或脈衝產生器1 之 作用係在晶圓附近產生流體流及微粒流,脈衝產生器1〇2最 好係接於一较高部位。最好能使流體快速離開基材表面(沿 垂直方向)’而非橫越晶圓表面(平行於該表面);若將噴嘴 -22- 本紙張足度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
線 546801 A7 _B7_ 五、發明説明(20 ) 接於底部往往會產生後者之狀況。吾人或可利用一較大之 脈衝室使微粒自晶圓表面脫落,並使微粒充分遠離晶圓, 以免再度沉積。一較大之脈衝室亦可使態相產生兩種態相 變化,例如從超臨界態變為液態再變為氣態。 一出流管象L1 0及一閥V6可依照吾人之選擇,使壓力室 4 1 0得以通氣釋壓至一壓力較低之區域,例如一低壓槽丁2(容 後述)、一流體輸送元件(例如一泵)、或大氣中。吾人可將 壓力室41 0之廢流出物抽出並排放至該低壓區域。 管線L10及闊V6除可供壓力室410排放廢料外,亦可與高 壓槽T1串連,俾在壓力室410内產生壓力脈衝。欲達此目的 ,吾人可利用槽T1提高壓力室410内之壓力(亦即控制閥V1 、V2、V3中之一或多個閥、及/或其他閥門,使槽T1與壓力 室410間形成一通路)、關閉閥V6、然後開啟閥,使壓力 室410内之壓力驟降。廢流出物可流至一低壓槽,例如槽了2 。此一程序可視需要而重覆。 化學物質供鹿/ΐ周節系嫌 化學物質供應/調節系統120可將供應源S1、S2、S3(供應 源之數量可多或少於此數)内之化學添加劑依選定之流量或 份量供應至壓力室410。此外,系統12〇亦可依照吾人之選 擇’控制化學物質或化學物質/C〇2之壓力、溫度、及流量 。根據本發明,系統120亦可採用特定之替代構造,容後述 。在參閱本文之說明後即可瞭解,本文所揭示之具體實例 之多種特點及構造或可省略、或可結合或代以該等具體實 例之其他特點及構造。 -23- 本紙張尺度適用中國國家標_(CNS) A4規格(210X 297公釐) 裝 訂
線 546801 A7 ____ B7 五、發明説明(21 ) 參見圖2 ’其為一化學物質供應/調節系統π〇Α之示意圖 ’圖中亦顯右裝置10之某些相關部分。一流體輸送元件P3 可依照吾人之選擇,將供應源S1中之流體化學物質(「第一 流體」)抽取至(或容許其藉由重力而流入)一貯器R1中,該 貯器之壓力大體上與周圍壓力相等。一液面量測元件122可 量測該貯器内之流體體積,藉以測出待輸往壓力室41〇之化 學物貝之體積。流體輸送元件P3若可量測通過元件p3之流 量,亦可求出貯器R1内之流體體積。而後,該貯器内之化 本"〗、、加9彳便可藉由重力排出,途經一調節單元C 1 (容後述) 、過濾态F1、及管線L1,最後進入壓力室41〇。 或者亦可操作一閥VIA,使槽T1内之C〇2(例如超臨界態 c〇2(scc〇2)、液態c〇2、或經壓縮之液態c〇2或氣態c〇2)經 由一官線L3 A輪往貯器ri。如此一來便可將添加劑與€〇2之 加壓混合物經由單元C1、過濾1F1、及管線以送至壓力室 410 〇 進步參知、圖2 ’系統120A可將一第二流體(一包含化學 物質之加工流體)輸往壓力室41〇,該第二流體包括來自供 應源S2之化學物質,且供應源S2與供應源“不相容。系統 1 2 0A為該第二流體所提供之流路係與該第一流體所使用之 流路相互獨立。該第二流路包括元件P4、R2、丨22、及C2 ,其大致對應於元件P3、R1、122、及C1。 該第二流體可為一僅含化學物質之流體(亦即不含c〇2), 並以與前述相同之方式,經由P4輸往貯器R2,然後途經調 節單元C2、過;慮器F2、及管線L2 ,最後到達壓力室41 〇。或 -24- ^ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公董) ' ----- 546801 A7 ______Β7 五、發明説明(22 ) 者亦可操作一閥V1B,俾將槽T1内之c〇2以一管線L3B導入 貯器R2中,使添加劑/C〇2以加壓狀態輸往壓力室41〇。 圖2亦顯示如何透過循環管線L 6、並利用p 4或一壓差將壓 力室410内之加工流體送回貯器尺2。經送回之流體可與該第 二流體重新混合以便在作業過程中重覆使用。管線L6中可 增設一過濾、器(未圖示)。 參見圖3,圖中顯示一根據本發明其他具體實例之化學物 質供應/調節系統120B。系統120B特別適合輸送氣態化學物 質。系統120B可對應於系統12〇a,唯前者省略貯器 ,南壓C02可經由管線L3A、L3B及閥VIA、V1B直達調節 單兀C1及C2。系統120B可透過流體輸送元件p3(或p句之操 作,使添加劑S1(或S2)經由調節單元C1(或C2)及過濾器fi(或 F2)而注入壓力室410。或者亦可將高壓c〇2加入並混合於各 調節單元C 1、C2内之化學物質S丨或中。在此情況下,若 欲量測輸往壓力室410之化學物質之體積,可量測通過流體 輸送元件P3(或P4)之化學物質之流量,或量測供應容器S1 或S2内之體積變化。吾人亦可控制輸往調節單元以及以之 4匕學物質及/或C〇2之流量,使輸往室41〇之流體具有吾人所 需之C02對化學物質之比值。 參見圖4,圖中顯示一根據本發明其他具體實例之化學物 質供應/調節系統120C。系統120C包括一流體輸送元件P5, 其可依照吾人之選擇,交替抽取供應源s丨及S2,及抽取槽 T1中之南壓C〇2(經由管線L3A及閥VIA)。元件P5可迫使經 選定之化學物質通過一調節單元C3、及過濾器F1與F2中之 -25- 本紙張瓦度適用中國國家標準(CNS) A4規格(210X297公釐) 546801 A7 ____B7 五、發明説明(23 ) 一或兩者(取決於閥V9及νι〇之操作),使流體最後以加壓狀 癌注入壓力室410。若有需要亦可將槽T1中之c〇2加入選定 之化學物質护,作法係利用管線L3B及閥V1B將c〇2導入調 節單元C3中。為防止不相容之化學物質s丨與S2相互混合, 吾人可將槽ΊΓ1内之C〇2(最好為純ScC〇2)以管線L3A導入, 一方面沖洗流體輸送元件P5,一方面則沖洗在通往壓力室 4 1 0之流路中、由該兩化學物質流所共用之其他部分。 再擔壤糸統 再循環系統200包括一出流管線L7,其可與壓力室410之 一較低部分形成流體連通。管線L8及L9則與管線L7形成流 體連通,且分別在過濾器F丨與F2之上游與進給管線L丨及L2 形成流體連通。一流體傳送元件P2可抽取壓力室41〇内之流 體,並迫使該流體通過管線L8及L9,最後返回壓力室410中 。再循環之流體流可與管線L1及L2中之其他流體(例如來自 系統120及/或管線L3或L4之流體)結合。管線L8及L9中設有 閥V4及V5。 再循環系統200可對晶圓表面施以額外之流體機械作用, 但不需進一步移除〇〇2及/或化學物質,亦不需另外導入c〇2 及/或化學物質。此外,再循環系統200亦可在清潔作業中 不斷清潔加工流體(例如過濾、蒸餾、或利用密度之調變以 分離其組份)。 圖5顯示本發明之一替代再循環系統200A。系統200A包括 —出流管線L14。管線L14可分別透過回流管線L15及L16而 與壓力室410中之一再循環噴嘴193及噴灑元件190形成流體 -26- 本紙張瓦度適用中國國家標夢(CNS) A4規格(210 X 297公釐) 546801 A7 ________ B7 五、發明説明(24 ) 連通。-流體輸送元件P6可迫使壓力室410内之流體通過一 過濾器F3,並經由噴嘴193及/或喷灑元件190返回壓力室 410。吾人可利用閥V7及V8將流體交替輸送至該噴灑元件 或再循環噴嘴,並防止經由噴嘴193而回流。 圖6顯示本發明之另一替代再循環系統2〇〇B。系統2〇〇b包 括一出流管缴L30,其可使壓力室410經由一輸送系統242而 與一蒸餾器243(其具有一加熱元件245)形成流體連通。輸送 系統242可轉4匕壓力室41〇所排放之廢流,使其由起始狀態(例 如液體、壓缩液體、或超臨界流體)轉化為液體。最好輸送 系統242亦可防止流體從蒸餾器243回流至壓力室41〇。為此 輸送糸統2 4 2可包括一或多個關斷闊及/或單向/止回閥。 若壓力室4 1 〇所排放之廢流為液體,輸送系統242可不改 變該流體’或僅改變該流體之溫度(例如使用一加熱器或急 冷器)。若壓力室410所排放之廢流係一壓縮液體,該輸送 系統可提供藏壓之功能(例如透過一曲折路徑、一孔口、或 控制閥)。輸送系統242亦可包括一溫度改變元件。若壓力 室410所排放之廢流係一超臨界流體,吾人最好能提供上述 之減壓功能及一改變溫度之步驟。在此狀況下,或有必要 (或最好能)冷卻該流體,使其跨入態相圖中之雙態相液體/ 氣體區。 只要該流體為液態,吾人便可在蒸餾器243中煮沸/蒸餾該 流體’俾將其分離成兩種組份:一較輕組份(主要為(^:氣 體)、及一較重組份(主要為化學添加劑及夾帶之污染物)。 車交重组份可傳送(例如藉由重力傳送)至一再循環/處置系統 -27- 本紙張足度適用中國國家標準(CNg^見格(2ι〇χ挪公幻 546801 A7 B7 五、發明説明(25 ) 244。 一管線L31可將C〇2氣體流(重量較輕)導入一熱交換器246 ,C〇2氣體流將在此轉化(透過溫度及壓力之操控)為加工流 體之狀態(亦即液體、壓縮液體、或超臨界流體)。若該流體 之起始狀態為液體,該交換器可包括一連接至前述加熱元 件之傳熱線圈247,俾將凝結液之熱能傳遞至蒸餾器243。 吾人可另以過濾、吸附、吸收、膜片分離、物理分離(例如 離心力)、或靜電分離等方式清潔C02。經過調節之C〇2將被 送回,俾為基材進行額外加工,或為下一塊基材加工。吾 人亦可在此入流流體中添加額外之化學物質(例如在一混合 用貯器248中)。 此蒸餾再循環系統200B可提供一以連續或間歇方式通過 壓力室410之加工流體流。該質量流可將微粒帶離晶圓5(例 如可防止微粒再沉積於晶圓上)、並/或對晶圓表面施以機械 作用(震動),故有助於清潔作業。吾人可過濾或以其他方式 調節該質量流。該質量流可完全由蒸餾器243内所增加之熱 能驅動,不需使用泵或其他有可產生微粒之機械元件。吾 人可利用多個輸送系統242、蒸餾器243、熱交換器246提供 較大之連續流。 各個再循環系統200、200 A、200B均可提供一通過室410 之質量流,且加工流體之質量不會在作業循環中有所減損( 雖然吾人可從加工流體流中過濾或蒸餾出少量之添加劑及 微粒。此外,各個再循環系統200、200A均可提供一通過室 4 1 0之質量流且不致改變加工流體之化學組成。 -28- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
線 A7 1^ ------67__ 五、發明説明(26 ) 如圖1至圖5所示,過濾器F1、F2、及F3最好至少可過濾 1〇奈米至50徽米之微粒。適當之過濾器可包括燒結過濾器 、袋型過濾器、磁過濾器、靜電過濾器、及/或上列各項之 組合。每一條進入壓力室410之流體流路徑最好均如圖示之 具體實例具有一過濾器,並以該過濾器作為該路徑進入壓 力室410前之最終元件。特定言之,所有用於將流體送往壓 力至41 〇之閥及流體輸送元件均位於至少一個過遽器之上游。 調節單元C 1、C2、C3可包括一用以混合添加劑中各化學 物質、或用以混合添加劑與C〇2(若有的話)之構件,以促進 添加劑之均質性及溶合。該等調節單元亦可包括一構件, 其可控制添加劑或添加劑/C〇2之溫度。適當之混合用元件 或混合方法包括機械式混合器及流體混合法。若欲達到控 制溫度之目的,舉例而言,可利用探針、内線圈、元件、 及/或一外套。例如可使用一電熱器或一流體熱交換器。 流體輸送元件P3、P4、P5最好可以一貫之方式,準確量 測流體之流量。舉例而言,適當之元件可包括膜片泵、听 筒泵、或活塞泵。 本文雖圖示並說明特定之設計,但熟習此項技藝之人士 即知,本發明可以多種方式修改。例如在系統12〇Α (圖2)中 ’可將循環管線L6連接至流體輸送元件P3,俾將管線L6内 之流體導入管線L1。或許亦可設置一閥系統(未圖示),使 吾人得以為各流路選擇進給管線(亦即匕丨或乙]),如此一來 便可依吾人所需,將來自供應源S1(舉例而言)之化學物質(可 包含或不含C〇2)導入噴灑元件190與噴嘴191中之一或兩者 -29- 546801 發明説明(27 。裝置10可包括一戋吝攸七 ^ /夕條匕括一串連貯器之化學物質供應 2即糸統12〇A之設計)、及/或一或多條可直接注入之 學物質供應路徑(此即系統謂之設計)、及/或一 或多條可供供應源交替使用 ^ ^ ^ ③便用之並連式化學物質供應路徑(此 即系統12 0 C之設言+、。戈士兩Λ 一 右有品要亦可增設過濾器、流體輸送 兀件、貯器、調節單元、及閥系統以提供較大之彈性。 请潔/產生脈種t之方、土 吾人可利用裝置10執行多種方法,其中壓力室41〇内之晶 f 5將承受流體流、流體池、及周圍氣體(包括處於多種狀 恶(例如液體、氣體、超臨界流體)之化學添加劑、c〇2、及 其混合物)之作用。該等方法可用於清潔或以其他方式處理 (例如塗敷)曰曰圓表面5 A。舉例而言,吾人可利用裝置丨〇執 行下列共同所有之美國專利申請案所揭示之方法,該等申 請案所揭示之全部内容係以引用之方式併入本文: 1·美國專利申請案序號第 _號,發明人為
James P. DeYoung、James B. McClain、Michael E· Cole 、及〇3乂1(1£.:8以1^(1,2001年9月13日提出申請,發 明名稱為「以循環式態相調變清潔微電子結構之方法 」(代理人檔案號碼:5697-45IP); 2.美國專利申請案序號第_ 號,發明人為
James P. DeYoung - James B. McClain - Stephen M.
Gross、及 Joseph M· DeSimone,2001 年 9月 13 日提出申 請,發明名稱為「以含水二氧化碳系統清潔微電子結 構之方法」(代理人檔案號碼:5697-45IP2); •30- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂
線 546801 A7 B7 五、發明説明(28 )
3 ·美國專利申請案序號第 一號,發明人為
James P· DeYoung、james B. McClain、及如沖⑶ μ Gross,2001年9月13日提出申請,發明名稱為「去除微 電子結構上之微粒之方法」(代理人檔案號碼· 45lp3); 4·美國專利申請案序號第 號,發明人為
James P· DeYoung、james b. McClain、及 Stephen M Gross,2001年9月13日提出申請,發明名稱為「用以在" 微電子結構之二氧化碳清潔作業後控制污染物之方法 」(代理人檔案號碼:5697-45IP4); 參 裝 以下提供可根據本發明而實施之方法以作為範例。閥系統 、流體輸送元件、及感測器之運作最好係與一電腦化控制 器相連’俾能在執行吾人所需步驟時,提供必要之回饋及 控制。 訂
線 吾人可將晶圓5插入壓力室410,並以任一種適當之方法( 例如使用黏著劑或夾具)將其固定於夾盤5丨〇。將晶圓5固定 於夾盤時,一較佳作法係採用下文中參照晶圓固持總成 520(圖19)及550(圖23)所說明之任一方式。之後便可關^並 密封該壓力室之門。 吾人可利用真空單元P1,使壓力室410内之空氣及其他任 何氣體從壓力室410内經由管線L16排出。 在為塵力室410加壓前,若有需要,亦可利用化學物質供 應/調節系統120將來自供應源SI、S2、S3中一或多個供靡 源之化學物質施於晶圓上。 然後便可利用來自高壓槽τι之c〇2(最好為液態c〇2i -31 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 546801
ScC〇2)為壓力室410加壓。最好可將壓力室41〇之壓力至少 提高至400 psi,若能提高至約8〇〇…丨與⑽⑻psi之間則更佳 。此外,吾人亦可利用一防護加熱器(舉例而言,容後述)使 壓力室410内氣體環境之溫度保持在一選定之溫度(最好約 在l〇°C與8CTC之間)。 壓力室410之壓力一旦升高至選定值,吾人便可利用管線 L2將密相C〇2送至噴灑元件19〇及/或噴嘴191。該噴灑元件 可將该岔相C〇2導至晶圓表面5A上。若有需要,亦可利用 化學物質供應/調節系統12〇將來自供應源S1、S2、S3中一 或多個供應源之化學物質(其中可混有或未混有液態或超臨 界態C〇2)施於晶圓上。 然後便可利用脈衝產生器102及/或高壓槽T1及閥V6進行 循環式態相調變(CPM)。更特定言之,吾人可操作脈衝產生 器102及/或南壓槽τ 1及閥V6(並適當控制加工流體之溫度) 使悲相在液怨、超6¾界態、及氣態間變換。最好態相係 在超臨界態與液態間循環變換。舉例而言,吾人可執行共 同所有之美國專利申請案序號第________號(發明人為
James P. DeYoung > James B. McClain > Michael E. Cole ^ 及David E. Brainard,2001年9月13曰提出申請,發明名稱 為「以循環式態相調變清潔微電子結構之方法」(代理人檐 案號碼:5697-45IP))所揭示之循環式態相調變法,該申請 案所揭示之全部内容係以引用之方式併入本文。 在循環式態相調變之循環過程中,C〇2或帶有化學物質之 C〇2可由噴灑元件190施予晶圓5。壓力室41〇内之流體及微 -32- 本紙張瓦度適用中國國家標準(CNS) A4規格(21〇 X 297公爱) ' -----— 546801 A7 ___B7_ 五、發明説明(30 ) 粒物質可由再循環系統200或200A自壓力室41〇中移除並局 部再循環,並/或由管線L6及系統120加以再循環。 壓力室4 1 0内之加工流體(密相C〇2、添加劑、及廢料)可 由管線L1 0排出。至於壓力室410内之C〇2則可回收至一回收 槽,下文將有所說明。加工路徑(包括壓力室41〇)可以槽T1 内之純液態或超臨界態c〇2沖洗一或多次。 上述「若有需要,可將化學物質S1、S2、S3中之一或多 種(可包含或不含Sc C〇2)施於晶圓上」、「執行循環式態相 調變」、及「移除加工流體」等步驟可視需要而重覆。在 完成循環式態相調變之最後一次循環後便可將加工流體移 出’若有需要’供應源S1、S 2、S 3亦可將一淋洗液(例如一 共溶劑或表面活性劑)配施於晶圓5上(最好可由噴麗元件19〇 配施-處於加壓狀態之淋洗液)。 然後便可利用來自槽T1之ScC〇2沖洗壓力室410及加工路 位(包括再循環路控)以去除添加劑及殘餘物。若不使用淋洗 液,亦可利用純C〇2流體(液態或超臨界態)去除基材上之添 力口劑及殘餘污染物。沖洗用之密相C〇2可再循環,但最終則 將由管線L 1 0排出。最好能利用純液態或超臨界態c〇2為晶 圓5及壓力室410進行最後一道淋洗。 然後便可為壓力室410減壓,並將晶圓5移出。 裝置10最好可透過噴灑元件190以至少400 psi之壓力將加 工流體施於晶圓表面,若該壓力約在8〇〇 pSi與3〇〇〇 pSi之間 則更佳。該方法可包括:令喷灑元件19〇相對於晶圓而旋轉 ,並以喷灑元件1 90將加工流體施於晶圓上。吾人可以轉動 -33- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 546801 A7 _________B7 五、發明説明(31 ) 方式驅動喷灑元件(例如噴灑元件19〇或噴灑元件6〇2)及/或 夾盤(例如夾盤510、522、或552)。 此外’吾人亦可利用一進給噴嘴(例如噴嘴191)將加工流 體輸入室4 1 0内,同時利用一或多條出流管線(例如管線L7 、官線L1 0、管線L11 '及/或管線L6)將加工流體排出,因 而產生一越過晶圓5之加工流體流。裝置丨〇最好可以至少2 gPm之流量提供該穿過室41 0之流體流。 一如前述,該方法可包括:令包含C〇2之加工流體之密度 產生脈動,同時將該加工流體喷灑於晶圓5上。同樣,若以 脈衝產生器1 02進行態相調變,吾人亦可在加工流體通過室 4 10之同時進行密度調變。晶圓5及/或噴灑元件19〇可同時 轉動。 在上述各個需施用化學物質之步驟中所使用之化學物質 可為任一種適當之化學物質。特定言之,該等化學物質可 包括共溶劑、表面活性劑、反應劑、螯合劑、及上列各項 之組合。值得注意的是,化學物質供應系統120之獨立流路 及/或沖洗用構件可將不相容之化學物質以安全、有效之方 式加入室410中。 邊裝置可將不同狀態(例如液態、氣態、超臨界態)之加工 組份送往室410,且容許不同狀態之組份在室41〇内共存。 吾人若在清潔步驟中使用液態c〇2,該裝置可提供已加熱之 c〇2氣體G列如來自槽T1),俾將加工組份自清潔作業室中排 出或沖出;吾人若以液態或超臨界態C〇2作為清潔步驟中之 主要加工流體,該裝置則可輸送一來自第二氣體槽T3之第 -34- ^紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)----~" 546801 A7 — —- _ B7 五、發明説明~~----- 二氣體(例如氦、氡、或急、,彼, 亂次鼠),俾在一清潔步驟中及一淋洗步 驟前置換該加工流體·五人甚尤,主、切 爪體,σ人右在清潔步驟中使用ScC〇2,該 j置亦可提供已加熱之ScC〇2(例如超臨界態eh),其溫度 高於主要加工流體’但其密度則低於主要加工流體:、:: —清潔步驟後、-淋洗步驟前置換該加工流體。 供應/回收’系欲 供應/回I系、統300可供應及/或回收並再供應c〇2及/或化 學物質至清潔作業中。部分C〇2將在作業過程中消失。該作 業可包括批次循環’其中壓力室41〇將在基材(例如晶圓)進 出一以C〇2為基底之加工設備時,連續加壓及減壓若干次。 舉例而言,當吾人開啟壓力室以便取出並更換晶圓時,部 分co2便將消失在大氣中。部分c〇2則將隨系統所排出之廢 料流而從系統中消失。大部分之CO2均將受到污染、或因受 其他影響而不適合或有可能不適合在作業循環中一再循環 。因此,吾人必須提供額外CO2之來源以補充作業t所損耗 之C〇2。此外,C〇2及化學物質最好均可再循環,以便在裝 置10中或在他處重覆使用。 、 C 0 7存料供應激 參見圖7,供應/回收系統3〇〇包括一 c〇2存料供應源312。 舉例而言,供應源312可為以下列形式供應之c〇2: 一或多 個液體鋼瓶、一或多個内裝低溫液體且外加護框之小口大 玻璃瓶、或一或多個大型低溫液體供應系統。其儲存方法 最好兼可供應液態或氣態C02。 供應源3 12可經由一管線L17而與加工室41〇形成流體連通 -35- 本紙張足度適用中國國家標準(CNS) A4規格(210X297公釐) ' ------- 546801 A7 — —__B7 五、發明説明(33 ) ’管線L17具有一閥VII,其可控制進入壓力室410之流量。 系統300最好能讓該供應源之c〇2以吾人所需之壓力(最好約 在15與50 psig之間)直接送入(亦即不需借助任何流體輸送元 件、加壓槽、或其類似物)壓力室410。供應源312可來自一 氣體或液體來源。 一般供工業及商業使用(例如食品加工(如冷;東乾燥及飲料 之充氣)、p Η控制、或乾冰)之C 02其潔淨度均未達微電子基 材加工作業之標準。該種c〇2供應源通常均包含諸如有機物 質、其他氣體、水、及微粒物質等污染物。因此,系統3〇〇 可包括一淨化單元D1,其位於供應源312與壓力室41〇之間 。淨化單元D 1可淨化C〇2供應源,使其達到必要之超高潔 淨度及純度。如此一來,淨化單元D1將可促進食品等級或 工業等級c〇2之有效運用,並使吾人得以使用現有之c〇2供 應鏈及配銷鏈。 淨化單元D 1可採用下列一或多種方法以過濾氣態或液態 co2 : ^ 1. 蒸餾:c〇2可抽取自一氣態供應源、或供應源之—氣 態部分。液態c〇2可經抽取、煮沸、並在移入一故集空間 後重新凝結: Mi 2. 過濾; 3. 膜片分離(最好搭配蒸餾);及 4. 吸收/吸附(例如根據吸引力或分子大小而加以捕集 吾人亦可將額外之C〇2導入一蒸汽節用單元32〇(容後述) ,藉以將C〇2送入作業中(更特定言之則係送往壓力室斗⑺) -36 -
546801 A7 _____ B7 五、發明説明(34 ) 。此額外之C 〇2最好先由一對應於淨化單元D丨之淨化單元 加以淨化。 廢料流之處理 在前文與作業相關之說明中便曾指出,吾人可在不同時 間點(包括(特別是)每一輪執行完畢後),利用管線11〇排出 壓力室410内之加工流體。該流體可包括液態、氣態、或超 臨界態之C〇2、化學物質、及多種污染物(例如自晶圓脫落 之微粒)。 系統300包括一低壓槽T2 ,其可接收抽取自壓力室41〇或 自壓力室410移除之廢料流。槽Τ2之壓力最好約維持在周圍 壓力與3000 psi之間。槽Τ2之容積最好至少為壓力室41〇容 積之5倍。 被排入槽T2内之混合物種類或有不同,在此情況下,槽 T2可為一分隔槽或多個槽。槽T2内之壓力小於一位於壓力 室410上游、且與壓力室41〇形成流體連通之壓頭,此壓差 將迫使廢料流由壓力室410進入槽Τ2。最好該壓頭係由高壓 槽Τ1提供,如此一來便不需使用泵或其他機械元件。 當C〇2從壓力室410移往槽Τ2時,C〇2之壓力將隨之降低 ,吾人可利用此現象使其分離。超臨界態C〇2加工流體在通 過一減壓元件(例如一控制閥或孔口)時將因膨脹而減壓。在 it匕較低壓力下,加工流體之組份(例如化學添加劑或夹帶之 污染物)將變為不可溶,促使該膨脹流有效分離為_輕流體 C〇2流及另一重流體(不可溶)流。 超臨界態C〇2加工流體亦可經由減壓膨脹而進入態相圖中 -37- 本紙張足度適用中國國家標準(CNS) A4規格(210X297公釐) 546801
之雙態相液體/氣體區,使不同之加工流體得以在一分隔槽 之不同刀區或多個槽内產生離析。此離析作用將有助於減 少混合廢之產± ;混合廢料流之處理成本大於單一組 份之流體流。離析作用亦使吾人得以蒸餾方式分離加工流 體之組份(例如從化學添加劑中分離出可再循環之c〇2、及 需加以處置之夾帶污染物)。 。人可使一液恕加工流體流因膨脹、受熱而成為氣態。 如此來便可以類似蒸餾之方式連續分離組份(亦即閃急蒸 發之蒸發),例如可參見以下有關蒸餾系統34〇之說明。 再循環及減詈 槽T2所接收之廢料流將由一管線L29(其具有一閥vi2)送 往一再循環/減量站310。吾人可利用一泵或其類似物輸送 該廢料流,但最好係透過一非機械方式,例如壓差及/或重 力。只要廢料流已在槽T2内分離,吾人便可設置兩條以上 用=輸送各分流之獨立管線,使單元31〇可分別處理各分流 。系統300可以下列方式處理及導引該等分流: 1.可將c〇2去除,作法係利用一管線L27,並依吾人所 控制之方式排放或排洩C〇2 ,俾將其安全排入大氣中,並 /或加以收集,另作他用; 2.可利用一管線L22將C〇2直接輸往壓力室41〇。該c〇2 最好可由一淨化單元D3加以淨化。經由管線L22輸往壓力 室410之C〇2其壓力可能大於大氣壓力,在此情況下,吾 人可利用該C〇2在各循環之起始階段執行或加強主加工室 之加壓作業; -38· 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 546801 A7 —' - _ B7 五、發明説明(36 ) '~" 3. C〇2可由管線L23導引至淨化單sD1 ,然後進入壓力 室 410 ; 4. 可令氣態C〇2通過一淨化單元〇2、一液化單元314(其 可凋整壓力並冷部該c〇2氣體)、然後進入c〇2存料供應源 312,並依前述方式作進_步使用; 5. 可令C〇2通過一淨化單元〇4,並由一加壓元件(例如 一泵)P8重新加壓,使其經由一管線[25進入高壓槽τι ; 6·可利用一官線L26導引c〇2,使其通過一淨化單元D5 並進入一蒸汽節用槽320(容後述);及 7.可令化學添加劑及污染物通過一管線L28,並依良好 之化學物質管理規範加以處理及/或去除/再循環。 蒸汽回收: 在將壓力室410内之加工流體排出後,壓力室41〇内仍留 有一咼壓c〇2蒸汽。在吾人開啟壓力室41〇以取出基材(例如 晶圓)前,最好且通常均需移除此蒸汽。 一種為該室減壓之方法係利用一受控之放洩元件使該室 之内容物得以通氣釋壓。或者亦可利用一壓縮機或泵降低 壓力室410内之壓力。 吾人亦可利用後述之一蒸汽回收系統322及方法降低c〇2 之壓力。該等方法及裝置可利用美國專利申請案序號第 0 9/404,95 7號(1999年9月24曰提出申請)、及美國專利申請 案序號第09/6 69,154號(2000年9月25日提出申請)所揭示之 方法及裝置之構造及特點。 一蒸汽回收槽或壓力容器322可在一作業循環之最終階段 -39- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 546801 A7 _____B7 五、發明説明(37 ) ' ^ 透過-管線L18快速捕集coy通常為氣體或超臨界流體卜 被捕集之C〇2通常為一氣體或超臨界流體,但亦可為液體( 在此情況下,最好係由室41〇之底部排放以免形成固態/乾 冰)。如此一來,壓力室41 〇便可快速降壓。該捕集方法最 好並不受制於一機械元件(例如一壓縮機)之體積輸出量。蒸 汽回收槽322之容積最好約為壓力室41〇容積之!至5〇〇倍。 被捕集之C〇2可依吾人所需之任一方式處理,包括: a) 使其通過一具有一閥V10之管線L21,最好亦通過一 平壓槽324,並將其去除; b) 利用管線L2 1及平壓槽324將其回收,並再循環另作 他用(例如一使用C〇2之火災抑制系統、或一可再循環另作 他用之儲存容器); c) 可將其回收並再循環作相同之應用(可將其壓縮及/或 液化、及/或轉化為超臨界流體),重新供應至該加工系統或 該C02供應系統; d) 可將其用於下一道加工步驟中,俾為壓力室41〇加壓 (:^欲將壓力室410之壓力提南至一定水準,使吾人得以有 效增加以C〇2為基底之加工流體量,或可將此視為一必要之 作法)。 該蒸汽回收系統可包括一壓縮機P7,其有助於將壓力室 4 1 0内之物質輸往蒸汽回收槽。舉例而言,當一加工循環結 束時,壓力室410可能處於高壓狀態(C02氣體之壓力可達蒸 汽壓,若為超臨界流體,其壓力可為:300 < P( psia) < 3 OOO),而蒸汽回收槽則處於低壓狀態。為能以非常迅速之 -40- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 546801 A7 ______B7__ 五、發明説明(38 ) 方式(例如為方便吾人開啟壓力室41 〇以取出基材)將壓力室 41 〇之壓力降至一低壓力(例如周圍壓力),同時省下大部分 之c〇2,吾人可為該兩室均壓,然後·· a) 可利用一壓縮機,迫使更多C〇2從主加工室進入蒸汽 節用槽中;及 b) 可使用一第二蒸汽回收槽(例如採串級排列),以便以 加快一倍之速度為壓力室41 0均壓並進一步降低其壓力。 吾人亦可利用一壓縮機,在一第一輪結束後、下一輪尚 未結束前,將蒸汽回收槽内之物質移出,因為蒸汽回收槽 在下-輪結束時又必須回到低壓狀態。被捕集之C〇2可以上 述任-方式處理。 應瞭解,系統300亦可使用多種不同於上述之閥系統及流 量控制裝置。「蒸汽節用系統320」與「吾人用以處理管線 L 10其廢流中之C〇2之多種方法」其實互不相干,若有需要 可從系統300中去除其中任一者。各淨化單元〇2、D3、D4 、D5均可與淨化單元D1對應(亦即可使用前述之任一種方法 ··蒸餾、過濾、膜片分離、及吸收/吸附)。若不使用多個淨 化單元D2、D3、D4、D5,一替代作法係將其中兩個以上之 淨化單元結合,使各流路在共用之淨化單元内具有一共用 段,然後再各自岔出。 壓力室總成 參見圖8及圖9,壓力室總成400包括一上外殼420及—下 外殼430。當外殼420、430處於圖8所示之關閉位置時,兩 者間構成一壓力室410,一密封用系統4 5 0 (下文將有車交詳細 -41 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) '" ---- # 裝 訂
線 546801 A7 B7 五、發明説明(39 ) 之說明)可將室41 〇密封。在圖8所示之關閉狀態下’ 一對位 置相對之夹具440可包圍外殼420、430之末端部分,藉以限 制外殼42 0與430之分離幅度。吾人可將夾具440移開’使外 殼420、43 0得以分離並進入圖9所示之開啟位置。 防謨加熱器_ 室410内設有一防護加熱器總成460,其包括一上防護加 熱器462及一下防護加熱器472。防護加熱器總成460在加熱 器462與472之間形成一容納空間411。在防護加熱器462與 472間之容納空間411内設有一平台或夾盤510,其可支撐晶 圓5,使其得以在防護加熱器462與472之間繞一垂直軸旋轉 。一喷灑元件190係安裝於上防護加熱器462之一槽464F中 ,且可導引流體,使其經由喷嘴192而到達晶圓之工作表面 5A上。 外殼420、430最好均係由不銹鋼或其他適當金屬一體成 形。通道422A、422B、422C穿過外殼420,通道432A、 432B、43 2C貝|J穿過夕卜殼430。詳見圖9,外殼420具有一環形 凸緣424,其具有一位於外側之環形凹口 425,一垂直壁 425A則構成該凹口之一部分。外殼430具有一環形凸緣434 ,其具有一環形槽435。凸緣434具有一垂直壁434A。外殼 42 0及430分別具有彼此相對之環形鄰接面426及436。 參見圖10至圖12,上防護加熱器462包括一内部元件464 ,其具有一頂壁464A及一環形側壁464B。頂壁464A内形成 一螺旋形流禮渠道466A,一外板467則覆蓋頂壁464A。一 環形包圍元件468可包圍側壁464B,因而在兩者間形成一環 -42- 本紙張尺度適用中國國家榡準(CNS) A4規格(210X 297公釐) 546801 A7 B7 五、發明説明(4〇 ) 形環繞渠道466B。一渠道466C可使渠道466A與466B形成流 體連通。頂板467内之一入口 466D可使通道422A與渠道 4 6 63形成流體連通,一出口 466£貝|]使通道4228與渠道466八 形成流體連通。外板467及壁468係由熔接點8(舉例而言)固 定於内部元件464。喷灑元件190係穿過外板467上之一開口 467A,並固定在頂壁464A之一槽464C中(例如藉由一位於 上游之噴嘴、或螺絲)。噴灑元件190之噴嘴192可與通道 42 2 C形成流體連通。内部元件464、夕卜板467、及包圍壁468 最好係由不銹鋼製成。防護加熱器462可以螺絲固定於外殼 420,並以小型間隔件防止螺絲接觸壁面。 參見圖13及圖14,下防護加熱器472包括一内部元件478 及一外板474,該外板係以熔接點8(舉例而言)固定於該内部 元件。一開口 479穿過外板474,一開口 476D則穿過内部元 件478。内部元件478内形成一螺旋形流體渠道476A。外板 474中之一入流通道476B可使通道432 A與渠道476A形成流 體連通,一出流通道476C可使通道432B與流體渠道476A形 成流體連通。内部元件478及外板474最好係由不銹鋼或其 他適當金屬製成。防護加熱器472可以螺絲固定於外殼430 ,並以小型間隔件防止螺絲接觸壁面。 最好防護加熱器462及472均具有一表面積(亦即朝向内部 之「内」表面)對容積之比值,且其值至少為0.2平方公分/ 立方公分。若防護加熱器462及472均具有一表面積對容積 之比值,且其值約介於0.2與5.0平方公分/立方公分之間則 更佳,若約為0.6平方公分/立方公分則最佳。 -43- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂
線 546801 A7 B7 __________ 五、發明説明(41 ) 一如前述,在執行清潔及其他作業步驟時(及在各步驟間) ,最好能控制晶圓環境(亦即室410及其中之流體)之溫度。 室410内之溫度可由防護加熱器總成460加以控制。更特定 言之,一溫度控制流體由通道422A導入後,將通過入流開 口 466D、渠道466B、通道466C、通道466A、出流開口 466E 、最後由通道422B流出。該溫度控制流體即以此一方式, 將熱能傳送至防護加熱器462,俾為防護加熱器462加熱(若 該流體之溫度高於防護加熱器462);或者,該流體亦可吸 收並移除防護加熱器462之熱能,藉以冷卻防護加熱器462(若 該流體之溫度低於防護加熱器462)。一溫度控制流體可以 相同之方式加熱或冷卻下防護加熱器472,該流體將流經通 道432A、入流開口 476B、渠道476A、出流開口 476C、及通 道 432B。 该溫度控制流體可為任一種適當之流體,最好為液體。 適當之流體包括水、乙二醇、丙二醇、水與乙二醇或丙二 醇之混合物、Dowtherm A(聯苯醚及聯笨)、D〇wtherm £、 (0-二氣苯)、礦物油、Mobiltherm(芳香族確物油)、
Thermiiiol FR(氣化聯苯)。該溫度控制流體最好為水與乙二 醉之50%/50%混合物。該流體可以任一適當方式加熱,例 如利用一電熱器、燃氣式加熱器、或蒸汽加熱器。該流體 可以任一適當方式冷卻,例如利用一氣壓冷凍式或蒸發式 流體急冷器。 ^ 防護加熱器總成460係與外殼420、430相互分離,因而在 兩者間形成一絕熱間隙470,其大體包圍防護加熱器462及 -44- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂
線 546801 A7 B7 五、發明説明(42 ) 472。更特定言之,一絕熱間隙470A係形成於外板467與相 鄰且屬於外殼420之包圍壁部分間,且最好具有一寬度A。 一絕熱間隙470B係形成於包圍壁468與相鄰且屬於外殼420 之壁面間,且具有一寬度B。一絕熱間隙470C係形成於外板 474與相鄰且屬於外殼430之包圍壁部分間,且具有一寬度C 。最好各寬度A、B、C均至少為0.1公厘。各寬度A、B、C 若均介於約0.1與10公厘之間則更佳,若約為1.0公厘則最佳。 絕熱間隙470可實質增加系統10之效率、可控制性、及製 造輸出量。絕熱間隙470可使熱能大體上無法在防護加熱器 4 62、472與夕卜殼420、430間傳遞,因而減少外殼420、430 之溫度對晶圓5周圍氣體環境所造成之影響,甚至可將此影 響降至最低。換言之,絕熱間隙470可將溫度控制流體所須 加熱或冷卻之熱質大體侷限於防護加熱器462、472之熱質 。如此一來吾人便可控制加工流體之溫度,使其大體上不 同於外殼420、430之溫度。 •以上所說明及圖示之加熱/冷卻設計雖為流體流動式,但 除卻以流體加熱外,亦可併用或改用其他可加熱/冷卻防護 加熱器462、472方法。例如可在防護加熱器462、472中設 置電阻線圈(例如其設計可將熱能直接輻射至晶圓)。 參見圖1 8,圖中顯示一根據本發明替代具體實例之壓力 室總成400A。總成400A與總成400唯一不同處在於前者之 防護加熱器總成460A包括絕熱層471及473,並以此取代絕 熱間隙470。防護加熱器462、472可分別固定於絕熱層471 、473,絕熱層471、473則分別固定於外殼420、430。 -45- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝
線 546801 A7 —_ B7 五、發明説明(43—) ~ 熱層471、473可以結晶形氟聚合物製成,例如pcTFE(聚 氣三氟乙烯)、pTFE(聚四氟乙烯)、或pVF2(聚偏二氟乙烯) 。絕熱層471、473最好係由塊狀PTFE、新PTFE、或以玻璃 充填之PTFE製成。絕熱層47 1、473可為蜂巢狀、開孔氣、、包 狀、或具有其他構造或型態以增進其絕熱效能。 防護加熱器總成460及460A最好可使壓力室41〇内之溫度 約在〇°C至90°C之範圍内。防護加熱器總成460及460A最好 可以每秒至少500焦耳之最大速率為壓力室41〇内之氣體環 境供應熱能。 之密封用系欲 用以構成壓力室410之外殼420及430亦構成一流體茂漏路 徑3(圖15),其位於壓力室410直接或間接通往一外部區域7 (例如周圍大氣)之介面。密封用系統450可完全或局部防止 流體沿流體洩漏路徑3流動。 詳見圖15,密封用系統450包括一Ο形環452、一環狀之杯 形(或倒V字形)封454、一環形彈簧456、及一環形扣環458 。密封用元件452與454之組合可改良壓力室密封之有效性 及耐用性,容後述。 扣環458係固定於凸緣424,且在凹口 425下方沿徑向(朝凸 緣434)向外伸出。扣環458可以不銹鋼或其他適當材料製成 。扣環45 8可以任一種適當之方法(例如以螺紋緊固件)固定 方t凸緣424。 杯形封454如圖16及圖17所示。「杯形封」在本文中係指 任一種具有一凹面部分之自添力密封用元件,且拫據其構 -46 - 本紙張瓦度適用中圏國家標準(CNS) 乂4規格公爱) 546801 A7 B7___ 五、發明説明(44 ) 造,當該密纣用元件之凹面部分所受壓力增加時(例如由位 於該密封用元件凹面側之一室之壓力增加所造成),該密封 用元件之内部壓力將因而升高並向外施力(例如施力於並抵 住一用以構成該壓力室之壓力容器其與該密封用元件相鄰 之表面),因而形成一密封用元件。杯形封454包括一環形 内壁454B,該内壁係沿一環形折線454C接合一環形外壁 4 5 4A,並在其中形成一環形渠道454D。 杯形封454最好係由一具有可撓性之彈性材料一體成形。 用以形成杯形封454之材料最好可曝露在密相C〇2中而不致 膨脹及受損。適當之材料包括氟化聚合物及彈性體,例如 ·· PTFE(DuPont 之 Teflon®);經充填之PTFE ; PTFE共聚合 物及其類似物,例如FEP(氟化乙烯/丙烯共聚合物);Teflon AF ;氯三氟乙烯(CTFE);其他高穩定塑膠,例如聚(乙烯) 、UHMWPE(超高分子量聚(乙烯))、聚丙烯(PP)、聚氯乙烯 (PVC)、丙烯酸系聚合物、醯胺聚合物;及多種彈性體,例 如氯丁橡膠、Buna-N、及以表氯醇為基底之彈性體。適當 之密封用材料可購自 PSI Pressure Seals Inc.,310 Nutmeg Road South,South Windsor,CT 06074。 若欲將杯形封454固定於凸緣424,可將内壁454B與折線 45 4C至少其中之一(最好將其兩者)附著於凸緣424及/或扣環 4 58與其相鄰之部分。舉例而言,内壁4543、454(:可以黏著 劑固定於凸緣424。杯形封454之位置最好係由扣環45 8加以 固定,且不使用黏著劑或其類似物。 彈簧456可岛任一種可反覆且確實偏置外壁454A、使其遠 -47- 本紙張尺度適用中國國家標參(CNS) A4規格(210X297公釐) 裝 訂
線 546801 A7 B7 五、發明説明(45 ) 離内壁454B(亦即沿徑向外移)之適當彈簧。當外殼420、 430分離時,彈簧456最好可沿徑向向外偏置杯形封454,使 其超出凸緣424(參見圖9)。彈簧456最好係一繞線彈簧或一 懸臂式彈簧,其形狀類似但小於杯形封454,且係疊套於杯 形封454之内部。彈簧456最好係由彈簧等級之不銹鋼製成 。彈簧456可與杯形封454—體成形。吾人除設置彈簧456外 ,一併行或替代之作法係令杯形封454本身即具有一可將壁 454A、454B撐開之偏置力。此外亦可省略彈簧456,而杯 形封454本身亦可不具有偏置力。 〇形環452係設於槽435内。Ο形環452最好係以緊度接合之 方式固定於槽435中。該Ο形環係由一可變形之彈性材料製 成。0形環452最好係由一彈性體材料製成。若〇形環452係 由bunna-n或氯丁橡膠製成則更佳,若以乙烯一丙婦一二烯 橡膠(EDPM)製成則最佳。0形環452之尺寸需加以設計,使 〇形環452在未載重之狀態下(亦即外殼420、430分離時,參 見圖9)係局部突出於鄰接面436上方。 當外殼420、430關閉時,杯形封454係夾於凸緣424與434 之間,如圖8與圖15所示。彈簧456將偏置壁454A及454B, 使其分別抵住壁434A及425 A。若提高室410之壓力,使其 大於周圍壓力,渠道454D所受之壓力將迫使壁454A及454B 分離,並分別與壁434A及425A形成更緊密、更密封之接合。 如此一來,杯形封454便成為一牢固之主要密封用元件, 可防止室4 1 0内之流體沿流體洩漏路徑3流至0形環452或大 幅減少此一現象,使Ο形環452不需曝曬在有可能造成損害 -48- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
線 546801 A7 B7 五、發明説明(46 ) 之加工流體中。此種對〇形環452之保護可大幅延長〇形環 4 52之使用壽命,若加工流體包括高壓C〇2則更是如此。因 此,密封用糸統450將有助於形成一高產出之晶圓製造系統 ,且其中密封用元件之使用壽命較長。 值得注意的是,當吾人提南室410之壓力時,此内部壓力 將使外殼420、430略為分離,導致〇形環452未達密封所需 之載重狀態。但由於杯形封454可發揮主要密封用元件之功 能,故仍不失為一牢固之密封設計。但若杯形封454局部或 完全故障,〇形環452則將發揮功能,防止加工流體汽漏至 環境中或減少其洩漏量。根據某些具體實例,總成400可作 適當調整,使0形環452可在室410到達或超過一選定壓力時 ,容許流體沿流體洩漏路徑3流出,以免增加該〇形環所受 之壓力,並防止具損害性之加工流體(例如C〇2)長期接觸該 〇形環。 當室410内之流體處於大氣壓力或真空狀態時,杯形封 4 54之密封有效性往往因而降低(但彈簧456之偏置力仍可發 揮些許密封之功能)。在此狀況下,Ο形環452便成為主要密 封元件,可防止大氣中之流體經由流體洩漏路徑3進入室 410中。值付注意的是’大氣中之流體(基本上為空氣)通常 不含高濃度之CO:、或其他會對〇形環材料造成不當損害之 組份。 如圖所示’ Ο形環4 5 2之密封設計最好採對頭式設計,如 此一來便無可滑動之構件。杯形封454之壓力添力機構則容 許吾人使用偏置力較小之彈簧456。本發明之該等特點有助 -49- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) """ ------------ 裝 訂
線 546801 A7 B7 五 、發明說明~ -----〜一 所有對晶圓5有害之微粒之產生率。杯形封454在壓 力至〜成内亦可改採其他方向、 ^认於其他位置。吾人可 二體洩漏珞徑中連續設置兩個以上之杯形封“斗。 ° ^可由4文之說明得知,—杯形封與-彈性體〇形環密 、7兀件之合可克服某些與C02容器高壓密封設計有關之 σ題,但若H蜀使用一彈性體〇形環密封用元件或一杯形封 =無法解決该等問題。特定言之,若令彈性體〇形環曝露在 r~7 C C〇2中然後快速減壓,該彈性體〇形環之使用壽命多不 長久〃作為藍力始、封用元件之杯形封基本上需使用一強預 =彈簧方可使該容器以真空狀態供人使用。該種強預力可 月匕產生較大之摩擦及磨耗,進而產生具損害性/污染性之微 粒。根據本發明,若需利用彈性體〇形環在室内形成真空, «亥彈性體〇形環可由外部添力(從外部壓縮)。 羞圓固待總成 參見圖1 9至圖22,圖中顯示一根據本發明其他具體實例 之邮圓固持總成520。總成520可在一壓力室總成4〇〇B(圖 1 9)中取代夾盤5 1 〇,該壓力室總成除此之外均可對應於壓 力室總成400。晶圓固持總成520包括一基材固持器或平台 或夾盤522,且可利用夾盤522旋轉所產生之一壓差將晶圓 固定於夾盤522上,下文將有更詳細之說明。 夾盤522具有一前表面524及一位置相對之後表面528。複 數片(圖中共八片)動輪葉529係由後表面528向後伸出,並沿 一中央轉動軸E-E之徑向延伸(圖19)。複數條(圖中共四條) 通道526A係白後表面528貫穿夾盤522並通往前表面524上之 -50- 本紙張尺度適用中國國家摞準(CNS) A4規格(210X 297公釐) 五、發明説明(48 ) 一環形渠道526B。複數條(圖中共十六條)渠道526C係由渠 道526B沿徑向向外延伸,並與渠道526B形成流體連通。亦 可增設與渠道526C形成流體連通之環形渠道(未圖示)。 如圖19所示,夾盤522係安裝於一從動軸53〇上,俾隨該 軸繞轉動軸E-E旋轉。當夾盤522旋轉時,動輪葉529將推動 或迫使「後表面528」與「壓力室410中與該後表面相鄰且 相對之表面4 1 2」間之流體沿徑向(沿方向ρ)向外(朝夾盤5 2 2 之外周邊)移動。如此一來便在夾盤522下方、夾盤522之内 部區域(亦即最靠近軸E-E之區域)與該夾盤之外部區域間產 生一壓差。更特定吕之,該中央區域之壓力(包括通道526 A 下開口之壓力)將小於夾盤522外緣之壓力,亦小於晶圓5於 夾盤522相反面所受室410内之壓力。因此,在晶圓5頂面所 受之流體壓力與渠道526B、526C内之流體壓力間將形成一 壓差。 當夾盤522及晶圓5旋轉時,晶圓5即以上述方式固定於夾 盤522。吾人可設置補助性之固持構件,俾在開始旋轉前、 或在不需旋轉之加工步驟中,將晶圓5固定於夾盤5 22上, 並/或提供額外之固定效杲。舉例而言,該等補助性構件可 包括黏著劑、夾具、及/或一外生壓差總成(如後述之晶圓固 持總成550)。 參見圖2 3至圖2 5,圖中顯示一根據本發明其他具體實例 之晶圓固持系統5 5 1。系統5 5 1包括一晶圓固持總成5 5 0,且 可在一壓力室總成400C(圖23)中取代夾盤510,該壓力室總 成除此之外均可對應於壓力室總成400(為求圖面清晰,總 -51 - 本紙張尺度適用中國國家標率(CNS) A4規格(210X297公釐) 546801 A7 _ _B7 五、發明説明(49 ) 成400C之某些元件在圖中並未顯示)。總成4〇〇c尚具有一磁 力驅動總成5 8 0。 晶圓固持總成550包括一基材固持器或平台或夾盤552, 且可利用「壓力室410内之壓力」與「一出口 564之壓力」 間之一壓差將晶圓5固定於夾盤552上,以下將有更詳細之 說明。磁力驅動總成580可驅動夾盤552,使其相對於壓力 室410而移動,但吾人所密封之位置並不需正好在相對移動 之元件(亦即一軸560與外殼430)間。應瞭解,晶圓固持系統 5 5 1可搭配其他驅動設計,磁力驅動總成58〇亦可搭配其他 晶圓固持器機構。 以下將詳細說明磁力驅動總成580。總成580包括一上外 设585及一下外殼584。上外殼585之上端係容納於外殼430 中’且兩者問形成氣密密封(例如可使用一適當之密封用構 件’例如氣密墊圈)。軸560穿過外殼585,且上、下軸承 586及588係以可轉動之方式將該軸安裝於該外殼上。在軸 560與外殼元件585間設有一密封用元件561。密封用元件 5 6 1最好係一非接觸式密封用元件。密封用元件56 1若為一 間隙式密封用元件(若能形成一間隙G ,其寬度約在0.001與 0 · 002英吁之間則更佳)或一曲徑軸封則更佳。密封用元件 561亦可為一唇形密封用元件或一機械式密封用元件。 一内部磁石固持器590係安裝於軸560之下端,俾隨之轉 動;且具有一内磁石Ml ,其安裝於該内部磁石固持器之一 外部。内部磁石載具Wo係位於下外殼元件584中。〆壓力 盍596可包圍内部磁石載具590,並與下外殼元件584之下端 -52-
546801 五、發明説明
形成氣密密封(例如可使用一適當之密封用構件,例如氣密 墊圈)。如此一來,壓力蓋596與上外殼元件585便共同形成 一氣密貯器,可容納從a力室41()進人上外殼元件如之流 體。 一驅動單元582係安裝於外殼元件584上。驅動單元可 為任-種適當之驅動元件,例如以液力驅動之單元,若為 以電力驅動之單元則更佳。驅動單元582可轉動一伸入外殼 兀件584内之軔594。一外部磁石固持器592係安裝於軸5料 上,俾隨之轉動。外部磁石固持器592係位於外殼元件5料 内,但壓力蓋596可使該外部磁石固持器在機械及流體方面 均與内部磁石固持器590及壓力室41〇隔離。一外磁石闕系 安裝於外部磁石固持器592上,俾隨之轉動。 /磁石Ml與M2之構造、設置方式、及型態均形成特定之關 係,致使彼此以磁力相連接。因此,磁石M1、可以間 接之機械方式連接外部磁石固持器592與内部磁石固持器 5 90,並藉以連接軸594與軸56〇 ,使吾人操作驅動單元582 即可轉動夾盤522。 磁力驅動總成580可為任一種適當之驅動總成,並依本文 所述之方式作適當之修改。適當之磁力驅動總成包括bmd 150,可購自瑞士烏斯特市(Uster)之別Α(}。此外亦可使 用他種以非機械方式進行連接之驅動單元。 詳見圖24及圖25 ,夾盤522具有一前表面554。一埋頭通 道556B則貫穿失盤552。複數條渠道526八係由通道”佔沿 徑向向外延伸,並與該通道形成流體連通。可另設與渠道 -53-
546801 A7 _B7 五、發明説明(51 ) 5 2 6入形成流體連通之環形渠道(未圖示)。 如圖23所示’夾盤552係以一螺帽558安裝於從動軸560上 ,俾隨軸560繞一轉動軸F-F旋轉。軸560具有一沿軸向延伸 且穿過該軸之連接通道562。螺帽558具有一中央開口,其 可容許通道562與通道556B形成流體連通。一通道563係沿 徑向穿過軸560 ’並使通道562與第二室565形成流體連通, 該第二室係形成於外殼5 8 5與軸5 6 0之間。密封用元件5 6 1最 好係一非接觸式密封用元件(例如一間隙式密封用元件或一 曲徑軸封)’其可形成一受限之流體通道,供流體在壓力室 410與第二室565間流動。 外殼元件58 5上之一出口 564可使第二室565與一管線L4〇 形成流體連通。一管線L41具有一閥V30,且可使一流量限 制器566及一儲存槽568與管線L40形成流體連通。流量限制 器566可為一節流孔口、或一適當之局部封閉閥(例如一針 閥),其可在吾人之控制下限制通過該閥之流量。一管線 L42具有一閥V3 1,且可使一流體輸送元件f>2〇(如真空泵)與 管線L40形成流體連通。 吾人可以下列方式使用系統551,俾將晶圓5固定於夾盤 552。吾人可在儲存槽568内提供一壓力,並使其低於壓力 室410在一般加工條件下、其室内氣體環境之壓力。吾人可 在加工過程中開啟閥V30,使第二室565與儲存槽形成流 體連通,此時該槽之作用係一被動低壓源(亦即不以泵、壓 縮機、或其類似物產生壓力或真空)。如此一來將使室565 内之壓力(連平使彳于與該室形成流體連通之渠道5 %人内之壓 -54- 本紙張尺度適用中國g"i^(CNS) Α4規格(2ι〇 χ 297公釐)-~—- 546801 A7 ___ __ B7 五、發明説明(52 ) 力)小於壓力室410内之壓力,因而在晶圓5之上表面與晶圓 5之背面間形成一壓差,導致晶圓5被下拉(沿方向D)至夾盤 552 上。 ⑽i限制器5 6 6可限制流體從第二室5 6 5流入儲存槽5 6 8之 流里’使流體以吾人所控制之方式沒漏。此種控制泡漏之 作法可確保晶圓5兩面間之壓差足以將晶圓固定於定位,但 不致過度消耗壓力室41 〇内之流體。 儲存槽568之壓力最好大於大氣壓力,但小於壓力室41〇 在預定作業中之壓力。健存槽568可容許吾人清潔、再循環 、或以其他方式處置壓力室410内所抽出之氣體。 或者亦可省略或繞過儲存槽568,使管線L41可在閥V30開 啟之狀態下直通大氣。 當壓力室410内氣體環境之壓力等於或小於被動低壓源(亦 即儲存槽568或周圍大氣)之壓力時,吾人便可操作流體輸 送元件P20以降低室565内之壓力,使其小於壓力室410内之 壓力,以便在晶圓5之兩面間產生大小符合吾人所需之壓差 。在此情況下需關閉閥V30並開啟閥V3 1。 系統551最好能在吾人之操作下,使渠道556A内產生一至 少比壓力室410内之壓力小1 pSi之壓力,若比壓力室410内 之壓力小約·5至20 psi則更佳。 旋轉式喷灑元件 前述之噴灑元件190及後述之噴灑元件602、652均可提供 位置分散之入口,俾將加工流體直接送至晶圓表面。此外 ’該等噴灑元件亦可提供該等流體之分布流,其中更包含 -55- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂
線 546801 A7 B7 五、發明説明(53 ) 來自流體/表面撞擊之機械作用。此機械作用大致上係來自 喷灑元件所送出之流體流之動量。 吾人可透過噴灑元件之設計(例如包括噴口之數量、間距 、及大小),對能量傳遞/機械作用之運用方式作選擇性之控 带]。此外,若令晶圓同時旋轉則可在流體與晶圓表面間產 生剪力(動量),促進表面物質之移除。 參見圖26,圖中顯示一根據本發明其他具體實例之壓力 室總成400D。總成400D(為求圖面清晰,其某些特點在圖26 中並未顯示)可與總成400相同,唯前者設有一旋轉式噴灑 元件總成60 0(舉例而言)。總成400D可包括一以轉動方式驅 動之晶圓固持器5 1 0,另一作法係令晶圓5保持固定不動。 喷灑元件總成600可搭配前述任一種壓力室總成。值得注意 的是,即使不使用旋轉式晶圓固持器,噴灑元件總成600亦 可使-喷灑元件與一晶圓相對轉動。 噴灑元件總成600包括一喷灑元件602(亦可見於圖27及圖 2 8)。噴灑元件602包括一軸部分610及桿狀分布部分620。 一軸向通道61 2係延伸自一上開口 614、穿過部分610、並與 部分620中之一橫向通道622形成流體連通。一系列喷口 624 係由通道62 2延伸至分布部分620之底部外緣。喷灑元件602 可由-具有高氧化穩定性之材料製成,例如3 16不銹鋼。 一軸承630係固定於外殼420之一通道427内,致使軸承 630之一凸緣632係容納於通道427之一加大部分427A中。軸 承630最好係圖示之套筒式軸承。軸承630可以PTFE、聚乙 缔(PE)、或聚醚醚酮(PEEK)製成。轴承63 0最好係由PTFE製 -56- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
546801 A7 ______B7____ 五、發明説明(54 ) 成。 軸部分612穿過軸承630且具有一凸緣616,其覆於凸緣 632上。一末端蓋640係以螺紋(舉例而言)穩固安裝於外殼 420之部分427A内,且位於凸緣616之上方。末端蓋640最好 可與外殼420形成氣壓緊密密封。 末端蓋640可接收一加工流體供應源(例如來自一供應管線 9),使加工流體流經一通道642,然後進入通道612。該流 體可繼續流人通道622,然後由噴口 624送出。 參見圖27及圖28,噴口 624係與噴灑元件602之預定轉動 軸N-N(參見圖28)形成某一角度。喷口 624之位置最好傾斜 一角度M(參見圖28),且該角度約在〇與85之間,若約在30 與60之間則更佳。噴口 624之傾斜方向係與預定轉動之方向 R(圖27)相反。 使用時,流體離開噴口 624所產生之反作用力(亦即液力推 進力)將使嘴灑元件602在車由承630内繞軸N-N旋轉。值得注 意的是,由於軸承630係安裝於壓力室410之内部(亦即在高 壓區域内),並由末端蓋640將其與周圍壓力隔離,因此, 該軸承並不需承受其兩端間一實質壓力降所產生之載重。 除以液力驅使喷灑元件6 0 2旋轉外,一替代或併行之作法 係將噴灑元件602連接至一驅動單元。該噴麗元件可以直接 或間接之機械方式連接至該驅動單元(例如採用一軸承/密封 用元件/驅動單元之構造),或以非機械方式連接(例如使用 電磁耦合或磁性耦合(其中磁性可為永久磁性、電力驅動之 磁性、或感應驅動之磁性)之連接力)。或可令部分咬所有噴 -57- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 一 --- 546801 五 發明説明(55 口 624之方向平行於轉動軸ν·ν。 吾人亦可利用一根據本發明其 取代«元件_,並搭配上述任—種體灑元件652 灑元件652具有一耗部分660, 特點。噴 埋处八士如、 彳訂避%贺,麗兀件602,唯 62㈣由—盤狀或碟狀分布部分67〇所取代, '刀布部分670具有一由喷口 674所形成之圖$。吾人可修 改该由喷口 674所形成之圖型。 少 之上所說明、及如後附申請專利範圍所表示 非:二::夕項發明亦可用於其他作業,且該等作業並 非先刖以車父佳具體實例為參照對象而詳加說明之作業。舉 例而言,用以將-晶圓固定於—夹盤之構件及方法亦可^ =其他類型之作業(例如與叫或晶圓製造無關之作幻以固 定他種基材。供應/回收系統300及其次系統亦可用於其他 需使用内含c〇2之加m统及作業,例如使用c〇2之 化學機械研磨(CMP)系統。 以上係本發明之示範說明,不應將其視為對本發明之限 制。本文雖已說明本發明之若干示範用具體實例,但熟習 此項技藝之人士即可瞭解,該等示範用具體實例可以多種 方式修改但在貝質上仍不脫離本發明之新穎原理及優 。因此,所有該等修改均屬本發明之範圍。應瞭解,以 係本發明之示範說明,不應將其視為僅限於本文所揭示 特定具體實例,此外,針對該等具體實例所作之修改及 他具體實例均包含在本發明之範圍中。 裝 訂 線 點 上 之 其 -58- 本紙張尺度適财S @家標準(CNS) A4規格(_X 297公釐)

Claims (1)

  1. 546801 A8 B8 C8 D8 申請專利範圍 • 一種壓力室總成,包括·· 昀一壓力容器,其構成一封閉室;及 b) —防護加熱器總成,其包括一防護加熱器,該防 °蒦加熱器係位於該室内,且介於該壓力容器之一包圍部 分與一容納空間之間; C)其中該防護加熱器可控制該容納空間之溫度;且 d)其中該防護加熱器與該壓力容器之包圍部分無法 相互傳熱。 2 •如申請專利範圍第1項之壓力室總成,其中該防護加熱 器與該壓力容器之包圍部分間形成一絕熱間隙。 ,如申請專利範圍第2項之壓力室總成,其中該絕熱間隙 之寬度至少為0.1公厘。 4·=申請專利範圍第1項之壓力室總成,其中該防護加熱 器總成包括一層絕熱材料,其位於該防護加熱器與該壓 力容器之包圍部分間。 如申%專利範圍第4項之壓力室總成,其中該層絕熱材 料係固定於該防護加熱器。 6. 如申請專利範圍第4項之壓力室總成,其中該層絕熱材 料之厚度至少為0.1公厘。 7. 如申請專利範圍第1項之壓力室總成,其中: a) 该防護加熱器總成尚包括一第二防護加熱器,其 位於該室内,且介於該壓力容器之一第二包圍部份與該 容納空間之間; b) 該第二防護加熱器可控制該容納空間之溫度;且 -59- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
    8. 9. 園範利 專 請 中 ABCD 無法二;防護加熱器與該壓力容器之第二包圍部分 ”斑::::。第7項之恩力室總成,其中第二防護加 ;該壓力…第二包圍部分間形成一第二絕熱間 ::::利範圍第7項之壓力室總成,其t該防護加熱 :厂'I括一層絕熱材料’其位於該第二防護加執5|血 «力容器之第二包圍部分間。 ·、、、益與 =請專利範圍第1項之壓力室總成,其中該防護加熱 =〜成包括一溫度控制構件,其可控制該防護加熱器之 溫度。 如申請專利範圍第1〇項之壓力室總成,其中該溫度控制 構件包括·至少一條渠道,其形成於該防護加熱器中; 及溫度控制流體,其循環流動於該至少一條渠道中。 12·如申請專利範圍第10項之壓力室總成,其中該溫度控制 構件包括一設於該防護加熱器内之電阻線圈。 如申請專利範圍第1項之壓力室總成,包括一安裝於該 防護加熱器之流體噴灑桿。 如申請專利範圍第1項之壓力室總成,包括一設於該容 納空間内之基材固持器。 如申請專利範圍第14項之壓力室總成,包括一用以轉動 該基材固持器之構件。 16 ·如申請專利範圍第丨5項之壓力室總成,其中該用以轉動 基材固持器之構件包括一穿過該防護加熱器之軸。 10 11 13 14. 15 -60- 本紙張尺度適用中國國家標準(CNS) a4規格(210 x 297公釐) 546801 A8 B8 C8 D8 々、申請專利範園 1 7 .如申請專利範圍第14項之壓力室總成,包括一安裝於該 基材固持器上之微電子晶圓。 -61 - 本紙張尺度適用中國國家標卑(CNS) A4規格(210 X 297公釐)
TW091111055A 2001-09-13 2002-05-24 Guard heater and pressure chamber assembly including the same TW546801B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/951,345 US20030047551A1 (en) 2001-09-13 2001-09-13 Guard heater and pressure chamber assembly including the same

Publications (1)

Publication Number Publication Date
TW546801B true TW546801B (en) 2003-08-11

Family

ID=25491580

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091111055A TW546801B (en) 2001-09-13 2002-05-24 Guard heater and pressure chamber assembly including the same

Country Status (3)

Country Link
US (1) US20030047551A1 (zh)
TW (1) TW546801B (zh)
WO (1) WO2003023828A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101121938B1 (ko) * 2003-03-31 2012-03-14 램 리써치 코포레이션 웨이퍼 처리를 위한 챔버 및 관련 방법
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7153388B2 (en) * 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7392815B2 (en) * 2003-03-31 2008-07-01 Lam Research Corporation Chamber for wafer cleaning and method for making the same
CN102110634B (zh) * 2010-11-22 2012-04-11 沈阳芯源微电子设备有限公司 可旋转加热的吸附装置
JP6238094B1 (ja) * 2016-11-21 2017-11-29 日新イオン機器株式会社 半導体製造装置、基板支持装置の冷却方法
KR102358561B1 (ko) * 2017-06-08 2022-02-04 삼성전자주식회사 기판 처리 장치 및 집적회로 소자 제조 장치
US11437261B2 (en) * 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
WO2020123069A1 (en) * 2018-12-11 2020-06-18 Applied Materials, Inc. Cryogenic electrostatic chuck
US11373893B2 (en) * 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03145130A (ja) * 1989-10-17 1991-06-20 Applied Materials Inc 物体表面から汚染粒子を除去する装置及び方法
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
US5857368A (en) * 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion

Also Published As

Publication number Publication date
WO2003023828A1 (en) 2003-03-20
US20030047551A1 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
TW582070B (en) Methods and apparatus for holding a substrate in a pressure chamber
TW564497B (en) Methods and apparatus for cleaning and/or treating a substrate using CO2
TW554390B (en) Sealing system and pressure chamber assembly including the same
TWI222127B (en) Pressure chamber assembly including drive means
TW579302B (en) Process chamber assembly, spray member and method for using the same
TW546801B (en) Guard heater and pressure chamber assembly including the same
JP5644219B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
CN102479671A (zh) 基板处理装置和基板处理方法
JP2008541479A (ja) 極性流体を表面から超臨界流体を用いて除去する方式
KR102327925B1 (ko) 분리 재생 장치 및 기판 처리 장치
KR102253559B1 (ko) 분리 재생 장치 및 기판 처리 장치
JP2011249454A (ja) 超臨界乾燥方法
JP2008066495A (ja) 高圧処理装置および高圧処理方法
TW201021101A (en) Method and apparatus for cleaning semiconductor device fabrication equipment using supercritical fluids
KR102515859B1 (ko) 기판 처리 방법, 기판 처리 장치 및 기억 매체
JP5641374B2 (ja) 基板処理装置
WO2004001830A1 (ja) 基板処理装置
KR100862228B1 (ko) 촉진제 혼합 장치 및 이를 갖는 기판 세정 장치
JP6668166B2 (ja) フッ素含有有機溶剤の回収装置および基板処理装置
JP2016066685A (ja) 基板処理装置