TW544767B - Manufacturing method of attenuate-rim PSM - Google Patents

Manufacturing method of attenuate-rim PSM Download PDF

Info

Publication number
TW544767B
TW544767B TW91117361A TW91117361A TW544767B TW 544767 B TW544767 B TW 544767B TW 91117361 A TW91117361 A TW 91117361A TW 91117361 A TW91117361 A TW 91117361A TW 544767 B TW544767 B TW 544767B
Authority
TW
Taiwan
Prior art keywords
layer
phase shift
mask
light
manufacturing
Prior art date
Application number
TW91117361A
Other languages
Chinese (zh)
Inventor
Ching-Yueh Chen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW91117361A priority Critical patent/TW544767B/en
Application granted granted Critical
Publication of TW544767B publication Critical patent/TW544767B/en

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

This invention discloses a manufacturing method of attenuate-rim PSM. Firstly, a light-pervious substrate is provided, which has a phase shift layer, and a mask layer. Then, the mask layer is patterned to expose the surface of the phase shift layer. Subsequently, the phase shift layer is etched isotropically to form a reversed T shape trench in the mask layer and the phase shift layer. a photoresist layer is formed globally to fill up the reversed T shape trench. A development process is carried out using the mask layer as a mask to remove part of the photoresist layer and leave only the photoresist layer beneath the mask layer. The light-pervious substrate is etched to a specific thickness forming a light-previous region by using the patterned mask layer and the residual photoresist layer as masks. Finally, the residual photoresist layer and the mask layer are removed.

Description

544767544767

本發明係有關於一種相位移光罩的製造方法,且特別 是有關於一種邊緣減光複合式相位移光罩的製造方法。' 發明領域: 相關技術說明:The present invention relates to a method for manufacturing a phase shift photomask, and in particular, to a method for manufacturing a phase-difference composite phase shift photomask. '' Field of invention: Related technical description:

在微影成像技術中,由於積體電路尺寸不斷地縮小, 造成對圖形曝光精度的要求也愈來愈高。但是尺寸的縮小 會使得在使用光罩進行曝光時產生光學鄰近效應,破壞圖 形之精確度。因此,許許多多的技術被提出以改進成像之 精確度。其中一個被廣為使用之技術是相位移光罩(phase shift mask ;PSM)之應用。隨著細線化需求日益殷切,相 位移光罩可使用原有機台,且不改變製程,而達到改進解 像度與增加聚焦深度的目的。相位移光罩除了可是用於! 一 線微影、深紫外光微影外,亦可是用於極短紫外光微影, 甚至可適用於X-光微影。In the lithography imaging technology, as the size of the integrated circuit is continuously reduced, the requirements for the accuracy of the pattern exposure are also getting higher and higher. However, the reduction in size will cause an optical proximity effect when using a mask for exposure, destroying the accuracy of the pattern. Therefore, many techniques have been proposed to improve the accuracy of imaging. One of the widely used technologies is the application of phase shift mask (PSM). With the increasing demand for thinning, the phase shift mask can use the original organic stage without changing the process to achieve the purpose of improving the resolution and increasing the depth of focus. In addition to phase shift masks! In addition to front-line lithography and deep UV lithography, it can also be used for very short UV lithography and even X-ray lithography.

由於相位移光罩可以有效地減緩光學鄰近效應帶來的 負面影響,所以其本身也因不斷的改進而產生許多不同種 類的相位移光罩。大致可分為下列幾種類型:交錯型相位 移光罩(alternate PSM)、唯相移層相位移光罩(shifter only PSM)、邊緣型相位移光罩(rim pSM)、外框型相位移 光罩(outrigger PSM)、減光型相位移光罩(attenuated PSM)以及邊緣減光複合式相位移光罩(attenuat e_r & PSM)。其中,邊緣減光複合式相位移光罩結合了減光型光 罩與邊緣行光罩的優點’減光區可以藉由干涉作用消除距 離中央極大光較遠之2或3級以上的繞射。Because phase shift masks can effectively mitigate the negative effects of optical proximity effects, many different types of phase shift masks have also been generated by continuous improvement. It can be roughly divided into the following types: alternate phase shift mask (alternate PSM), phase shift layer only phase shift mask (shifter only PSM), edge type phase shift mask (rim pSM), frame-type phase shift Photomask (outrigger PSM), light reduction phase shift mask (attenuated PSM), and edge light reduction composite phase shift mask (attenuat e_r & PSM). Among them, the edge dimming composite phase shift photomask combines the advantages of the light dimming photomask and the edge line photomask. The light dimming area can eliminate diffraction of 2 or 3 levels farther from the central maximum light by interference. .

0503-7730TWFl;TSMC200M752,1753;felicia.ptc 第4頁 544767 ___案號91117361_年月日 鉻不 五、發明說明(2) 分別配合第1 A圖與第1 B圖,以顯示習知邊緣減光複合 式相位移光罩之俯視圖與剖面圖。編號20係為一透光基 底’設置於透光基底2 0表面的則為一相位移層2 2。而溝槽 I係為一透光區,標號S則為一邊緣相位移區。第1 c圖玎説 明顯示其曝光後,光罩空間與電場振幅強度關係之示意 圖。透光區I的振幅強度最強’而相位移層2 2的透光度較 低且才目角係為1 8 〇度,置於邊緣相位移區s則係振幅強度略 強且彳目角1 80度。後續在晶圓上所得到電場振幅強度與光 強度分別如第1 D圖與第1 E圖所示。透過邊緣減光複合式相 位移光罩可將透光區在晶圓上的曝光集中,縮小其線寬。 以下配合第2 A圖至第2 Η圖說明傳統之邊緣減光複合式 相位移光罩的製造方法之一。 首先,請參照第2 Α圖,提供一材質例如為石英 (quartz)之透光基底1〇〇,其透光率約為1〇〇 % 。以適當沈 積程序,例如:化學氣相沈積(chemical vap〇r depos 1 ti〇n ; CVD),依序形成一相位移層丨〇2與一罩幕層 104於、、上^述基底1〇〇表面。其中,上述相位移層1〇2之材質 例如為氮氧化鉬矽(MoSiON),其透光率通常約為6% 。習 去口光罩常以金屬鉻(Cr),做為定義光罩圖案之遮光層,因 ^超為製程方便起見,在此上述罩幕層1〇4之材質可採用 金屬銘,其透光率通常約為〇 % 。 接著,請參照第2B圖,例如以旋塗法(spin c〇ating) 二ϊ 阻於上述罩幕層1〇4表面,在進行適當的微影、 顯衫程序,形成一圖案化第—光阻1〇6。0503-7730TWFl; TSMC200M752,1753; felicia.ptc Page 4 544767 ___ Case No. 91117361_ Year, month, day, day, day, day, day, month, month, month, month, month, month, month, month, five, day, day, month, month, month, month, month, month, day, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, month, five, description of the invention. Top view and cross-sectional view of a light reduction composite phase shift mask. The reference number 20 is a light-transmitting substrate, and a phase shift layer 22 is provided on the surface of the light-transmitting substrate 20. The trench I is a light-transmitting area, and the reference S is an edge phase displacement area. Figure 1c shows a schematic diagram showing the relationship between the mask space and the amplitude intensity of the electric field after exposure. The amplitude intensity of the light-transmitting region I is the strongest ', while the light-transmittance of the phase-shift layer 22 is low and the eye angle system is 180 degrees, and the amplitude of the phase-shift region s is slightly stronger and the eye angle 1 80 degrees. The subsequent electric field amplitude and light intensity obtained on the wafer are shown in Figures 1 D and 1 E, respectively. The edge-dimming composite phase shift mask can focus the exposure of the transparent area on the wafer and reduce its line width. The following describes one of the manufacturing methods of the conventional edge-light-reduction composite phase shift photomask with reference to FIGS. 2A to 2D. First, referring to FIG. 2A, a light-transmitting substrate 100 made of, for example, quartz is provided, and its light transmittance is about 100%. With a suitable deposition procedure, for example: chemical vapor deposition (chemical vapor deposition 1 CVD; CVD), a phase shift layer and a mask layer 104 are sequentially formed on the substrate 1 above. 〇 surface. The material of the phase shift layer 102 is, for example, molybdenum silicon oxynitride (MoSiON), and its light transmittance is usually about 6%. Xikou masks often use metal chromium (Cr) as the light-shielding layer to define the mask pattern. Because the process is more convenient, the material of the mask layer 104 above can be a metal inscription. The luminosity is usually about 0%. Next, please refer to FIG. 2B. For example, a spin coating method is used to block the surface of the mask layer 104, and a patterning process is performed after performing appropriate lithography and shirt display procedures. Resistance 106.

請參照第2C圖^上述圖案化第一 #阻1〇6為 0503-7730TWFl;TSMC200i-i752,1753;felicia.ptc 第5頁 544767 案號 91117361 五、發明説明(3)Please refer to Figure 2C ^ The above patterned first #Resistance 10 is 0503-7730TWFl; TSMC200i-i752,1753; felicia.ptc Page 5 544767 Case number 91117361 V. Description of the invention (3)

罩幕,餘刻上述罩幕層1 〇 4虚μ、+、, 早夢曰4興上述相位移層102 ,直到露出 上述透光基底100表面為止,形成一溝槽3〇〇。 接著,請參照第2D圖,以楠者和广丄人 M週當程序去除上述圖案化第 一光阻106之後,例如以旋塗法(spin c〇ating)形成一第 二光阻108,以填滿上述溝槽3〇〇以及覆蓋於上述圖案化罩 幕層104a表面。 接著,請參照第2E圖,進行一微影程序,將光罩放置 於一曝光機台中,精確地在上述溝槽3〇〇區間内對準定位 (alignment)出其透光區的位置。注意,在此定位的步驟 中,必需相當精確。 接著,請參照第2F圖,實施一顯影程序,採用適當的 顯影液使得微影出的圖案顯現出來,形成一圖案化第二光 阻 1 0 8 a 〇 接著,請參照第2G圖,以上述圖案化第二光阻1〇8a為 罩幕’實施一適當非等向性蝕刻程序,使上述透光基底 100表面蝕刻出一定厚度,形成一透光區4〇()。 最後’請參照第2 Η圖,分別去除上述圖案化第二光阻 108a與上述圖案化罩幕層l〇4a,以完成邊緣減光複合式相 位移光罩的製造。 然而,如上所述,習知之邊緣減光複合式相位移光罩 的製造方法中,必需藉由曝光機台精確地對準定位以便定 義透光區位置。隨著半導體元件集積度的增加與元件尺寸 縮小,透光區與邊緣相移區的尺寸皆隨之細微化,因此, 光罩圖案對準定位的程序相當複雜、不容易掌控,而且必 需相當精確。In the mask, the above-mentioned mask layer (104, μ, +, +) is used to illuminate the phase shift layer 102 until the surface of the transparent substrate 100 is exposed, and a groove 300 is formed. Next, referring to FIG. 2D, after the above patterned first photoresist 106 is removed by the program of Nan and Guangren, a second photoresist 108 is formed by spin coating, for example, to Fill the groove 300 and cover the surface of the patterned mask layer 104a. Next, referring to FIG. 2E, a lithography process is performed. The photomask is placed in an exposure machine, and the position of the light-transmitting area is aligned and aligned accurately within the above-mentioned groove 300 interval. Note that in this positioning step, it must be quite accurate. Next, please refer to FIG. 2F, implement a developing process, and use a suitable developer to make the lithographic pattern appear to form a patterned second photoresist 1 0 8 a 〇 Then, refer to FIG. 2G and use the above The patterned second photoresist 108a performs an appropriate anisotropic etching process for the mask, so that the surface of the transparent substrate 100 is etched to a certain thickness to form a transparent region 40 (). Finally, please refer to the second figure, and remove the patterned second photoresist 108a and the patterned mask curtain layer 104a, respectively, so as to complete the manufacture of the edge-dimming composite phase shift mask. However, as described above, in the conventional manufacturing method of the edge-light reduction composite phase shift photomask, it is necessary to precisely position and position the light transmission area by the exposure machine. With the increase of the semiconductor element accumulation and the reduction of the element size, the sizes of the light-transmitting area and the edge phase shifting area have been miniaturized. Therefore, the alignment and positioning process of the mask pattern is quite complicated, difficult to control, and must be quite accurate. .

〇5〇3-773〇TWFl;TSMC20〇M752,1753;felicia.ptc 第 6 頁 544767 五 -1 號 91117361 玍 口 、發明說;^^~^~§- 提供ff:此;、為了解決上述問題,本發明主要目的乂 略^準a邊緣減光複合式相位移光罩的製造方法,以係t 于疋位的步驟,不兩西去 乂便省 義曝光位置。 而要重新將光罩置於讀寫機台,定 發明概述: 罩之ί 目的在於提供-種邊緣減光複合式相位移光 爻I坆方法,以便簡化製程。 九 =發明所揭露之第一種邊緣減光複合式相位移光罩的 ^ 法’其主要特徵在於一、先定義出一透光區後, c再專向性触刻相位移層,三、最後餘刻基底時,以一 戔召光阻保遵相位移層的側壁,且以一罩幕層保護相位移 層的表面,如此可確保相位移層不被蝕刻。 為獲致上述之目的,本發明提出第一種邊緣減光複合 式相位移光罩的製造方法,此方法的步驟主要係包括: 提供一透光基底;依序形成一相位移層、一罩幕層於 上述基底表面;圖案化上述罩幕層,直到露出上述相位移 層表面;等向性钱刻上述相位移層,用以使上述罩幕層與 上述相位移層部分形成一倒τ形凹槽;全面性形成一光阻 層’用以填滿上述倒τ形凹槽;以上述罩幕層為罩幕,實 施一顯影程序,去除部分上述光阻層,只留下上述罩幕層 下方的上述光阻層部分;以上述圖案化罩幕層與殘留之光 阻為罩幕,餘刻上述透光基底至一定厚度,以形成一相位 角180度之透光區;以及去除上述殘留之光阻與上述罩幕 層0〇5〇3-773〇TWF1; TSMC20〇M752,1753; felicia.ptc Page 6 544767 No. 5-1 91117361 Pass, invention; ^^ ~ ^ ~ §- Provide ff: this; To solve the above problems The main purpose of the present invention is to describe a method for manufacturing a quasi-a-edge light-reducing composite phase shift mask, which is based on the steps of t, and saves the exposure position without going west. The photomask should be placed on the reader again. The invention is summarized as follows: The purpose of the mask is to provide a kind of edge-light reduction composite phase shift light (I) method in order to simplify the manufacturing process. Nine = the method of the first edge-dimming composite phase shift photomask disclosed in the invention, which is mainly characterized by: first, after defining a light transmitting area, c then specifically touching the phase shift layer, and third, When the substrate is finally etched, a photoresist is used to protect the side wall of the phase shift layer, and a surface layer is used to protect the surface of the phase shift layer, so that the phase shift layer can not be etched. In order to achieve the above-mentioned object, the present invention proposes a first method for manufacturing a phase-difference composite phase shift photomask. The steps of this method mainly include: providing a light-transmitting substrate; sequentially forming a phase shift layer and a mask. Layer on the surface of the substrate; patterning the mask layer until the surface of the phase shift layer is exposed; isotropically engraving the phase shift layer to form an inverted τ-shaped depression between the mask layer and the phase shift layer A comprehensive photoresist layer is formed to fill the inverted τ-shaped groove; using the above-mentioned cover layer as a cover, a developing process is performed to remove part of the above photoresist layer, leaving only the underside of the above cover layer The aforementioned photoresist layer; using the patterned mask layer and the remaining photoresist as a mask, engraving the transparent substrate to a certain thickness to form a light transmitting region with a phase angle of 180 degrees; and removing the remaining Photoresist and the cover layer

0503-7730TWF1 ;TSMC2001-1752,1753; fel icia.ptc 第7頁 η 曰 修正 五、發明說明(5) 上、f ^别所述’上述透光基底係由石英(quartz)所構成, ^相位移層係由氮氧化翻矽(M〇Si0N)所構成,並且上 罩幕層係由金屬鉻(cr)所構成。 再者’姓刻上述罩幕層係利用乾蝕刻法進行。至於等 二H虫刻上述相位移層係利用乾蝕刻法進行。蝕刻上述透 先基底則係利用乾蝕刻法進行。 述透 coat i:進:成i述圖案化第-光阻係利用旋塗法(sPin ^發=亦提出第二種邊緣減光複合式相位移光罩 &方法’此方法的步驟主要係包括: 良 提供一透光基底。接著,依序形 :二罩:層;:上述透光基底表面。接著,圖案化2罩 曰 /成開口以露出上述相位移層表面。接著,、VL u 过ί f 口等向性蝕刻上述相位移層,用以使上述罩幕層盥上 ^目位移層部分形成一倒Τ形凹#。最後,以上述 ^ 士 =,,以非等向性姓刻未被上述軍幕層遮蔽之基底至曰— 疋木廋,以形成一具相角18〇度之透光區。 · 造方t發提出第三種邊緣減光複合式相位移光罩的製 仏方法’此方法的步驟主要係包括: 灰 声、首Ϊ墓J供一透ί基底。接著,依序形成-相位移 層一罩幕層以及一光阻層於上述基底表面。接签^ ,上述光阻層’形成-開口以露出上述罩幕層表面。^案 者,沿上述開口等向性蝕刻上述罩幕層,用以, 層與上述罩幕層部分形成一倒τ形凹槽。接之、上述光阻 阻層為罩幕,以非等向性^去除未被上述光’阻以層上遮述蔽光之 544767 91117361 Λ_η 曰 五、發明說明(6) --- 接著 方之相位移層與基底’使上述基底被餘刻至之一定深产 最後,去除上述罩幕層 又 相位移層’以露出部分上述基底表面。去除上述光阻芦< 以上述罩幕層為罩幕,蝕刻非位於上述罩幕層下 實施例: 實施例1 以下請參照第3Α圖至第311圖之製程剖面圖,說明根據 本發明之一較佳實施例。 首先,請參照第3Α圖,提供一材質例如為石英 Uuartz)之透光基底3〇〇,其透光率約為1〇〇% 。以適當沈 積程序,例如:化學氣相沈積(chemical vap〇r 田 deposition ,CVD),依序形成一相位移層3〇2與一罩幕層 3 0 4方> 上^述基底3〇〇表面。其中,上述相位移層3〇2之材質 例如為氮氧化鉬矽(M〇Si〇N),其透光率通常約為6% 。習 知光罩常以透光率約為〇 %之金屬鉻(Cr),做為定義光罩 圖案之遮光層,因此,為方便起見,在此上述罩幕層3〇4 之材質可採用與光罩上其他區域之遮光層相同材質之金屬 鉻,可維持製程之連貫性。接著,最好利用旋塗法(spi η coat lng)形成一第一光阻3〇6於上述罩幕層3〇4表面。 、後,5月參照第3 B圖,施行一適當微影 (^ith^graphy)程序,用以定義出一透光區3〇〇〇,再施行 =^當顯影(develop)程序,用以將定義出的透光區3〇〇〇 圖案顯現出來,形成一圖案化第一光阻3〇6a。 ^妾著’明參照第3 C圖’利用上述圖案化第一光阻3〇6a 5447670503-7730TWF1; TSMC2001-1752,1753; fel icia.ptc Page 7 η Modification V. Description of the Invention (5) Above, f ^ Don't mention 'The above-mentioned transparent substrate is composed of quartz, ^ phase The displacement layer is composed of silicon nitride oxide (MOSon), and the upper cover layer is composed of metallic chromium (cr). In addition, the above-mentioned mask layer is engraved by a dry etching method. As for the above-mentioned second phase shift layer, the dry-etching method was used. Etching the transparent substrate is performed by a dry etching method. The transparent coating i: advance: into the above-mentioned patterned photoresist system using a spin coating method (sPin ^ hair = also proposed a second edge-light reduction composite phase shift mask & method 'The steps of this method are mainly Includes: Liang provides a light-transmitting substrate. Then, sequentially forms: two covers: layer ;: surface of the above-mentioned light-transmitting substrate. Then, patterning 2 covers / openings to expose the surface of the phase shift layer. Then, VL u The phase shift layer is etched isotropically to form an inverted T-shaped concave portion on the mask layer of the mask layer. Finally, with the above name, the non-isotropic surname Carved the basement that was not covered by the above military curtain layer to —— 疋 木 廋 to form a light-transmitting area with a phase angle of 180 degrees. · The manufacturer proposed the third type of edge-dimming composite phase shift photomask. The method of making 仏 is mainly composed of the following steps: gray sound, first grave J for a transparent substrate. Then, a phase shift layer, a mask layer, and a photoresist layer are formed on the surface of the substrate in order. ^, The above-mentioned photoresist layer is formed-opening to expose the surface of the mask layer. ^ In the case, isotropic etching is performed along the above-mentioned opening. The mask layer is used to form an inverted τ-shaped groove between the layer and the mask layer portion. Then, the photoresist layer is a mask, and the layer that is not blocked by the photo is blocked by anisotropy. The 544767 91117361 Λ_η covering the light is described above. 5. Description of the invention (6) --- Next, the phase shift layer and the substrate 'make the above substrate to a certain depth. Finally, remove the cover layer and phase shift. Layer 'to expose part of the above substrate surface. Remove the photoresist < use the above mask layer as a mask, and etch the non-underlying mask layer Example: Example 1 Please refer to Figures 3A to 311 below for details. A cross-sectional view of the manufacturing process illustrates a preferred embodiment of the present invention. First, referring to FIG. 3A, a light-transmitting substrate 300 made of a material such as quartz (Uuartz) is provided, and its light transmittance is about 100%. With a suitable deposition procedure, for example: chemical vapor deposition (CVD), a phase shift layer 302 and a mask layer 300 are formed in sequence> the above-mentioned substrate 300 surface. The material of the phase shift layer 302 is, for example, molybdenum oxynitride silicon (MOSon), and its light transmittance is usually about 6%. Conventional photomasks often use metallic chromium (Cr) with a light transmittance of about 0% as the light-shielding layer defining the photomask pattern. Therefore, for convenience, the material of the above-mentioned mask layer 304 can be used with The metal chromium of the same material as the shading layer in other areas of the cover can maintain the consistency of the process. Next, it is preferable to form a first photoresist 306 on the surface of the mask layer 304 by using a spin coating method. Then, in May, referring to Figure 3B, an appropriate lithography (^ ith ^ graphy) procedure was performed to define a light-transmitting area 300, and then a = ^ developing procedure was performed to The defined pattern of the light-transmitting area 3000 is displayed to form a patterned first photoresist 306a. ^ 妾 着 ‘明 指 图 3C 图’ Using the above patterned first photoresist 3 06a 544767

_ 案號 91117361 五、發明言兌明(7) 為罩幕,實施一適當蝕刻程序,例如為乾蝕刻(dry etchi ng),蝕刻上述罩幕層3〇4,直到露出上述相位移層 302表面為止,而形成一圖案化罩幕層3〇4a。 θ 接著,請參照第3D圖,先利用適當酸液清除上述圖 化第一光阻3 0 6a,再以上述圖案化罩幕層3〇乜為罩幕,實 施一述當蝕刻程序,例如為乾蝕刻(dry etching),等向 性蝕刻上述相位移層30 2,調整蝕刻氣體攻擊的角度,使 得上述相位移層3 0 2不僅被縱向蝕刻,使其厚度減 基底300表面為止,而形成一圖案化相位移層3〇2&。藉由 上述,在上述相位移層3 0 2及上述罩幕層3〇4中形成一倒丁 形開口 3000a。 接著,請參照第3E圖,最好利用旋塗法(sp丨n coating)形成一第二光阻30 8,以填滿上述倒τ形凹槽 300〇a以及均勻覆蓋在上述圖案化罩幕層3〇“表面。 接著,請參照第3F圖,以上述圖案化罩幕層3〇“為罩 幕,飪刻未被上述圖案化罩幕層304a遮蔽的上述第二光阻 308 4刀,直到露出上述基底30Q表面,於是在上述圖案化 罩幕層304a下方留下一殘留第二光阻。 、 接著,請參照第3G圖,以上述圖案化罩幕層3〇“與上 述殘留第二光阻3 0 8a為罩幕,實施一適當蝕刻,例如乾蝕 刻’將上述透光基底3 0 0蝕刻一定深度d,而剩下的一定厚 度之透光基底300形成一透光區。在此過程中上述殘留第 二光阻308a可以保護上述圖案化相位移層3〇2a的側壁部 > ’ ji述圖案化罩幕層3 04a則可以保護上述圖案化相位移_ Case No. 91117361 Fifth, the invention (7) is a mask, and an appropriate etching process is performed, for example, dry etchi. The mask layer 30 is etched until the surface of the phase shift layer 302 is exposed. So far, a patterned mask layer 304a is formed. θ Next, referring to the 3D picture, first remove the above-mentioned patterned first photoresist 3 0 6a with an appropriate acid solution, and then use the patterned mask layer 30 ° as a mask to implement a description of the etching process, such as In dry etching, the phase shift layer 302 is isotropically etched, and the angle of attack of the etching gas is adjusted so that the phase shift layer 302 is not only etched longitudinally, and its thickness is reduced to the surface of the substrate 300 to form a Patterned phase shift layer 30 &. With the above, an inverted T-shaped opening 3000a is formed in the phase shift layer 302 and the mask layer 304. Next, referring to FIG. 3E, it is preferable to form a second photoresist 30 8 by using a spin coating method to fill the inverted τ-shaped groove 300 〇a and cover the patterned mask uniformly. Layer 30 ″ surface. Next, please refer to FIG. 3F, using the above patterned mask layer 30 ”as the mask, and engraving the above-mentioned second photoresist 308 which is not masked by the patterned mask layer 304a, Until the surface of the substrate 30Q is exposed, a residual second photoresist is left under the patterned mask layer 304a. Next, please refer to FIG. 3G, using the patterned mask layer 30 "and the residual second photoresist 308a as masks, and perform an appropriate etching, such as dry etching, to" transmit the above-mentioned transparent substrate 3 0 0 ". A certain depth d is etched, and the remaining transparent substrate 300 with a certain thickness forms a transparent region. In this process, the residual second photoresist 308a can protect the sidewall portion of the patterned phase shift layer 302a > ' The patterned mask layer 3 04a can protect the patterned phase shift.

544767 _案號 9m73fi1 _ -1 日______ 五、發明t兒明(8) 層3 Ο 2 a的表面部分,如此便可確保上述圖案化相位移層 3 0 2 a不被餘刻。若上述透光基底300的材質為石英,則可 採用CF4 *CHF3等做為蝕刻氣體。 最後,請參照第3 Η圖,例如分別以適當的酸液去除上 述殘留第二光阻308a與上述圖案化罩幕層30 4a。便製造完 成一邊緣減光複合式相位移光罩。 實施例2 以下請參照第4A圖至第4FH圖之製程剖面圖,說明根 據本發明之另一較佳實施例。 首先,請參照第4 A圖,提供一材質例如為石英 (quartz)之透光基底400,其透光率約為1〇〇% 。以適當沈 積程存’例如·化學氣相沈積(c h e m丨c a 1 v a p 〇 Γ deposition ;CVD),依序形成一相位移層402與一罩幕層 404於上述基底400表面。其中,上述相位移層4〇2之材質 例如為氮氧化翻矽(MoSi ON),其透光率通常約為6 % 。習 知光罩常以透光率約為〇 %之金屬鉻(Cr),做為定義光罩 圖案之遮光層’因此’為方便起見,在此上述罩幕層4〇4 之材質可採用與光罩上其他區域之遮光層相同材質之金屬 鉻,可維持製程之連貫性。接著,最好利用旋塗法(sp i n coating)形成一光阻406於上述罩幕層4〇4表面。 然後’請參照第4 B圖,施行一適當微影 (lithography)程序,用以定義出一透光區4〇〇〇,再施行 一適噙顯影(develop)程序,用以將定義出的透光區4〇〇〇 圖案顯現出來,形成一圖案化光阻4〇544767 _Case No. 9m73fi1 _ -1 Day ______ V. Invent the surface part of the layer (3) 0 2 a, so as to ensure that the patterned phase shift layer 3 0 2 a is not left uncut. If the material of the transparent substrate 300 is quartz, CF4 * CHF3 or the like can be used as the etching gas. Finally, referring to the third figure, for example, the above-mentioned remaining second photoresist 308a and the above-mentioned patterned mask layer 30 4a are respectively removed with an appropriate acid solution. Thus, an edge-dimming composite phase shift photomask is manufactured. Embodiment 2 Hereinafter, another preferred embodiment according to the present invention will be described with reference to the cross-sectional views of the processes of FIGS. 4A to 4FH. First, referring to FIG. 4A, a light-transmitting substrate 400 made of, for example, quartz is provided, and its light transmittance is about 100%. With a suitable deposition process, for example, chemical vapor deposition (c h e m 丨 c a 1 v a p 0 Γ deposition; CVD), a phase shift layer 402 and a mask layer 404 are sequentially formed on the surface of the substrate 400. The material of the phase shift layer 40 is, for example, MoSi ON, and its light transmittance is usually about 6%. Conventional photomasks often use metallic chromium (Cr) with a light transmittance of about 0% as the light-shielding layer defining the photomask pattern. Therefore, for convenience, the material of the above-mentioned photomask layer 400 can be used with light. The metal chromium of the same material as the shading layer in other areas of the cover can maintain the consistency of the process. Next, it is preferable to form a photoresist 406 on the surface of the mask layer 404 by using a spin coating method. Then, please refer to FIG. 4B, execute an appropriate lithography procedure to define a light transmitting area 4000, and then perform a develop procedure to apply the defined transparency. The 400 area pattern of the light area appears, forming a patterned photoresist 40.

第11頁 544767Page 11 544767

2著U照第4C圖,利用上述圖案化光阻— 幕貝施一適當蝕刻程序,例如為乾蝕刻(dry etch i ng),蝕刻上述罩幕層4〇4,直到露出上述相位 402表面為止,而形成一圖案化罩幕層4〇“與一 曰 4000。 接著,請參照第4D圖,先利用適當酸液清除上述圖案 化第一光阻2 0 6a,再以上述圖案化罩幕層4〇“為罩幕,沿 上述開口 400等向性蝕刻上述相位移層4〇2,例如為乾蝕^ (dry etching),利用調整蝕刻氣體攻擊的角度,使得2 f相位移層2 02不僅被縱向蝕刻,使其厚度減少,並且被 橫向餘刻其側壁(side wall )的部分,直到露出上述基底 400表面為止,而形成一圖案化相位移層4〇2a。經由上 述’上述罩幕層4 〇 4與上述相位移層4 〇 2部分形成一倒τ形 凹槽4 OOOa。 接著’請參照第4E圖,以上述罩幕層4〇4a為罩幕,實 施一適當蝕刻,例如乾蝕刻,以非等向性蝕刻將未被上述 罩幕層404a遮蔽之基底400蝕刻一定深度d,而剩下的一定 厚度之透光基底400形成一具相角180度之透光區。在此過 程中上述罩幕層404a可以保護上述圖案化相位移層4〇2a, 可確保上述圖案化相位移層4 〇 2 a不被餘刻。若上述透光基 底20 0的材質為石英,則可採用CF4或CHF3等做為蝕刻氣 體0 最後’請參照第4 F圖,例如以適當的酸液去除上述罩 幕層4 0 4a。即製造完成一邊緣減光複合式相位移光罩。2. According to Figure 4C of the U, use the patterned photoresist-the screen is applied with an appropriate etching process, such as dry etch, and the mask layer 4 is etched until the phase 402 surface is exposed. Then, a patterned mask layer 40 and a 4000 are formed. Then, referring to FIG. 4D, first remove the patterned first photoresist 2 06a with an appropriate acid solution, and then pattern the mask layer with the above pattern. 4 ″ is a mask, and the phase shift layer 4 is isotropically etched along the opening 400, such as dry etching. By adjusting the angle of the attack of the etching gas, the 2 f phase shift layer 2 02 is not only It is etched longitudinally to reduce its thickness, and a portion of its side wall is etched laterally until the surface of the substrate 400 is exposed to form a patterned phase shift layer 402a. An inverted τ-shaped groove 4 OOOa is formed through the above-mentioned portion of the mask layer 4 04 and the phase shift layer 4 02. Next, please refer to FIG. 4E, using the above-mentioned mask layer 404a as a mask, perform an appropriate etching, such as dry etching, and anisotropically etch the substrate 400 not covered by the above-mentioned mask layer 404a to a certain depth d, and the remaining transparent substrate 400 of a certain thickness forms a transparent region with a phase angle of 180 degrees. During this process, the mask layer 404a can protect the patterned phase shift layer 402a, and can ensure that the patterned phase shift layer 402a is not etched. If the material of the transparent substrate 200 is quartz, CF4 or CHF3 can be used as the etching gas. Finally, please refer to FIG. 4F, for example, to remove the cover layer 4 0 4a with an appropriate acid solution. That is, an edge light-reduction composite phase shift photomask is manufactured.

〇503-7730TWFl;TSMC2001-1752,1753;felicia.ptc 第 12 頁 544767 -J號91117邡1_车月日 倐正 五、發明說明(10) 實施Y列3 以下請參照第5A圖至第5G圖之製程剖面圖,說明根據 本發明之一較佳實施例。 首先,請參照第5A圖,提供一材質例如為石英 (quartz)之透光基底50〇,其透光率約為1〇〇% 。以適當沈 積程序,例如:化學氣相沈積(chemical vapor deposition ;CVD),依序形成一相位移層502與一罩幕層 504於上述基底5〇〇表面。其中,上述相位移層502之材質 例如為氮氧化鉬矽(MoSiON),其透光率通常約為6 % 。習 知光罩常以透光率約為0%之金屬鉻(Cr),做為定義光罩 圖案之遮光層,因此,為方便起見,在此上述罩幕層5〇4 之材質可採用與光罩上其他區域之遮光層相同材質之金屬 鉻,可維持製程之連貫性。接著,最好利用旋塗法(spin coating)形成一光阻5〇6於上述罩幕層5〇4表面。 然後,請參照第5B圖,施行一適當微影 (lithography)程序,用以定義出一透光區5〇〇〇,再施行 一適當顯影(develop)程序,用以將定義出的透光區5〇〇〇 圖案顯現出來,形成一開口5〇〇〇與一圖案化光阻5〇6&。 接著,請參照第3C圖,實施一適當蝕刻程序,例如為 乾蝕刻(dry etching),沿上述開口5〇〇〇等向性蝕刻上述 罩幕層504,藉由調整蝕刻氣體攻擊的角度,使得上 幕層5 04不僅被縱向蝕刻,使其厚度減少,並且被橫^ 刻其侧壁(s i d e w a 1 1)的部分,直至,| f ψ μ、+、士 、 / 刀夏到路出上边相位移層502 表面為止,而形成一圖案化罩幕層5 04a。經由上述, 述圖案化罩幕層5〇4a及上述圖案化光阻5〇6a Μ〇503-7730TWFl; TSMC2001-1752,1753; felicia.ptc Page 12 544767 -J No. 91117 邡 1_Vehicle Moon Day 倐 Fifth, description of the invention (10) Implementation of Y column 3 Please refer to Figure 5A to 5G below The figure is a process cross-sectional view illustrating a preferred embodiment of the present invention. First, referring to FIG. 5A, a light-transmitting substrate 50 made of, for example, quartz is provided, and the light transmittance is about 100%. With a suitable deposition procedure, such as chemical vapor deposition (CVD), a phase shift layer 502 and a mask layer 504 are sequentially formed on the surface of the substrate 500. The material of the phase shift layer 502 is, for example, molybdenum silicon oxynitride (MoSiON), and its light transmittance is usually about 6%. Conventional photomasks often use metallic chromium (Cr) with a light transmittance of about 0% as a light shielding layer to define the photomask pattern. Therefore, for convenience, the material of the above-mentioned mask layer 504 can be used with light. The metal chromium of the same material as the shading layer in other areas of the cover can maintain the consistency of the process. Next, it is preferable to form a photoresist 506 on the surface of the mask layer 504 by a spin coating method. Then, referring to FIG. 5B, an appropriate lithography procedure is performed to define a transparent area 5000, and then an appropriate develop procedure is performed to apply the defined transparent area The 50000 pattern appears, forming an opening 5000 and a patterned photoresist 506 &. Next, referring to FIG. 3C, an appropriate etching process is performed, for example, dry etching, and the mask layer 504 is isotropically etched along the opening 5000, and the angle of the etching gas attack is adjusted so that The upper curtain layer 5 04 is not only etched longitudinally to reduce its thickness, but also part of its side wall (sidewa 1 1) is horizontally etched until || f ψ μ, +, 士, / 刀 夏 到 路 出 上 上相Up to the surface of the displacement layer 502, a patterned mask layer 504a is formed. Through the above, the patterned mask layer 504a and the patterned photoresist 506a Μ

0503-7730TWF1;TSMC2001-1752,1753 ;felicia.ptc 544767 _案號91117361_年月日 修正_ · 五、發明說明(11) 形凹槽500 0a。 接著’請參照第5D圖,以上述圖案化光阻5 06a為罩 幕,實施一適當蝕刻程序,例如為乾蝕刻(dry etch i ng),以非等向性蝕刻去除未被上述圖案化光阻5〇6a 遮蔽之相位移層5 0 2,即位於上述開口 5 〇 〇 0下方之相位移 層502 ,以露出上述基底5〇〇部分表面。 接著,請參照第5E圖,以適當的酸液去除上述圖案化 光阻層5 0 6 a。 接著,請參照第5F圖,以上述圖案化罩幕層5〇“為罩 幕,勒刻非位於上述圖案化罩幕層5〇4a下方之圖案化相位 移層5 02a與基底50 0,使上述基底5〇〇被蝕刻一定深, =成-殘留相位移層5 02b ’而剩下的一定厚度之透光基 底500形成一透光區。 3後’請參照第5G圖,以適當㈣程序去除上述圖案 =罩幕層504a,便製造完成一邊緣減光複合式相位移光 罩。 發明優點 系示合上述’本發明具有以 相較於習知之邊緣減光複 法,本發明之製造方法不需要 (alignment)步驟,如此一來 有製程間早、易控制的優點。 下優點: 合式相位移光罩的製造方 進行繁雜的透光區對準定位 根據本發明之製造方法具 本發明雖以較佳實施例揭 本發明的範圍,任何熟習此,,、、、'/、並非用以限定 圓剛m娜隊miT·細丨•麵h---s者,在不脫離本發明之0503-7730TWF1; TSMC2001-1752,1753; felicia.ptc 544767 _Case No. 91117361_ Year Month Day Amendment _ V. Description of the invention (11) Shaped groove 500 0a. Next, please refer to FIG. 5D, using the patterned photoresist 50 06a as a mask, and implement an appropriate etching process, such as dry etching (dry etch i ng), to remove the unpatterned light with anisotropic etching. The phase shift layer 502, which is blocked by 506a, is the phase shift layer 502, which is located below the opening 5000, to expose a portion of the surface of the substrate 500. Next, referring to FIG. 5E, the patterned photoresist layer 5 0 6 a is removed with an appropriate acid solution. Next, referring to FIG. 5F, the patterned mask layer 50 is used as a mask, and the patterned phase shift layer 502a and the substrate 50, which are not located below the patterned mask layer 504a, are engraved, so that The above-mentioned substrate 500 is etched to a certain depth == residual phase shift layer 502b ', and the remaining transparent substrate 500 of a certain thickness forms a light-transmitting region. After 3', please refer to FIG. 5G for appropriate procedures. After removing the above-mentioned pattern = mask curtain layer 504a, an edge-light reduction composite phase shift photomask is manufactured. The advantages of the invention are shown above. The present invention has a manufacturing method of the present invention which is compared with the conventional edge-light reduction method. No alignment step is required, so there is the advantage of early and easy control between processes. The following advantages: The manufacturer of the combined phase shift mask performs complex alignment and positioning of the light transmitting area. According to the manufacturing method of the present invention, although the present invention The scope of the present invention is disclosed in a preferred embodiment. Anyone who is familiar with this, is not used to limit the Aurora m na team miT · 细 丨 面 h --- s without departing from the scope of the present invention.

0503 - 7730TWF1; TSMC2001 -1752,1753; f e 1 i c i a. p t c 第14頁 544767 案號 91117361 A_η 曰 修正 五、發明說明(12) 精神彳。範圍内,當可做各種的更動與潤飾,因此本發明之 保護範圍當視後附之申請專利範圍所界定者為準。 1Β· 0503-7730TWF1;TSMC2001-1752,1753 ;felicia.ptc 第15頁 544767 皇里—1U17361 圖式簡單說明 能更明顯易懂’ ’作詳細說明如 為使本發日月之上述目的、特徵和優點 下文特舉一較佳實施例,並配合所附圖式 下: 圖不言兒明: 第1A圖係顯示習知邊緣減光複合式相位移光罩之俯視 圖。 、 第1B圖係顯示習知邊緣減光複合式相位移光軍之剖面 圖。 第1C圖係說明根據第1A圖之邊緣減光複合式相位移光 罩曝光下之電場振幅強度示意圖。 第1D圖係說明根據第1A圖之邊緣減光複合式相位移光 罩曝光下,晶圓空間影像與電場振幅強度之示意圖。 第1E圖係說明根據第1 A圖之邊緣減光複合式相位移光 罩曝光下,晶圓空間影像與光強度之示意圖。 第2 A圖至第2 Η圖係顯示習知之邊緣減光複合式相位移 光罩之製程剖面圖。 第3 Α圖至第3 Η圖係顯不根據本發明之邊緣減光複合式 相位移光罩之一較佳實施例之製程剖面圖。 第4Α圖至第4F圖係顯不根據本發明之邊緣減光複合式 相位移光罩之另一較佳實施例之製程剖面圖。 第5Α圖至第5G圖係顯示根據本發明之邊緣減光複合式 相位移光罩之另一較佳實施例之製程剖面圖。 符號說明:0503-7730TWF1; TSMC2001 -1752,1753; f e 1 i c i a. P t c p. 14 544767 Case No. 91117361 A_η Revision V. Explanation of the invention (12) Spirit. Within the scope, various modifications and retouching can be done. Therefore, the protection scope of the present invention shall be determined by the scope of the attached patent application. 1B · 0503-7730TWF1; TSMC2001-1752,1753; felicia.ptc p.15 544767 Huangli—1U17361 The simple illustration of the diagram can be more obvious and easy to understand '' For detailed explanations, for the purpose, characteristics and Advantages The following describes a preferred embodiment in conjunction with the accompanying drawings: The figure is self-explanatory: Figure 1A is a top view showing a conventional edge-difference composite phase shift mask. Fig. 1B is a cross-sectional view showing a conventional edge-diffusion composite phase shift optical army. Figure 1C is a schematic diagram illustrating the amplitude of the electric field under exposure of the edge-dimmer composite phase shift mask according to Figure 1A. Figure 1D is a schematic diagram illustrating wafer space image and electric field amplitude intensity under exposure of the edge-dimmer composite phase shift mask according to Figure 1A. Figure 1E is a schematic diagram illustrating the wafer space image and light intensity under the exposure of the edge-dimming composite phase shift mask according to Figure 1A. Fig. 2A to Fig. 2 are cross-sectional views showing the manufacturing process of a conventional edge-diffusion composite phase shift mask. Figures 3A to 3D are cross-sectional views showing the manufacturing process of a preferred embodiment of the edge-light-reduction composite phase shift mask according to the present invention. Figures 4A to 4F are cross-sectional views showing the manufacturing process of another preferred embodiment of the edge-dimmer composite phase shift mask according to the present invention. Figures 5A to 5G are cross-sectional views showing the manufacturing process of another preferred embodiment of the edge-light reduction composite phase shift mask according to the present invention. Symbol Description:

0503 - 77 30TWF1 ;TSMC20(H -1752,1753; f e 1 i c i a. p t c 第16頁 544767 _ 案號91117361_年月日 修正 圖式簡單說明 20、100、300、400、500 〜透光基底; 2 2、102、3 02、402、5 0 2 〜相位移層; S〜邊緣相位移區; I〜透光區; 1 04、30 4、40 4、50 4 〜罩幕層; 106、306〜第一光阻; 406、506〜光阻; 10 2a、302a、402、502a〜圖案化相位移層; 104a、304a、404a、504a〜圖案化罩幕層; 106a、306a〜圖案化第一光阻; 40 6a、506a〜圖案化光阻; 3 000、400 0、5000 〜透光區; 3 0 0 0 a、4 0 0 0 a、5 0 0 0 a 〜倒 T 形開口; 3 0 8a〜殘留第二光阻; d〜基底#刻深度。0503-77 30TWF1; TSMC20 (H -1752, 1753; fe 1 ici a. Ptc p. 16 544767 _ case number 91117361 _ year, month and day correction diagrams simply explain 20, 100, 300, 400, 500 ~ transparent substrate; 2 2, 102, 3 02, 402, 50 2 ~ phase shift layer; S ~ edge phase shift zone; I ~ light transmission zone; 1 04, 30 4, 40 4, 50 4 ~ mask layer; 106, 306 ~ 1st photoresistor; 406, 506 ~ photoresistor; 10 2a, 302a, 402, 502a ~ patterned phase shift layer; 104a, 304a, 404a, 504a ~ patterned mask layer; 106a, 306a ~ patterned first Photoresist; 40 6a, 506a ~ patterned photoresist; 3 000, 400 0, 5000 ~ transparent area; 3 0 0 0 a, 4 0 0 0 a, 5 0 0 0 a ~ inverted T-shaped opening; 3 0 8a ~ remaining second photoresist; d ~ substrate #etched depth.

Claims (1)

544767544767 移光罩的製造方法,其中蝕刻上述罩幕層係利用乾蝕刻法 進行。 修正 6 ·如申請專利範圍第1項所述之邊緣減光複合式相位 $光罩的製造方法,其中等向性蝕刻上述相位移層係利用 乾餘刻法進行。 7 ·如申請專利範圍第1項所述之邊緣減光複合式相位 移光罩的製造方法,其中蝕刻上述透光基底係利用乾蝕刻 法進行。 、8 ·如申請專利範圍第1項所述之邊緣減光複合式相位 ,光罩的製造方法,其中形成上述圖案化第一光阻係利用 方疋塗法(spin coating)進行。 9 ·種邊緣減光複合式相位移光罩的製造方法,包 括: 提供一透光基底; 依序形成一相位移層、一罩幕層於上述基底表面; 形成一圖案化第一光阻於上述罩幕層表面; 以上述圖案化第一光阻為罩幕,蝕刻上述罩幕層,形 成一開口以露出上述相位移層表面; 去除上述圖案化第一光阻; 沿上述開口等向性蝕刻上述相位移層,用以使上述罩 層與上述相位移層部分形成一倒T形凹槽; 形成一第二光阻層,填滿上述倒τ形凹槽; 以上述罩幕層為罩幕 除部分上述第二光阻層,In the method for manufacturing a light-shifting mask, the etching of the mask layer is performed by a dry etching method. Amendment 6 · The manufacturing method of the edge-dimming composite phase $ mask as described in item 1 of the scope of the patent application, wherein the isotropic etching of the above-mentioned phase shift layer is performed by a dry-etching method. 7 · The method for manufacturing an edge-light reduction composite phase shift mask according to item 1 of the scope of patent application, wherein the above-mentioned transparent substrate is etched by a dry etching method. 8. The method for manufacturing an edge-light-reducing composite phase and a photomask according to item 1 of the scope of the patent application, wherein forming the patterned first photoresist is performed by a spin coating method. 9. A method for manufacturing an edge dimming composite phase shift photomask, comprising: providing a light-transmitting substrate; sequentially forming a phase shift layer and a mask layer on the surface of the substrate; forming a patterned first photoresist on The surface of the mask layer; using the patterned first photoresist as a mask, etching the mask layer to form an opening to expose the surface of the phase shift layer; removing the patterned first photoresist; isotropic along the opening The phase shift layer is etched to form an inverted T-shaped groove between the cover layer and the phase shift layer. A second photoresist layer is formed to fill the inverted τ-shaped groove. The cover layer is used as a cover. Remove part of the second photoresist layer, ’以非等向性蝕刻沿上述開口去 留下上述罩幕層下方的上述第二’Along the opening with anisotropic etching to leave the second under the mask layer 0503-773OTWl;TSMC200M752,1753;felicla.ptc 第 19 頁 544767 案號 91117361 六、申請專利範圍 光阻層部分; 以上述圖案化罩幕層與殘留之光阻為罩幕,蝕刻上述 透光基底至一定深度,以形成一透光區;以及 去除上述殘留之第二光阻與上述罩幕層。 1 〇 ·如申請專利範圍第9項所述之邊緣減光複合式相位 移光罩的製造方法,其中上述透光基底係由石英所構成。 1 1 ·如申請專利範圍第9項所述之邊緣減光複合式相位 移光罩的製造方法,其中上述相位移層係由氮氧化鉬矽 (MoS i ON)所構成。 1 2 ·如申請專利範圍第9項所述之邊緣減光複合式相位 移光罩的製造方法,其中上述罩幕層係由金屬鉻(Cr)所構 1 3·如申請專利範圍第9項所述之邊緣減光複合式相位 移光罩的製造方法,其中蝕刻上述罩幕層係利用乾蝕刻法 進行。 / 1 4 ·如申請專利範圍第9項所述之邊緣減光複合式相 移光罩的製造方法,其中等向性蝕刻上述相位移層係利 乾触刻法進行。 1 5·如申請專利範圍第9項所述之邊緣減光複合 移光罩的製造方法,其中触刻上述透光基底係利用 $ 法進行。 ⑷ 1 6.如申請專利範圍第9項所述之邊緣減光複合式 移光罩的製造方法,其中形成上述圖案化第一光阻係 旋塗法(spin coat ing)進行。 用0503-773OTWl; TSMC200M752,1753; felicla.ptc Page 19 544767 Case No. 91117361 VI. Patent application scope Photoresist layer part; Use the patterned mask layer and residual photoresist as the mask to etch the transparent substrate to A certain depth to form a light transmitting area; and removing the remaining second photoresist and the mask layer. 1 0. The method for manufacturing an edge-light-reducing composite phase shift reticle according to item 9 of the scope of the patent application, wherein the transparent substrate is made of quartz. 1 1 · The method for manufacturing an edge-dimmer composite phase shift mask according to item 9 of the scope of the patent application, wherein the phase shift layer is composed of molybdenum oxynitride silicon (MoS i ON). 1 2 · The manufacturing method of the edge-light reduction composite phase shift photomask according to item 9 in the scope of the patent application, wherein the mask layer is made of metal chromium (Cr) 1 3 · as item 9 in the scope of patent application In the manufacturing method of the edge-light reduction composite phase shift mask, the etching of the mask layer is performed by a dry etching method. / 1 4 · The method for manufacturing an edge-light reduction composite phase-shift mask according to item 9 of the scope of the patent application, wherein the isotropic etching of the phase-shift layer is performed by a dry-contact engraving method. 1 5. The method for manufacturing an edge-light-reduction composite light shifting mask as described in item 9 of the scope of patent application, wherein the above-mentioned transparent substrate is etched using the $ method. ⑷ 1 6. The manufacturing method of the edge-light reduction composite type light-shifting mask according to item 9 of the scope of the patent application, wherein the patterned first photoresist-based spin coating method is performed. use 0503-7730TWF1;TSMC2001-1752,1753; felicia.ptc 第20頁 5447670503-7730TWF1; TSMC2001-1752,1753; felicia.ptc p.20 544767 修正 括: 17· 種邊緣減光複合式相位移光罩的製造方法,包 提供一透光基底; 依序形成一相位移層、一罩幕層於上述透光基底表 面; 圖案化上述罩幕層,形成一開口以露出上述相位移戶 表面; 9 上述開口等向性餘刻上述相位移層,用以使上述罩 幕層與上述相位移層部分形成一倒τ形凹槽;以及 以上述罩幕層為罩幕,以非等向性蝕刻未被上述罩幕 層遮蔽之基底至一定深度,以形成一具相角180度之透光 區。 1 8 ·如申請專利範圍第1 7項所述之邊緣減光複合式相 位移光罩的製造方法,其中上述透光基底係由石英所構 成。 1 9 ·如申請專利範圍第1 7項所述之邊緣減光複合式相 位移光罩的製造方法,其中上述相位移層係由氮氧化鉬矽 (MoS i ON)所構成。 2 0 ·如申請專利範圍第1 7項所述之邊緣減光複合式相 位移光罩的製造方法,其中上述罩幕層係由金屬鉻(Cr)所 構成。 21 ·如申請專利範圍第1 7項所述之邊緣減光複合式相 位移光罩的製造方法,其中等向性#刻上述相位移層係利 用乾勒刻法進行。The amendments include: 17 · A method for manufacturing an edge-dimming composite phase shift photomask, which includes providing a light-transmitting substrate; sequentially forming a phase-shifting layer and a mask layer on the surface of the transparent substrate; patterning the mask Layer to form an opening to expose the surface of the phase shifter; 9 the opening is isotropic and the phase shift layer is etched to form an inverted τ-shaped groove between the mask layer and the phase shift layer; and The mask layer is a mask, and the substrate that is not covered by the mask layer is anisotropically etched to a certain depth to form a light transmitting region with a phase angle of 180 degrees. 18 · The method for manufacturing an edge-light-reducing composite phase-shifting photomask according to item 17 of the scope of patent application, wherein the light-transmitting substrate is made of quartz. 19 · The method for manufacturing an edge-light-reduction composite phase shift mask according to item 17 in the scope of the patent application, wherein the phase shift layer is made of molybdenum oxynitride silicon (MoS i ON). 2 0. The manufacturing method of the edge-light reduction composite phase shift photomask according to item 17 of the scope of patent application, wherein the mask layer is made of metallic chromium (Cr). 21 · The manufacturing method of the edge-light-reduction composite phase shift mask described in item 17 of the scope of the patent application, wherein the isotropic #etching phase shift layer described above is performed by dry engraving. 544767 ——-—一案號 91117361 _年 月__g_修正____. 六、申請專利範圍 2 2 ·如申請專利範圍第1 7項所述之邊緣減光複合式相 位移光罩的製造方法,其中蝕刻上述透光基底係利用乾蝕 刻法進行。 2 3 · —種邊緣減光複合式相位移光罩的製造方法,包 提供一透光基底; 依序形成一相位移層、一罩幕層以及一光阻層於上述 基底表面;544767 ——--- Case No. 91117361 _Year_Month__g_Amendment____. 6. Scope of Patent Application 2 2 · Manufacturing method of edge-light reduction composite phase shift photomask as described in item 17 of the scope of patent application The etching of the transparent substrate is performed by a dry etching method. 2 3 · A method for manufacturing an edge-light reduction composite phase shift photomask, including providing a light-transmitting substrate; sequentially forming a phase-shift layer, a mask layer, and a photoresist layer on the surface of the substrate; 圖案化上述光阻層,形成一開口以露出上述罩幕層表 面; 沿上述開口等向性蝕刻上述罩幕層,用以使上述光阻 層與上述罩幕層部分形成一倒T形凹槽; 一 以上述光阻層為罩幕,以非等向性蝕刻去除未被上述 光阻層遮蔽之相位移層,以露出部分上述基底表面; 去除上述光阻層; 以上述罩幕層為罩幕,蝕刻非位於上述罩幕層下方之 相位移層與基底,使上述基底被蝕刻至一定深度;以及 去除上述罩幕層。 2 4 ·如申請專利範圍第2 3項所述之邊緣減光複合式相 位移光罩的製造方法,其中上述透光基底係由石英所構 成。 2 5 ·如申請專利範圍第2 3項所述之邊緣減光複合式相 位移光罩的製造方法,其中上述相位移層係由氮氧化鉬 (MoS iON)所構成。 /Patterning the photoresist layer to form an opening to expose the surface of the mask layer; isotropically etching the mask layer along the opening to form an inverted T-shaped groove between the photoresist layer and the mask layer portion A photoresist layer is used as a cover screen, and a phase shift layer not covered by the photoresist layer is removed by anisotropic etching to expose part of the substrate surface; the photoresist layer is removed; the cover layer is used as a cover For the curtain, the phase shift layer and the substrate not under the mask layer are etched so that the substrate is etched to a certain depth; and the mask layer is removed. 2 4 · The method for manufacturing an edge-light-reducing composite phase-shift mask according to item 23 of the scope of the patent application, wherein the light-transmitting substrate is made of quartz. 2 5 · The manufacturing method of the edge-light reduction composite phase shift mask according to item 23 of the scope of the patent application, wherein the phase shift layer is made of molybdenum oxynitride (MoS iON). / 544767 ---91117361 _年 ^___g-__ 六、申請專利範圍 2 6 ·如申請專利範圍第2 3項戶斤述之邊緣減光複合式相 位移光罩的製造方法,其中上述翠幕層係由金屬鉻(Cr)所 構成。 ' 2 7 ·如申請專利範圍第2 3項戶斤述之邊緣減光複合式相 位移光罩的製造方法,其中等向性蝕刻上述罩幕層係利用 乾蝕刻法進行。 2 8 ·如申請專利範圍第2 3項所述之邊緣減光複合式相 位移光罩的製造方法,其中非等向性蝕刻上述相位移層係 利用乾蝕刻法進行。 2 9 ·如申請專利範圍第2 3項所述之邊緣減光複合式相 位移光罩的製造方法,其中餘刻上述透光基底係利用乾蝕 刻法行。 3 〇 ·如申請專利範圍第2 3項所述之邊緣減光複合式相 位移光罩的製造方法,其中形成上述光阻層係利用旋塗法 (spin coating)進行。544767 --- 91117361 _year ^ ___ g -__ VI. Scope of patent application 2 6 · The manufacturing method of edge-light reduction composite phase shift reticle as described in item 23 of the patent application, where the above-mentioned green curtain layer is Consists of metallic chromium (Cr). '2 7 · The manufacturing method of the edge-dimmer composite phase-shifting photomask as described in Item 23 of the patent application, wherein the isotropic etching of the mask layer is performed by a dry etching method. 2 8 · The method for manufacturing an edge-light reduction composite phase shift mask according to item 23 of the scope of patent application, wherein the phase shift layer is anisotropically etched by a dry etching method. 2 9 · The manufacturing method of the edge-light reduction composite phase-shifting photomask according to item 23 of the scope of the patent application, wherein the above-mentioned transparent substrate is performed by dry etching. 30. The method for manufacturing an edge-light-reducing composite phase-shift mask according to item 23 of the scope of the patent application, wherein forming the photoresist layer is performed by a spin coating method. 0503-7730WFl;TSMC2001-1752,1753;felicia.ptc 第23頁0503-7730WF1; TSMC2001-1752,1753; felicia.ptc p.23
TW91117361A 2002-08-01 2002-08-01 Manufacturing method of attenuate-rim PSM TW544767B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91117361A TW544767B (en) 2002-08-01 2002-08-01 Manufacturing method of attenuate-rim PSM

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91117361A TW544767B (en) 2002-08-01 2002-08-01 Manufacturing method of attenuate-rim PSM

Publications (1)

Publication Number Publication Date
TW544767B true TW544767B (en) 2003-08-01

Family

ID=29708531

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91117361A TW544767B (en) 2002-08-01 2002-08-01 Manufacturing method of attenuate-rim PSM

Country Status (1)

Country Link
TW (1) TW544767B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI585510B (en) * 2016-02-19 2017-06-01 力晶科技股份有限公司 Phase shift mask and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI585510B (en) * 2016-02-19 2017-06-01 力晶科技股份有限公司 Phase shift mask and manufacturing method thereof

Similar Documents

Publication Publication Date Title
JP4570632B2 (en) Four-tone photomask manufacturing method and photomask blank processed product
TWI277826B (en) Mask blank, phase shift mask manufacturing method and template manufacturing method
KR101100522B1 (en) Four-gradation photomask manufacturing method and photomask blank for use therein
TWI355080B (en)
JP4587837B2 (en) Gray tone mask manufacturing method and gray tone mask
TW201122720A (en) Method of manufacturing optical element, and optical element
JP2005257712A (en) Gray tone mask and its manufacturing method
JP2009237419A (en) Multi-gradation photomask, manufacturing method thereof, and pattern transfer method
TWI300969B (en)
TW544767B (en) Manufacturing method of attenuate-rim PSM
TW538304B (en) Halftone phase shift mask and its manufacturing method
JP6872061B2 (en) Manufacturing method of photomask and display device
TW587202B (en) Method of repairing attenuate phase shift mask
JP3427604B2 (en) Method for manufacturing phase shift exposure mask
JP2005181721A (en) Halftone phase shift mask
US6737200B2 (en) Method for aligning a contact or a line to adjacent phase-shifter on a mask
US5747196A (en) Method of fabricating a phase-shift photomask
JP2002244270A (en) Manufacturing method for phase shift mask and phase shift mask
TWI250376B (en) Method of double exposure and fabricating an attenuated phase shifting mask
JP2005321699A (en) Method for manufacturing phase shift mask
TWI336025B (en) Fabrication methods for cr-less phase transition photo-masks
TW542942B (en) Method to repair attenuation type phase shift mask
JPH04273243A (en) Phase shift mask and production thereof
TW418350B (en) Method of producing outrigger type phase shifting mask
KR100505421B1 (en) method for forming pattern of semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees