TW510055B - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
TW510055B
TW510055B TW090102669A TW90102669A TW510055B TW 510055 B TW510055 B TW 510055B TW 090102669 A TW090102669 A TW 090102669A TW 90102669 A TW90102669 A TW 90102669A TW 510055 B TW510055 B TW 510055B
Authority
TW
Taiwan
Prior art keywords
region
film
insulating film
semiconductor
semiconductor layer
Prior art date
Application number
TW090102669A
Other languages
Chinese (zh)
Inventor
Takuji Matsumoto
Toshiaki Iwamatsu
Yuuichi Hirano
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Application granted granted Critical
Publication of TW510055B publication Critical patent/TW510055B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A silicon nitride film is formed between interlayer insulating films covering an upper surface of an element formed on a surface of a semiconductor layer. With this structure, a semiconductor device comprising an isolation insulating film of PTI structure, which suppresses a floating-body effect and improves isolation performance and breakdown voltage, and a method of manufacturing the semiconductor device can be obtained.

Description

【發明所屬之技術領域】 本么明疋關係到SOI (矽在絕緣層上:Si丨icon 0η nsi^l at tor )結構的半導體裝置及其製造方法,尤其是關 糸$,具有不到達埋入氧化膜的隔離絕 ” ρτγ (局部溝渠隔離):Partial Trench Is〇lati〇n) 的半導體裝置及其製造方法。 【先前技術】 具有半導體基板、埋入氧化膜以及半導體層構成的s〇I (矽在絕緣層上:Silic〇n 0n Insulatt〇r)結構的半導 體裝置’通過埋入氧化膜和到達該埋入氧化膜的元件的隔 離(以下簡稱"FTI” (全溝渠隔離):FuU Trench[Technical field to which this invention belongs] This meminger is related to a semiconductor device with an SOI (Silicon on Insulating Layer: Si 丨 icon 0n n ^ l at tor) structure and a method for manufacturing the same, especially for a semiconductor device that has no reach Isolation of an oxide film ”ρτγ (Partial Trench Isolation): a semiconductor device of Partial Trench Isolati and its manufacturing method. [Prior art] It has a semiconductor substrate, a buried oxide film, and a semiconductor layer. (Silicon on the insulation layer: SiliconON 0n Insulatt〇r) structure of the semiconductor device 'through the buried oxide film and the isolation of the elements reaching the buried oxide film (hereinafter referred to as " FTI "(full trench isolation): FuU Trench

Insulation ),包圍住活化 體’也不必擔心發生閉鎖, 此,與半導體基板表面上直 比較,接面電容較小,在可 以降低消耗電功率。所以, LSI等領域渴望獲得應用。 區,因此即使形成CMOS電晶 並且形成很薄的半導體層,因 接形成電晶體的半導體裝置相 以實現高速工作的同時,還可 最近’尤其是在手提式機器用 但是,與半導體基板上形成的電晶體不同,傳統 結構的半導體裝置,其半導體層由埋入氧化膜與半導體美 板呈電氣隔離狀悲,因此在活化區因衝擊電離現穸產-、 載子(nMOS為電洞’pMOS為電子)集聚於通道形成區、 方的半導體層内’由此發生障礙,使工作耐壓特性^ /下 並且在通道區的電位不穩定,導致延遲時間與頻率 關係等基板浮動效應所引起的各種問題的私峰。^ 、依存 叙王 4 了解決Insulation), there is no need to worry about blocking when it surrounds the activation body. Therefore, compared with the surface of the semiconductor substrate, the junction capacitance is smaller, which can reduce the power consumption. Therefore, fields such as LSI are eager to obtain applications. Therefore, even if a CMOS transistor is formed and a very thin semiconductor layer is formed, the semiconductor device phase that forms the transistor can be used to achieve high-speed operation. At the same time, it can be used recently, especially for portable devices. However, it can be formed on a semiconductor substrate. The transistor is different. The semiconductor layer of the conventional structure has a semiconductor layer electrically buried from the buried oxide film and the semiconductor beauty plate, so it is produced by impact ionization in the active region.-Carrier (nMOS is a hole 'pMOS Are electrons) gathered in the channel forming region and the square semiconductor layer, thereby causing obstacles, making the working voltage withstand characteristics ^ / and the potential in the channel region is unstable, resulting in substrate floating effects such as the relationship between delay time and frequency Private peaks of various problems. ^ Dependence on King Su 4 solved

90102669.ptd 510055 五、發明說明(2) 這些問題,固定通道形成區的電位的方法極其有效。日本 專利特開昭5 8 - 1 2 4 2 4 3號公報曾經提出固定通道形成區的 電位的半導體裝置。 ^ 近年^ ’提出的不是對每個電晶體固定通道形成區的電 位、,是為了對同一導電型的數個電晶體的通道形成區一 起固定,由PTI進行隔離以求微細化,這一結構已經在 IEEE International SOI Conference,Oct.199990102669.ptd 510055 V. Description of the invention (2) For these problems, the method of fixing the potential of the channel formation region is extremely effective. Japanese Patent Laid-Open No. Sho 5 8-1 2 4 2 4 3 has proposed a semiconductor device that fixes the potential of a channel formation region. ^ In recent years ^ 'It is not proposed to fix the potential of the channel formation region of each transistor, but to fix the channel formation region of several transistors of the same conductivity type together, and to isolate it by PTI for miniaturization. This structure Already at the IEEE International SOI Conference, Oct. 1999

Pl31 - 132等文獻中公佈。 日圖22係顯示傳統的半導體裝置的剖面圖,在圖中,1 疋半導體基板、102是埋入氧化膜、1〇3是p型半導體層、 1〇4,隔離氧化膜、1〇5是閘極絕緣膜、1〇6是閘極、和 1〇8是型源極汲極區、1〇9是側壁絕緣膜、ι〇ι〇是配線、 1 〇 11疋層間絕緣膜、1 〇丨2是p型雜質區、丨0丨3是接 虱化膜104在形成時不到達埋入氧化膜1〇2,2個 ^道形成區成為聯通的狀態,對同一導電型的數個曰曰電-曰體 =:固:通道形成區的電位的配線u 10與口型雜質曰; 雜質,以降低電阻。 敬度冋的 的ΓΓΓ::二將:i的配線1010配置於隔離氧化賴4 高。 下,稱為"無邊界接觸”’以使元件密度提 圖23係顯示傳統的半導體裝置的剖面圖。炱 接源極.汲極區107和108的配線1010分 動^ ^連 π初判隔離氧化Published in Pl31-132 and other literatures. Figure 22 is a cross-sectional view of a conventional semiconductor device. In the figure, a semiconductor substrate, 102 is a buried oxide film, 103 is a p-type semiconductor layer, 104 is an isolation oxide film, and 105 is Gate insulating film, 106 is the gate, and 108 is the source drain region, 109 is the side wall insulating film, ι is the wiring, 1 〇11〇 interlayer insulating film, 1 〇 丨2 is a p-type impurity region, 丨 0, and 3 are lice-forming films 104 that do not reach the buried oxide film 102 when they are formed. The two ^ -channel formation regions are connected to each other. For several of the same conductivity type, Electricity-body =: solid: the wiring of the potential of the channel formation region u 10 and the mouth-type impurities; impurities to reduce resistance. Respectful ΓΓΓΓ :: The two will: i wiring 1010 is placed at the isolation oxide high. In the following, it is called " borderless contact " to increase the element density. FIG. 23 is a cross-sectional view of a conventional semiconductor device. It is connected to the source. The wiring of the drain regions 107 and 108 is 1010 divisions. Isolated oxidation

510055 五、發明說明(3) 膜104表面上而形成。 【發明所欲解決之問題】 然而,將隔離氧化膜作為p T I結構,對於使通道形成區 的電位固定的半導體裝置,PTI下的半導體層很薄(約5nm 蹲 ),因此出現基板浮動效應等問題。這是由於PTI下的半 導體層如果很薄,PTI就會從固定通道形成區的電位的配 、 線脫離,導致配線與電晶體之間的電阻升高而影響電晶體 特性的緣故。並且,根據離開固定通道形成區的電位的配 線的距離大小,各個電晶體與通道形成區的電阻出現波 動,隨之導致元件特性波動的問題發生。 如果使用無逄界接觸結構提高元件的密度,則隔離氧化 膜104和TE0S氧化膜(四乙基氧矽酸鹽)等構成的層間絕 緣膜1 0 11是同質膜,因此在層間絕緣膜丨〇丨丨上形成接觸孔 1 0 1 3時,會出現隔離氧化膜丨04也被蝕刻的問題。 圖2 4表示傳統的半導體裝置的剖面圖。如該圖所示,如 果隔離氧化膜1 0 4被蝕刻,隔離氧化膜下的p型半導體層 1 0 3和源極·汲極區1 〇 7或1 0 8形成的pn結與配線1 〇丨〇之間 的距離縮短,引起結洩漏電流的增加。 本發明是為了解決上述問題而提出的,其目的在於:在 具有"將數個電晶體的通道形成區的電壓可以一起固定的丨· PTI結構~的隔離絕緣膜"的半導體裝置,抑制基板的浮動效 應,獲得提咼隔離特性及耐壓的半導體裝置及其製造方 法。 /、 其目的在於:對於無邊界接觸結構的半導體裝置,抑制510055 5. Description of the invention (3) The film 104 is formed on the surface. [Problems to be Solved by the Invention] However, using an isolation oxide film as the p TI structure, for a semiconductor device in which the potential of the channel formation region is fixed, the semiconductor layer under the PTI is very thin (about 5 nm squat), so substrate floating effects, etc. problem. This is because if the semiconductor layer under the PTI is thin, the PTI will detach from the potential distribution and line of the fixed channel formation area, which will cause the resistance between the wiring and the transistor to increase and affect the characteristics of the transistor. In addition, the resistance of each transistor and the channel forming region fluctuates according to the distance of the wiring from the potential of the fixed channel forming region, which causes the problem of fluctuations in device characteristics. If an unbounded contact structure is used to increase the density of the device, the interlayer insulating film 1 0 11 composed of the isolation oxide film 104 and the TEOS oxide film (tetraethyloxysilicate) is a homogeneous film, so it is an interlayer insulating film. When a contact hole 1 0 1 3 is formed on the substrate, a problem arises that the isolation oxide film 04 is also etched. 24 are cross-sectional views of a conventional semiconductor device. As shown in the figure, if the isolation oxide film 104 is etched, the pn junction and wiring 1 formed by the p-type semiconductor layer 103 and the source / drain region 1 07 or 108 under the isolation oxide film 10 are etched. The distance between 丨 〇 is shortened, causing an increase in junction leakage current. The present invention has been made in order to solve the above-mentioned problems, and an object thereof is to suppress a semiconductor device having an "Isolation Insulation Film of PTI Structure ~" having the "the voltage of the channel formation region of several transistors can be fixed together" to suppress A floating effect of a substrate, a semiconductor device with improved isolation characteristics and withstand voltage, and a manufacturing method thereof. / 、 Its purpose is: for semiconductor devices without border contact structure, suppress

510055 五、發明説明(4) 結洩漏電流,獲得微細化及低消耗電功率的半導體裝置及 其製造方法。 【解決問題之手段】 本發明所屬的半導體裝置,具有半導體基板、在該半導 體基板的主表面上全面配設埋入絕緣膜、以及在該埋入絕 緣膜的表面上配設的第1導電型的半導體層構成的s〇I基 板。還裝設有:在半導體層的主面上配設的第1活化區和 第2活化區之間配設的在埋入絕緣膜之間殘留導電層而在 半導體主表面上形成的隔離絕緣膜、在第1活化區的半導510055 5. Description of the invention (4) Semiconductor device with junction leakage current, miniaturization and low power consumption, and manufacturing method thereof. [Means for Solving the Problem] A semiconductor device to which the present invention belongs has a semiconductor substrate, a buried insulating film entirely disposed on a main surface of the semiconductor substrate, and a first conductivity type disposed on a surface of the buried insulating film. The semiconductor layer is composed of a SOI substrate. An isolation insulating film formed on the main surface of the semiconductor is provided between the first activation region and the second activation region disposed on the main surface of the semiconductor layer, and a conductive layer is left between the buried insulating films. Semiconductor in the first activation zone

體層主面上以一定的間隔形成的第2導電型的第1源極區石 >及極區、介以第1閘極絕緣膜在半導體層的主面上形成與 夾於第1源極區及汲極區之間的區域相對置的第1閘極、< 以隔離絕緣膜下的半導體層與夾於第1源極區及汲極區的 區域進行電氣連接的第i導電型的第j雜質區、在第丨及第丨 活化區的f導體層及隔離絕緣膜表面上形成的第1層間絕 緣膜、在第1層間絕緣膜上形成的氮化㈣、在氮化石夕膜 表面^形成的第2層間絕緣膜、通過第1和第2層間絕緣膜 t ί ^化^夕膜形成的接觸孔分別與第1源極區和沒極區以The first source region stone of the second conductivity type formed at regular intervals on the main surface of the bulk layer, and the first region and the first source electrode are formed on the main surface of the semiconductor layer with the first gate insulating film interposed therebetween. The first gate opposite to the region between the drain region and the drain region, < the i-th conductive type that electrically connects the semiconductor layer under the insulation film with the region sandwiched between the first source region and the drain region The j-th impurity region, the first interlayer insulating film formed on the surface of the f-conductor layer and the isolation insulating film in the first and fourth activation regions, the hafnium nitride formed on the first interlayer insulating film, and the surface of the nitride film The second interlayer insulating film formed and the contact holes formed by the first and second interlayer insulating films are respectively connected to the first source region and the non-electrode region.

/以々、貝區連接的配線,通過層間絕緣膜在元件表面上 形成虱化矽膜,田a L i — 化膜下的半導體】氮化矽膜的應力作用,在隔離覃 UM0S為電洞,/ 5 ^ =命控制體的缺陷,導致載子 該半導體裝置的牿J ί子)哥命的縮短。 設的第3活化區、八、、铽在於具有·在半導體層的主表面配 以第3活化區及第1活化區之間的隔離/ Wiring connected to the ridge and the shell area, through the interlayer insulation film to form a lice silicon film on the surface of the element, Tian a L i — semiconductor under the film] stress of the silicon nitride film, in the isolation QinUM0S as a hole / 5 ^ = Defect of the life-controlling body, resulting in a shortened life of the carrier. The third activation region, 、, and 铽 are provided with a separation between the third activation region and the first activation region on the main surface of the semiconductor layer.

第7頁 510055 五、發明說明(5) 絕緣膜配設於半導體層主表面的第4活化區、在第4活化區 的半導體層主表面上形成的第2導電型的第2雜質區、在第 2雜質區的主表面上以隔開^一定的距離形成的弟1導電型的 第2源極區及汲極區、介以第2閘極絕緣膜在半導體層的主 表面上形成與第2源極區和汲極區隔開的區域相對置的第2 閘極、介以隔離絕緣膜下的半導體層在第3活化區的半導 體層主面上形成的與第2源極區和汲極區隔開的區域進行 電氣連接的第2導電型的第3雜質區。隔離絕緣膜,是殘留 埋入絕緣膜之間的半導體層而在半導體層主表面上形成 的,第1層間絕緣膜、氮化矽膜以及第2層間絕緣膜延伸形 成直到第3及第4活化區的半導體層表面上,通過在第1和 第2層間絕緣膜以及氮化矽膜形成的接觸孔分別設有連接 於第2源極區和汲極區以及第2雜質區的配線,由隔離絕緣 膜下的半導體層發生的缺陷,提高相鄰的pM〇S電晶體和 n Μ 0 S電晶體之間的对屢性。 該半導體裝置的特徵在於具有:在半導體層的主表面配 設的第3活化區、介以第3活化區及第1活化區之間的隔離 絕緣膜配設於半導體層主表面的第4活化區、在第4活化區 的半導體層主表面上形成的第2導電型的第2雜質區、在第 2雜質區的主表面上以隔開一定的距離形成的第1導電型的 第2源極區及汲極區、介以第2閘極絕緣膜在半導體層的主 表面上形成與第2源極區和汲極區隔開的區域相對置的第2 閘極、介以隔離絕緣膜下的半導體層在第3活化區的半導 體層主面上形成的與第2源極區和汲極區隔開的區域進行Page 7 510055 V. Description of the invention (5) The insulating film is provided on the fourth activation region of the main surface of the semiconductor layer, the second impurity region of the second conductivity type formed on the main surface of the semiconductor layer of the fourth activation region, and A second source region and a drain region of the first conductivity type formed at a certain distance from the main surface of the second impurity region are formed on the main surface of the semiconductor layer through a second gate insulating film and a first gate electrode. 2 The second gate, the semiconductor layer under the isolation insulating film, which is opposite to the area separated by the source region and the drain region, is formed on the main surface of the semiconductor layer in the third active region, and is the same as the second source region and the drain. The third impurity region of the second conductivity type in which the regions separated by the electrodes are electrically connected. The isolation insulating film is formed on the main surface of the semiconductor layer with the semiconductor layer buried between the insulating films remaining. The first interlayer insulating film, the silicon nitride film, and the second interlayer insulating film are formed until the third and fourth activations. On the surface of the semiconductor layer in the region, wirings connected to the second source region, the drain region, and the second impurity region are provided through contact holes formed in the first and second interlayer insulating films and the silicon nitride film, respectively. Defects in the semiconductor layer under the insulating film increase the reciprocity between adjacent pMOS transistors and n M0S transistors. This semiconductor device is characterized by having a third activation region disposed on the main surface of the semiconductor layer, and a fourth activation region disposed on the main surface of the semiconductor layer with an isolation insulating film interposed between the third activation region and the first activation region. Region, a second impurity region of the second conductivity type formed on the main surface of the semiconductor layer in the fourth active region, and a second source of the first conductivity type formed at a certain distance on the main surface of the second impurity region. A second gate electrode facing the region separated from the second source region and the drain region on the main surface of the semiconductor layer through a second gate insulating film and an isolation insulating film via the second gate insulating film The lower semiconductor layer is formed on the main surface of the semiconductor layer in the third active region and is separated from the second source region and the drain region.

90102669.ptd 第8頁 五、發明說明(6) _ =接的第2導電型的第3雜質區。第i活化 i二配設的隔離絕緣膜到達埋入絕緣膜,第:化 、、舌化,化矽膜以及第2層間絕緣膜延伸 曰及巴二 f化區的半導體層表面上,通過在第i和第Μ間j及第4 【:I Ϊ?形成的接觸孔分別設有連接於第2源極區和;膜極及90102669.ptd page 8 5. Description of the invention (6) _ = the third impurity region of the second conductivity type. The isolation insulating film provided by the i-th activation and the second reaches the buried insulating film, the first, the second, the siliconized film, and the second interlayer insulating film extend to the surface of the semiconductor layer in the second region. The i-th and m-th intervals between j and 4 [: I Ϊ? Are provided with contact holes respectively connected to the second source region and the membrane electrode and

"及弟2雜質區的配線,提高相鄰的pMOS電曰/本 電晶體之間的耐壓性。 Μ ]PM卟電日日體和nMOS ^以”連接於源極區及汲極區的配線延 二極=汲極區的隔離絕緣膜表面"為 ::於 :抑=::::^達源極區和汲極區的接I 極.刻,能夠充分地保持半導體層:: 曰及極£構成的ρη結與配線之間的距離。 原 的二二ί ί與4目鄰於連接延伸到隔離絕緣膜表面的配線 2極區及汲極區、在隔離 的配沾線 半導體裝i,即使是二:導電型的雜質為特徵的 生敍刻,自;=成接觸孔時曝露㈣隔離絕緣膜發 極區相同鄰r極.沒極區並與源極1 危險。 个双钐生5亥部分的結出現漏電流的 化夕膜為特徵的半導體裝置,借助於氮 是以且有在源i 閑極絕緣膜及埋入氧化膜的侵入。 特徵的半導體裝置,該全=2形成金屬石夕化物層為 金屬石夕化物層在蝕刻第1層間絕緣 90102669.ptd 第9頁 510055 五、發明說明(7) 膜時能終止餘刻,增加餘刻的安全係數。 還具有:介以埋入氧化膜在半導體基板表面上形 有第1導電型的半導體層的SOI基板的半導體層主面上勺二 所配設的第1及第2活化區並在其下部殘留有部分 = 的隔離絕緣膜的形成步驟、在第2活化區的半導體声_曰 表面上形成第1導電型的第1雜質區的步驟、在 的半導體層的主表面上介以閑極絕緣膜形成第丨閘 2 驟、在隔離開與第1活化區的半導體層的第i閘極' ^ 域的主表面上以規定的距離形成第2導電型的 π b 汲極區的步驟、在第i及第2活化區的半導 =°區和 膜的表面上形成第i層間絕緣膜的步驟、在第;芦f邑緣 上形成氮化矽膜的步驟、在氮化矽膜表面上形^ 2 Ί膜 絕緣,的步,、在第i和第2層間絕緣膜及氮切膜上曰八曰 形成能到達第1源極區和汲極區及第i雜質區的接觸孔二+ 驟、以及通過接觸孔分別連接於第丨源極區和 / ㈣質區的配線形成步驟,由氮化石夕膜的 = 緣導體層發生壽命控制體的缺陷,可以縮短載子 (nMOS為電洞,PM0S為電子)的壽命。 1姐戰于 此外,在半導體層的主面上包圍與第 的第3活化區及與第3活化區相鄰配設的第::: 膜’在形成隔離絕緣膜步驟完 ;= 質區形成之前具有在第4活化區内形成第2導電型的以: 質區的步驟,並且具有在第3活化區的半導體層的主表面 上形成弟2導電型的第3雜質區的步驟、在第心質區:主 90102669.ptd 第10頁 五、發明說明(8) 與第2雜YV:極第: ί :2[閘f的步驟、以及在隔開 〕才對置的區域的主表而卜 :距的第2源極區及汲極區的步驟。丄^ 層間絕緣L氮化二區"導體層表面上的在第1及第2 極區以及第2雜質區的蛀自/刀別形成能到達第2源極區和汲 裝置,在F的接觸孔的形成步驟為特徵的半導體 PMOS電晶體和_8電晶體::::=缺^、提高相鄰的 閉鎖性能的半導體裝置。B , ,i性,可以獲得提高耐 形成接觸孔的步驟,苴 的步驟和形成第丨#門疋,、有蝕刻第2層間絕緣膜 生。 了以抑制半導體層的過蝕刻現象的發 是以到達源極區和汲極區 和沒極區分別相鄰的隔離絕緣膜:=:成,與源極區 裝置,用氧化矽膜分別對第i声、、^ +為^特徵的半導體 進行蝕刻,形成接觸孔,Θ Β '、、^膜和第2層間絕緣臈 触刻條件可以抑制半導體層的;絕緣臈的 ί結=流的危險的半導體裝置的同時:不會發 充分保持半導體層和源極了 ==絕緣膜的银刻,; /朕的選擇比高的物質進行蝕 90102669.ptd 第11頁" The wiring in the impurity region improves the withstand voltage between adjacent pMOS transistors. Μ] The PM porosity solar body and the nMOS are connected to the source region and the drain region by the wiring extension diode = drain region's surface of the insulating insulation film " :: 于: 催 = :::: ^ The source and drain regions are connected to the I electrode. It can fully maintain the semiconductor layer: the distance between the ρη junction formed by the electrode and the wiring. The original two two ί and 4 mesh adjacent connections The 2-pole region and the drain region of the wiring extending to the surface of the isolation insulating film, the semiconductor device i in the isolated wiring line, even if it is two: the conductive type is characterized by impurities, since; = exposed when the contact hole is formed. The insulation region of the insulating film is the same as the adjacent r-pole. There is no pole region and it is dangerous to the source 1. A semiconductor device characterized by a leakage current at the junction of a double-tapped portion of the semiconductor layer, with the help of nitrogen, Invasion of the source insulating film and buried oxide film at the source i. Features of the semiconductor device, the total = 2 forms a metal oxide layer as a metal oxide layer in the first interlayer insulation during etching 90102669.ptd page 9 510055 5 Explanation of the invention (7) The film can terminate the rest of the film, and increase the safety factor of the rest of the film. It also has: via buried oxidation The first and second active regions are arranged on the main surface of the semiconductor layer of the SOI substrate with the first conductive type semiconductor layer formed on the surface of the semiconductor substrate, and a portion of the insulating insulating film is left at the lower part of the first and second active regions. A forming step, a step of forming a first impurity region of a first conductivity type on the surface of the semiconductor acoustic region of the second active region, forming a first gate 2 on the main surface of the semiconductor layer via a free insulating film, A step of isolating the main surface of the i-th gate region of the semiconductor layer of the first activation region from the π b drain region of the second conductivity type at a predetermined distance, half of the i-th and second activation regions; The step of forming the i-th interlayer insulating film on the surface of the film and the surface of the film, the step of forming a silicon nitride film on the edge of the film, and the step of forming a film of silicon nitride on the surface of the silicon nitride film. A contact hole 2+ step is formed on the i-th and second interlayer insulation films and the nitrogen-cut film to reach the first source region, the drain region, and the i-th impurity region, and is connected to the contact holes respectively through In the wiring formation step of the source region and / or the hafnium region, the lifetime of the edge conductor layer of the nitride nitride film is generated. The defect of the control body can shorten the lifetime of the carrier (nMOS is a hole and PM0S is an electron). In addition, the main surface of the semiconductor layer surrounds the third activation region and the third activation region. Adjacent to the arrangement of the :: film is completed before the step of forming the isolation insulating film; = the formation of the second conductivity type in the fourth activation region before the formation of the mass region has the step of: the mass region and has the third activation region Step of forming a third impurity region of the second conductivity type on the main surface of the semiconductor layer of the semiconductor layer, in the second centroid region: the main 90102669.ptd page 10 V. Description of the invention (8) and the second hetero YV: pole number: ί : 2 [the step of the gate f, and the main table of the area facing each other] and the second step: the step from the second source region and the drain region.丄 ^ The interlayer insulation L-nitride second region " on the surface of the conductor layer in the first and second electrode regions and the second impurity region of the self-cut / knife formation can reach the second source region and the drain device, in F A semiconductor PMOS transistor and a -8 transistor characterized by the step of forming a contact hole :::: == a semiconductor device that improves adjacent latching performance. The properties of B,, and i can be obtained to improve the resistance to the step of forming the contact hole, the step of 苴 and the formation of the ## 疋, and the second interlayer insulating film is etched. In order to suppress the over-etching of the semiconductor layer, the isolation insulating film reaching the source region, the drain region, and the non-electrode region is adjacent to each other: =: Cheng, and the source region device, respectively, with a silicon oxide film The semiconductor with the characteristics of acoustic, ^ +, etc. is etched to form a contact hole. Θ Β ′, ^, and the second interlayer insulation 臈 contact conditions can suppress the semiconductor layer; the junction of the insulation = = dangerous flow At the same time of the semiconductor device: silver engraving of the semiconductor layer and the source electrode will not be fully maintained; = a material with a high selectivity ratio of / 朕 is etched 90102669.ptd page 11

d J 五、發明說明(9) -------- 3刻由與氮化石夕膜的選擇比低的物質進行 第彳/ 、* ¥體裝置,利用與氮化矽膜的選擇比進行 制、、巴緣版和第2層間絕緣膜的蝕刻,因此可以形成控 制性k好的接觸孔。 【本發明之實施形態】 (實施形態1) 圖^系顯Λ本,明實施形態1的半導體裝置的剖面圖,在 吨t疋半導體基板;2是埋入氧化膜;3是半導體層; 81曰:乳化膜;5是閘極絕緣膜;6是閘極;7、71、8及 緣2 1 z及極3區;7 2和8 2是σ袋式植入區;9是側壁絕 、’及1 1 〇疋配線;11是層間絕緣膜;丨2是ρ型雜質 £ ; 13是接觸孔;14是氮化矽膜。 ”圖丄係顯示本發明實施形態1的半導體裝置的俯視圖。圖 疋圖2所不的Α-Α剖面的剖面圖。在該圖2中,&了簡化起 側^略了層間絕緣膜11和111、氮化石夕膜14、配線10、 72“】緣^9、源、極·汲極區71和81、以及口袋式植入區 入品考圖1在半導體基板1的表面上,介以埋入氧化膜2 二面形成半導,層3,稱該基板為,,s〇丨基板",其形成方法 目^黏貼重法和s〖Μ〇χ法等各種方法,採用哪一種方 亡 >主=卩可以。埋入氧化膜2的膜厚為100nm〜5〇〇nm左 質、:ιί 的膜厚為3〇nm〜40 0nm左右,含有加型雜 貝/辰度大約為1 X 1〇15〜J χ 1〇18/cm—3。 由半導體層3形成的p型雜質區12、氧化石夕膜等隔離絕緣 苐12頁 \\312\2d-code\90-05\90102669.ptd 510055d J V. Description of the invention (9) -------- 3 进行 /, * ¥ body device made of a material with a lower selection ratio than the nitride nitride film, using the selection ratio with the silicon nitride film Since the etching is performed on the substrate, the rim, and the second interlayer insulating film, a contact hole with good controllability k can be formed. [Embodiment of the present invention] (Embodiment 1) FIG. ^ Is a cross-sectional view of a semiconductor device of Embodiment 1, showing a semiconductor substrate at a ton t; 2 is a buried oxide film; 3 is a semiconductor layer; 81 Said: emulsified film; 5 is the gate insulation film; 6 is the gate; 7, 71, 8 and the edge 2 1 z and the pole 3 area; 7 2 and 8 2 are the sack-type implantation area; 9 is the side wall insulation, 'And 1 1 0 疋 wiring; 11 is an interlayer insulating film; 2 is a p-type impurity; 13 is a contact hole; 14 is a silicon nitride film. FIG. 2 is a plan view showing a semiconductor device according to the first embodiment of the present invention. FIG. 2 is a cross-sectional view taken along the A-A cross section shown in FIG. 2. In FIG. 2, & And 111, nitride nitride film 14, wiring 10, 72 "] edge ^ 9, source, drain and drain regions 71 and 81, and pocket implantation region. Figure 1 On the surface of semiconductor substrate 1, The semi-conductor and layer 3 are formed by burying the oxide film 2 on both sides, and the substrate is called ", s〇 丨 substrate". The formation method is ^ adhesion method, s 〖M〇χ method and other methods, which one is used? Fang > Master = 卩 Yes. The thickness of the buried oxide film 2 is 100nm ~ 500nm, the thickness of the substrate is about 30nm ~ 400nm, and the content of the additive-containing impurities is about 1 X 1015 ~ J χ 1018 / cm-3. Isolation and insulation of p-type impurity region 12 and oxide oxide film formed by semiconductor layer 3 苐 Page 12 \\ 312 \ 2d-code \ 90-05 \ 90102669.ptd 510055

膜4 ( pt i )構成的局部隔離區,包圍電晶體形成的活化 區’使其相互隔離,最小隔離寬度為20 0ηιη左右。隔離絕 緣膜4的膜厚相當於半導體層3的膜厚的1/2〜1/3,隔離氧 化膜4下的半導體層3的膜厚設定在1〇〜2〇〇η[π左右。 、曾在隔離絕緣膜4的上面最好是進行微細加工,使其與半 導體層3的表面高度相同。當半導體層3較薄時,如果想充 分地殘留隔離絕緣膜4下的半導體層3的膜厚,The local isolation region formed by the film 4 (pt i) surrounds the activation region formed by the transistor to isolate them from each other, and the minimum isolation width is about 20 nm. The film thickness of the isolation insulating film 4 corresponds to 1/2 to 1/3 of the film thickness of the semiconductor layer 3, and the film thickness of the semiconductor layer 3 under the isolation oxide film 4 is set to about 10 to 200 [n]. It is preferable that the upper surface of the isolation insulating film 4 be micro-processed so as to have the same height as the surface of the semiconductor layer 3. When the semiconductor layer 3 is thin, if the thickness of the semiconductor layer 3 under the isolation insulating film 4 is desired to remain sufficiently,

隔離元件所須的膜厚’目此使隔離氧化膜4的上 比半導體層3的表面高一些’可以提高元件隔離特性。在 f導體層3和隔離絕緣膜4之間根據需要形成5〜3〇·厚 ^匕石夕膜*(圖^未示出)。這裏,作為隔離絕緣膜4使用 ^化石夕膜,Λ際上使用氮化矽膜、氧氮化矽膜、含有 勺氧化矽膜或多孔形的氧化矽膜等其他各種膜也可以。 源極.汲極區7、8、71、81、口袋式植入區72、8 型半導體層3中植入雜質形成的,ρί 幸' £12 a有浪度為1χ 10π〜lx 1〇18/cm3範圍的Β等元 ^三口袋式植入區72及82含有濃度為1χ 1〇1?〜ΐχ ι〇ΐ9/Μ3 :ϊ Γ道了; V該σ袋式植入區72及78是為了抑The required film thickness of the isolation element 'is to make the upper surface of the isolation oxide film 4 higher than the surface of the semiconductor layer 3' to improve the element isolation characteristics. Between the f-conductor layer 3 and the isolation insulating film 4, a 5 to 30 mm thick dagger stone film * is formed as necessary (see Fig. ^). Here, as the isolation insulating film 4, a fossil film is used, and various other films such as a silicon nitride film, a silicon oxynitride film, a silicon oxide film containing a spoon, or a porous silicon oxide film may be used. Source. Drain region 7, 8, 71, 81, pocket implantation region 72, 8 formed by implanting impurities in the semiconductor layer 3, ρί Fortunately '£ 12 a has a range of 1x 10π ~ lx 1〇18 / cm3 range of B iso ^ The three-pocket implantation areas 72 and 82 contain a concentration of 1χ 1〇1? ~ ΐχι〇ΐ 9 / Μ3: 道 道; V The σ bag implantation areas 72 and 78 are In order to suppress

托厂 通過调即閘極絕緣膜、源極·汲 :區的結的深度使其最佳化,有時可以不形成口植ί 源極·沒極區7和8含有濃度為j 的钟等η型雜質,在形成時到達埋 區7丨和78含有濃度為1Χ γ X 1〇19 〜1 X l〇21/cm3 範圍 入氧化膜2,源極·汲極 1 〇2Q /cm3左右的石粦等η型The tray is optimized by adjusting the depth of the gate insulation film and the source / drain: junction, and sometimes it is not necessary to form a mouth plant. Source and non-polar regions 7 and 8 contain a j with a concentration of j, etc. η-type impurities reach the buried area 7 丨 and 78 when they are formed. They contain stones with a concentration of 1 × γ X 1019 ~ 1 X 1021 / cm3 in the range of oxide film 2 and source · drain 1 〇2Q / cm3. Isotype

發明說明(11) _ ::,極二極區7和8都是LDD (輕度摻雜汲極區) 區7和8有時不能到達…成的。源極.沒桎DESCRIPTION OF THE INVENTION (11) _ ::, the pole diode regions 7 and 8 are both LDD (lightly doped drain region) regions 7 and 8 which cannot be reached sometimes. Source.

膜;,有si。2、si〇N 酸鋇鳃)J :、a2°5、Al2〇3、BST臈(BaxSri—xTi〇3 ··鈦 -^ ';lf00^2 5 X 1 〇2〇/Cm3 11 ® „ t , rs ^Membrane ;, there is si. 2. si〇N barium acid gill) J :, a2 ° 5, Al2〇3, BST 臈 (BaxSri-xTi〇3 ·· titanium- ^ '; lf00 ^ 2 5 X 1 〇2〇 / Cm3 11 ® „t , rs ^

HfSi2 >PdSi P;S· v2c : 2 '^12 'M〇Si^ ' 、p 12 ZrSl2等金屬矽化物層或者與w、M〇 金屬形il;屬的疊層結構也可以,由W,、CU、二 的表面上妒成以在源極.汲極區7和8以及P型雜質區12 未示出2) 1 tSl2、ΖΓδΐ2等金屬矽化物也可以(圖中 膜9是由氧化石夕膜、TEGS膜、SiA膜或SiA 人2、®層膜等形成的,siaN4膜或Si^/Si02的疊層膜 蝕=膜,即使ΐ形成接觸孔13的罩幕發生錯位時也不 工二由於與氮化石夕膜14的疊加效應,作為源極區而 導體声3"、極•藤及極區7和71、8和81相鄰的通道形成區的半 f必二工’在應力作用下生成的缺陷密度增加,通道形成 品、(nM0S為電洞,PM0S為電子)被源極區吸收,能夠 進一步抑制基板浮動效應。 夠 層間絕緣膜11和111,是由電漿CVD法、LPCVD (低壓化HfSi2 > PdSi P; S · v2c: 2 '^ 12' M〇Si ^ ', p 12 ZrSl2 and other metal silicide layers, or a metal layer with w, Mo metal structure il; can also be composed of W, On the surface of CU, CU, Ⅱ, they are jealous at the source. Drain regions 7 and 8 and P-type impurity region 12 are not shown. 2) 1 tSl2, ZΓδΐ2 and other metal silicides are also available (film 9 in the figure is made of oxide stone). Even film, TEGS film, SiA film, or SiA 2 or ® layer film, etc., siaN4 film or Si ^ / Si02 laminated film etch = film, even if the mask forming the contact hole 13 is misaligned, it will not work. Due to the superimposed effect with the nitride stone film 14, as a source region, the conductor sound 3 ", the pole and vine and the pole region 7 and 71, 8 and 81 are adjacent to each other and the half of the channel formation region must work in stress. The density of defects generated under the action increases, and the channel forming products (nM0S is a hole and PM0S is an electron) are absorbed by the source region, which can further suppress the floating effect of the substrate. The interlayer insulating films 11 and 111 are formed by plasma CVD method LPCVD (low pressure

90102669.ptd 第14頁 510055 五、發明說明(12) 學氣相沈積)法或常壓CVD法等方法形成的氧化石夕膜 間絕緣膜11的厚度為1 0〜30〇nm、層間絕緣膜丨丨! '’曰 100〜20 0 0·。並且’代替氧化石夕膜,使用TE〇s (予x”'、 四乙膜、SOG (在玻璃板上輥塗)膜、植入雜質 (磷矽酸鹽玻璃)、BSG(硼矽酸鹽玻璃)、BpSG/=ub 鹽玻璃)或BPTEOS (硼磷四乙基氧矽酸鹽)等形&成蝴也 除了形成0. 1 〜0. 5 //直徑的接觸孔13部分以八 面形成膜厚為50〜lOOnm的氮化矽膜14。然後,通過^ 氮化矽膜14在隔離絕緣膜4下的半導體層3上形成缺陷' 圖3係顯不本發明實施形態丨的半導體裝置的剖面圖, 是圖1所示的虛線B包圍部分的放大圖。如該圖所示, 離絕緣膜4下形成了缺陷。 网 二常’:為氮化矽膜的組成’眾所周知含有應力為“ 10 dyn/cm2的_4,0 iSixNy中以的比例可以控制膜的 應力。如果進一步添加〇2,通過調整〇 : N比例也可以控 膜的應力’因此取代氮化石夕膜而开彡成气 丨、瓜,u ^联向形成乳氮化矽膜(Si ON) 也可以。 / 其次,對於動作進行說明。炎去m Ί ,, ; ^ 曰辨66 _ π ♦丄 多考圖1,例如對於nMOS電 曰曰體勺If況,施加於各個電極的電壓\ = 1· 8V、 . 8v、 :r:.、、VB = 〇V在閘極5、下的半導體層3的表面形成通道, [,另m和71、或源極.汲極區8和81的-方為源極 & ’另一方為 >及極區,紐忐啻 一 、、且成笔路而工作。隔離絕緣膜4下 的半導體層3與閘極6下的半導俨Μ ^ 接 , r J干导體層3 —樣,包含有p型雜90102669.ptd Page 14 510055 V. Description of the invention (12) Learn about vapor deposition) or atmospheric pressure CVD, etc. The thickness of the interlayer insulating film 11 is 10 ~ 30nm, and the interlayer insulating film丨 丨! '’Said 100 ~ 20 0 0 ·. And 'instead of the oxide stone film, use TE0s (yo x'), tetraethyl film, SOG (roller coating on glass plate) film, implanted impurities (phosphosilicate glass), BSG (borosilicate) Glass), BpSG / = ub salt glass) or BPTEOS (Boron Phosphate Tetraethyloxy Silicate) and other shapes & Cheng Hu also in addition to forming 0. 1 ~ 0. 5 // diameter of the contact hole 13 parts in eight faces A silicon nitride film 14 having a thickness of 50 to 100 nm is formed. Then, a defect is formed on the semiconductor layer 3 under the isolation insulating film 4 through the silicon nitride film 14 'FIG. 3 shows a semiconductor device according to an embodiment of the present invention. The cross-sectional view is an enlarged view of a portion surrounded by a dotted line B shown in FIG. 1. As shown in the figure, defects are formed under the insulating film 4. The network is often ': is the composition of the silicon nitride film' and is known to contain stress as "10 dyn / cm2 of _4,0 iSixNy in a ratio can control the film stress. If you further add 〇2, you can also control the film's stress by adjusting the 〇: N ratio. Therefore, instead of the nitride nitride film, it can be opened to form gas, melons, and u ^ to form a silicon nitride nitride film (Si ON). . / Next, the operation will be explained.去去 m Ί ,,; ^ said to identify 66 _ π ♦ 丄 Consider Figure 1 for example. For the nMOS case, the voltage applied to each electrode \ = 1.8V, .8v,: r :. VB = 0V forms a channel on the surface of the gate 5 and the lower semiconductor layer 3, [, and m and 71, or the source. The-side of the drain regions 8 and 81 is the source & 'the other side is > And the polar regions, Niu Yiyi, and work in writing. The semiconductor layer 3 under the isolation insulating film 4 is connected to the semiconductor 下 M ^ under the gate 6, and the r J dry conductor layer 3 is the same, including a p-type impurity.

90102669.ptd 第15頁 510055 五、發明說明(13) 質,因此閘極6下的半導體層3,通過雜質區1 2從配線1 1 0 被施加電壓。 上述列出的電壓只是其中一例,電壓隨閘極絕緣膜的厚 度和閘極長度而變化。 在該實施形態1中,對nMOS電晶體在形成的情況進行了 說明,在形成pMOS電晶體的情況,半導體層3中含有的雜 質是磷和砷等η型雜質,源極·汲極區7、8、7 1和8 1中所 含有的雜質是硼等Ρ性雜質,口袋式植入區7 2和8 2中所含 有的雜質是As、Ρ或Sb等η型雜質,閘極6中所含有的雜質 是硼等ρ型雜質。代替ρ型雜質區,可以形成η型雜質區。 此時施加的電壓分別為:電壓二0V、VD = 0V、Vs = l. 8V、 VB = 1. 8V。 在本實施形態中,給出了配線1 0和1 1 0的一例,通過電 路的不同構成,於配線和電晶體之間形成的層間絕緣膜的 層數、配置等發生相應的變化,只是對運用在一個活化區 形成一個電晶體的半導體裝置進行了說明,實際上並不限 於此。 對於本實施形態1,由全面形成氮化矽膜1 4的圖例進行 了說明,對於並用PTI和FTI的半導體裝置(圖中未示出), 作為元件隔離使用PT I的區域如果形成氮化矽膜1 4,可以 使隔離特性提高。 圖4係顯示本發明實施形態1的半導體裝置的剖面圖,圖 中的141是氮化矽膜。如該圖所示,由Si3N4膜或Si3N4/ S i 02的疊層膜等含氮的膜形成側壁絕緣膜9的同時,在閘90102669.ptd Page 15 510055 5. Description of the invention (13) Therefore, the semiconductor layer 3 under the gate 6 is applied with a voltage from the wiring 1 1 0 through the impurity region 12. The voltages listed above are just one example, and the voltage varies with the thickness of the gate insulation film and the gate length. In the first embodiment, a description is given of a case where an nMOS transistor is formed. When a pMOS transistor is formed, impurities contained in the semiconductor layer 3 are n-type impurities such as phosphorus and arsenic, and the source and drain regions 7 are formed. The impurities contained in 8, 8, 7 and 81 are P-type impurities such as boron, and the impurities contained in pocket implant regions 7 2 and 82 are n-type impurities such as As, P, or Sb. The contained impurities are p-type impurities such as boron. Instead of the p-type impurity region, an n-type impurity region may be formed. The voltages applied at this time are: voltage two 0V, VD = 0V, Vs = 1.8V, VB = 1.8V. In this embodiment, an example of the wiring 10 and 1 10 is given. The number of layers and the arrangement of the interlayer insulating film formed between the wiring and the transistor are changed according to the different configurations of the circuit. Although a semiconductor device in which an transistor is formed in an active region has been described, it is not limited to this. The first embodiment is described with reference to an example in which a silicon nitride film 14 is formed in its entirety. For a semiconductor device (not shown) in which PTI and FTI are used in combination, if silicon nitride is formed in a region where PT I is used as element isolation. The film 14 can improve the isolation characteristics. Fig. 4 is a cross-sectional view showing a semiconductor device according to the first embodiment of the present invention, and 141 in the figure is a silicon nitride film. As shown in the figure, while the sidewall insulating film 9 is formed of a nitrogen-containing film such as a Si3N4 film or a laminated film of Si3N4 / Si 02, the

\\312\2d-code\90-05\90102669.ptd 第16頁\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 16

I 五、發明說明(14) 的表面上如果形成氮化矽膜141,則更不 托 和配線10連接的危險性。 &生閘極6 圖5係顯示本發明實施形態丨的另一半導體裝置 ,圖6係顯示本發明實施形態}的另一半導的;回I. V. Description of the Invention If a silicon nitride film 141 is formed on the surface of (14), the danger of connection to the wiring 10 is not to be considered. & Generating gate electrode 6 FIG. 5 shows another semiconductor device according to the embodiment of the present invention, and FIG. 6 shows another semiconductor device according to the embodiment of the present invention.

Lifi這些圖可知’具有將閘極6和源極.汲極區7°兩: ΪΪ=的配線1〇 ’該部分的接觸孔直徑大約是其他t =觸孔直徑的2倍.。採取這樣結構的半導體^ 。刀 為公用接觸結構",閘極6和源極.汲極區7用於吊% 同電位工作的讓存儲單元等,除了該配線結構二= 1所示的半導體裝置的結構相同。 與圖 圖7係顯示本發明實施形態丨的另一半導體 h⑴是層間絕緣膜、131是接觸孔、21〇是配線。?考面圖 ,,通過形成於層間絕緣膜113的接觸孔131,將配 ^ 閘極6,該接觸孔131的形成區,除了隔離絕緣犋: 襄置相:…2的FTI以外,均與圖1和圖2所示的半導體 所示的半導體裝置的俯視圖,圖7所示的 圖疋圖8所不的剖面D_D的剖面圖。 部分是m。在圖8中,為了簡化起見考【8虛干線二,的 緣膜。 令衣不出層間絕 ,ί Γ二和:L在形成接觸孔131時即使罩幕發生錯動 絕緣輪刻而到達半導體層3的問ΐ 第17頁 90102669.ptd 510055 五、發明說明(15) 根據本實施形態1所示的半導體裝置,具有半導體基板 、在該半導體基板的整個表面上配設的埋入絕緣膜、i以及 在表面上配設的半導體層構成的S0 !基板主表面由元件形 成的半導體裝置上介以層間絕緣膜在元件表面上形成的氮 化矽膜i由氮化矽膜的應力作用在隔離絕緣膜下的半導體 層發生哥命控制體的缺陷,載子(nM〇s為電洞,為電 子)的哥命可以縮短。因此,即使隔離絕緣膜下的半導體 層較薄時也可以穩定地固定閘極下的通道形成區的電位, 可以抑制延遲時間與頻率的相關性,並抑制基板的浮動效 應’故可以提高半導體裝置的可靠性。 眾所周矣Π,如果在閘極中侵入氫氣,於半導體層和間極 ,緣膜的介面出現氫的終端,則抗熱載子的能力降低。但 是,由於形成了氮化矽膜,因此可以避免氫侵入閘極絕緣 膜和埋入氧化膜中,提高了抗熱載子的能力。 對於氮化矽膜控制|^對^的比例,或者於氧氮化矽膜控 制0與N的比W,可以提高氮切膜和氧氮切膜的膜的應 力丄並可以提高PTI的隔離絕緣膜下的半導體層發生缺陷 的选度,所以能夠提高壽命控制體的作用。 其次,說明本實施形態1的半導體裝置的製造方法。 圖9〜13係顯示實施形態1的半導體裝置製造方法的一道 步驟的剖面圖。在圖9中,31是氧化矽膜、32 =是溝渠。參考圖9,在半導體基板^表面上配設埋'入 氧化膜2和半導體層3的301基板的半導體層3表面上形成且 有5〜40nm膜厚的氧化矽膜31。作為氧化矽膜”的形成方These figures from Lifi show that 'the gate electrode 6 and the source electrode and the drain region 7 ° are two: ΪΪ = the wiring 10' The contact hole diameter of this part is about twice the diameter of the other t = contact hole. A semiconductor having such a structure ^. The blade is a common contact structure. The gate 6 and the source. The drain region 7 is used to suspend memory cells and the like at the same potential, except that the semiconductor device shown in the wiring structure 2 = 1 has the same structure. And FIG. 7 shows another semiconductor according to the embodiment of the present invention, that is, an interlayer insulating film, 131 is a contact hole, and 21 is a wiring. ? According to the plan view, the gate electrode 6 is formed through the contact hole 131 formed in the interlayer insulating film 113, and the formation area of the contact hole 131 is the same as that of the figure except for the FTI of the isolation insulation phase: ... 2 1 and 2 are plan views of the semiconductor device shown by the semiconductor, and FIG. 7 is a cross-sectional view taken along a section D_D shown in FIG. 8. The part is m. In Fig. 8, for the sake of simplicity, consider the mask of [8 virtual trunk line 2]. In order to ensure that the clothes are not separated from each other, Γ Γ: When the contact hole 131 is formed, even if the cover screen is shifted to the insulation layer, the semiconductor layer 3 is reached. Page 17 90102669.ptd 510055 V. Description of the invention (15) According to the semiconductor device described in the first embodiment, the semiconductor substrate includes a semiconductor substrate, a buried insulating film disposed on the entire surface of the semiconductor substrate, i, and S0 formed by a semiconductor layer disposed on the surface. The main surface of the substrate is composed of an element. The silicon nitride film i formed on the surface of the device via the interlayer insulating film formed on the semiconductor device formed by the stress of the silicon nitride film under the isolation insulating film causes a defect of the life-controlling body, the carrier (nM. s is an electric hole and an electron). Therefore, even when the semiconductor layer under the insulating film is thin, the potential of the channel formation region under the gate can be stably fixed, the correlation between the delay time and the frequency can be suppressed, and the floating effect of the substrate can be suppressed. Reliability. According to public opinion, if hydrogen is invaded in the gate electrode, if the hydrogen terminal appears on the interface of the semiconductor layer and the interlayer, the ability to resist hot carriers will be reduced. However, since the silicon nitride film is formed, hydrogen can be prevented from invading the gate insulating film and buried in the oxide film, and the ability to resist hot carriers is improved. For the silicon nitride film control, the ratio of ^ to ^, or for the silicon oxynitride film to control the ratio of 0 to N, can increase the stress of the nitrogen-cut film and the oxygen-nitrogen cut film, and can improve the isolation and insulation of PTI. The degree of occurrence of defects in the semiconductor layer under the film can increase the effect of the life control body. Next, a method for manufacturing a semiconductor device according to the first embodiment will be described. 9 to 13 are sectional views showing one step of the method for manufacturing a semiconductor device according to the first embodiment. In FIG. 9, 31 is a silicon oxide film, and 32 = a trench. Referring to FIG. 9, a silicon oxide film 31 having a thickness of 5 to 40 nm is formed on the surface of the semiconductor layer 3 of the 301 substrate with a buried oxide film 2 and a semiconductor layer 3 disposed on the surface of the semiconductor substrate. The formation method of "as a silicon oxide film"

90102669.ptd 51005590102669.ptd 510055

五、發明說明(17) i氧介:表面一半導體層3表面之間的厚度方向的段差, 32和^ 1膜進仃蝕刻後用熱磷酸的濕式蝕刻去除氮化矽膜 的最1 +矽胲3丨,形成隔離絕緣膜4。圖11係顯示該步驟 日』取終步驟的剖面圖。 進^ Γ 4丨内沈積氧化矽膜之前,於9 0 0〜1 0 0 0 °C的高溫 及、、羞3化’使溝渠41底部和側面形成的石夕的邊角部、以 汉屏¥ 41侧面和丰導轉s q φ 弧带 ^ ^ ¥ 層3表面形成的矽的邊角部作成圓V. Description of the invention (17) i Oxygen: Surface-to-semiconductor layer 3 thickness difference in the thickness direction, 32 and ^ 1 films are etched with wet phosphoric acid to remove the silicon nitride film 1 + The silicon wafer 3 丨 forms an isolation insulating film 4. Fig. 11 is a cross-sectional view showing the final step of this step. ^ Γ 4 丨 Before the silicon oxide film is deposited, at a high temperature of 900 ~ 100 ° C and a low temperature, the corners of Shi Xi formed at the bottom and sides of the ditch 41, and the Han screen ¥ 41 Side and abundance guide turns φ arc band ^ ^ ¥ The corners of the silicon formed on the surface of layer 3 are rounded

弧形,緩和該部分的應力。 U 了 Ξ ί ΐ化法全面形成氧化矽膜以後(圖中未示出),在為 光阻:ϊ严形士成區的電子的配線的部位,形成有開口區的 =罩幕(圖中未示出),當為漏S的情況,植入B、bf2、 声!離子’形成有lx 1017〜lx 1〇18/⑽3的雜質濃 ΐ ϋ隹作貝區12。當為pM〇s的情況,植入p、As、sb等11 i亦隹貝的離子,形成n型雜質區。 質ϊΐ需為nM0S的情況’全面植入蝴和氟化爛等雜 二離:工當為截的情況,全面植入P和As等雜質離子。 ^入離子的電壓為1Q〜2QKeV,濃度為ΐχΐ()ΐ2〜5χ ㈣’對通逼形成區調整臨限值而導入雜質(圖中未示 出)。该氧化石夕膜可左M工 ^ 4σ ^ 果了在離子植入時保護半導體基板的表面 不=t Ό丨完成離子植人後去除該氧化膜。 A ^ 1的敫彳圖丨2作為閘極絕緣膜5,例如,在半導體 ' 、正、面上,用熱氧化法形成7〜1 0nm厚的氧化石夕 膜以後,用LPCVD法全而冲择彳ηη ,λλ ^ 7 多晶石夕層,然後用光阻罩幕Η = 尤丨罩奉(圖中未示出)借助於RIE或The arc shape reduces the stress on the part. After the silicon oxide film has been fully formed by the chemical conversion method (not shown in the figure), an opening area is formed in the photoresistance: high-density region of the electronic wiring area. (Not shown), in the case of leaking S, implanted B, bf2, sound! Ions' formed with a concentration of impurities of lx 1017 ~ lx 1018 / ⑽3, as the shell area 12. In the case of pMos, ions of 11 i such as p, As, and sb are implanted to form an n-type impurity region. In the case of nM0S, the quality must be fully implanted with impurities such as butterflies and fluorinated decay. In the case of a cutoff, the impurity ions such as P and As are fully implanted. ^ The voltage of the ion is 1Q ~ 2QKeV, and the concentration is ΐχΐ () ΐ2 ~ 5χ ㈣ ′. Impurities are introduced by adjusting the threshold of the through-force formation region (not shown in the figure). The oxide film can be used to protect the surface of the semiconductor substrate during ion implantation. The oxide film is not removed after ion implantation. Figure 2 of A ^ 1 is used as the gate insulating film 5. For example, after forming a 7 to 10 nm-thick oxide oxide film on the semiconductor substrate, the front surface, and the front surface by a thermal oxidation method, use the LPCVD method Select 彳 ηη, λλ ^ 7 polycrystalline silicon layer, and then use a photoresist to cover the screen Η = especially cover (not shown in the figure) by means of RIE or

\\312\2d-code\90-05\90102669.ptd 第20頁 510055 五、發明說明(18) EC:等異向性賺置繪製圖案, 層6。此時,在多晶矽的表面上 /從]夕日日石夕 以及氧氮化矽膜的疊層膜後,使用I ^夕膜1化秒膜 圖案’然*,用繪製的膜加工多對這;膜緣製 .α 夕日日矽層也可以。並且 夕日日石夕層的表面上沈積f S i等今屬功儿^ 卞至屬石夕化物層以後繪製圖荦 也可以(圖中未示出)。 然後,當為nMOSH兄,植入硼和說化石朋p型雜質 丄當為PMOS的情況,植入P、As#n型雜質的離子,植入穷 度lx HF〜lx 10H/cm2,形成口袋式植入區72和82。山 然後,當為nMOS的情況,植入磷和砷;當為pM〇s的 況,植入硼和氟化硼等,植入電壓為2〇〜4〇keV、植入 子密度為10h〜1x ,形成口袋式植入區 81° 其次,用電漿CVD法全面沈積3〇〜1〇〇1)111厚的氧化矽膜, ,過蝕刻形成側壁絕緣膜9後,當為nM〇s的情況,植入砷 等;當為PM0S的情況,植入硼和氟化硼等,植入電厚為 l〇keV、植入離子密度為lx 1〇14〜1χ 1〇16/cm2,形成二原極 •汲極區7和8。圖1 2係顯示該步驟結束步驟的半導體 的元件的剖面圖。\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 20 510055 5. Description of the invention (18) EC: Isotropic anisotropic drawing pattern, layer 6. At this time, on the surface of the polycrystalline silicon, after the laminated film of the sun and the sun and the silicon oxynitride film, use the film pattern of the film, and then use the drawn film to process many pairs of this; Membrane system. Α Evening silicon layer can also be used. In addition, f S i is deposited on the surface of the Xixi layer today, and it is also possible to draw a map after the Shixi material layer is formed (not shown in the figure). Then, when it is nMOSH, boron and fossil p-type impurities are implanted. When it is PMOS, ions of P, As # n-type impurities are implanted, and the degree of implantation is lx HF ~ lx 10H / cm2 to form a pocket.式 implantation zones 72 and 82. Then, in the case of nMOS, phosphorus and arsenic are implanted; in the case of pM0s, boron and boron fluoride are implanted, the implantation voltage is 20 ~ 40keV, and the implant density is 10h ~ 1x to form a pocket-type implantation area at 81 °. Second, a plasma CVD method was used to fully deposit a silicon oxide film with a thickness of 30 ~ 1001). After the sidewall insulation film 9 was formed by over-etching, it was nM0s. In the case of PM0S, boron and boron fluoride are implanted. The implanted electrical thickness is 10keV and the implanted ion density is lx 1014 ~ 1x 1016 / cm2. Original • Drain Regions 7 and 8. FIG. 12 is a cross-sectional view of a semiconductor device showing a step at the end of this step.

510055 五、發明說明(19) 源極·沒極區作成LDD結構,因此有時不形成源極·;:及極 區7和8。植入的雜質在800〜1150 °C退火1〇〜3〇min可以被 活化。 當在閘極6和源極汲極區7和8的表面上形成c〇s i2等金屬 矽化物層時,在該步驟全面沈積鈷,進行RTA處理(快速退 火熱處理)時’曝露出石夕的閘極6表面與源極·没極區7和8 的表面發生反應,在該區形成金屬矽化物層。然後,去除 掉未發生反應而殘留下來的鈷(圖中未示出)。除了 C〇S i2 以外,形成TiSi2、NiSi2、WSi2、TaSi2、MoSi2、HfSi2、 PdSi、PtSi2、ZrSi2等金屬矽化物也可以。 在圖13中’ 302是光阻罩幕。 參考圖1 3 ’用電漿C V D法、L P C V D法或常壓c V D法等方法 沈積1 0〜3 0 0 n m厚的作為層間絕緣膜11的氧化石夕膜。代替 氧化矽膜,層間絕緣膜11可以採用TE0S膜、S0G膜、植入 雜質的PSG、BSG、BPSG或BPTE0S等形成的膜也可以,根據 需要,上述氧化膜的沈積步驟也可以省略。 然後’採用L P C V D法(6 0 0〜8 0 0 °C )、電漿c V D法(3 0 0〜 5 0 0 °C)或常壓CVD法( 3 0 0〜5 00。〇等方法形成具有膜厚〜5〇 〜1 OOnm的氮化矽膜14。代替氮化矽膜,使用Si〇 N也 可以’ Si和N的組成與SiM不同也可以。用lpcvd法形^的 膜,膜厚的均句性良好,具有緻密性好、化學穩定性言的 優點,用電漿CVD法和常壓CVD法形成膜時在低溫下即=_ 成,因此可以抑制雜質的TED (瞬間提高擴散)行為,具^ 有可以提咼電晶體的電流驅動能力的優點。並且,電㊅510055 V. Description of the invention (19) The source and non-electrode regions are made of LDD structure, so sometimes the source electrodes are not formed; and the electrode regions 7 and 8. The implanted impurities can be activated by annealing at 800 ~ 1150 ° C for 10 ~ 30min. When metal silicide layers such as COS i2 are formed on the surfaces of gate 6 and source drain regions 7 and 8, cobalt is fully deposited in this step, and RTA treatment (rapid annealing heat treatment) is performed to expose Shi Xi ’s The surface of the gate electrode 6 reacts with the surfaces of the source and non-electrode regions 7 and 8 to form a metal silicide layer in this region. Then, the remaining cobalt (not shown in the figure) that has not reacted is removed. In addition to CoS i2, metal silicides such as TiSi2, NiSi2, WSi2, TaSi2, MoSi2, HfSi2, PdSi, PtSi2, and ZrSi2 may be formed. In Fig. 13, '302 is a photoresist mask. Referring to FIG. 1 ', a stone oxide film as the interlayer insulating film 11 having a thickness of 10 to 300 nm is deposited by a plasma C V D method, a L P C V D method, or a normal pressure c V D method. Instead of the silicon oxide film, the interlayer insulating film 11 may be a film formed by a TE0S film, a SOG film, an impurity-implanted PSG, BSG, BPSG, or BPTEOS, or the like. The above-mentioned oxide film deposition step may be omitted if necessary. Then, it is formed by LPCVD method (600 ~ 800 ° C), plasma c VD method (300 ~ 500 ° C), or atmospheric pressure CVD method (300 ~ 500 °). Silicon nitride film 14 with a film thickness of ~ 50 ~ 100nm. Instead of a silicon nitride film, SiON may be used, and the composition of Si and N may be different from that of SiM. A film formed by the lpcvd method, film thickness It has good uniformity, has the advantages of good compactness and chemical stability. When the plasma CVD method and the atmospheric pressure CVD method are used to form a film at a low temperature, it is equal to _, so the TED of the impurities can be suppressed (immediate increase in diffusion). Behavior, it has the advantage of improving the current driving ability of the transistor.

510055510055

CVD法比較容易控制氮化矽膜 控制應力。 的S i和N的組成比 因此能夠 與形成 11 樣’形成具有100〜2000nm膜厘 的層間絕緣膜m讀,經過CMP處理使其平坦化,然^ :消除CMP處理引起的表面粗糙,與形成層間絕緣膜"—‘、、、 樣再次沈積50〜2〇〇nm厚的氧化矽膜(圖中未示出)。The CVD method is relatively easy to control the silicon nitride film and stress. The composition ratio of Si and N can therefore be compared with the formation of 11 ′ to form an interlayer insulating film with a thickness of 100 to 2000 nm, read and flatten it by CMP treatment, and then ^: eliminate surface roughness caused by CMP treatment, and form The interlayer insulating film is again deposited with a silicon oxide film with a thickness of 50˜200 nm (not shown in the figure).

。其-人,在層間絕緣膜丨丨丨的表面上,形成與源極·汲極 區\、8及p型雜質區12連接的接觸孔13,在形成接觸孔13 的區域製作帶有開口的光阻罩幕3〇2,然後在RIE、磁控管 RIE或ECR裝置上,採用與氮化矽膜的選擇比高的(例如 :X = 4」y = 5 )等蝕刻性氣體,對層間絕緣膜1 1 1進行蝕刻 。此時’作為添加氣體使用H2和CO也可以。圖1 3係顯示該 步驟終了步驟的半導體元件的剖面圖。 其次,在氮化矽膜與氧化矽膜選擇比低的條件下,對所 剩餘的氮化矽膜1 4和層間絕緣膜11進行蝕刻,形成接觸孔 13 〇 然後’採用覆蓋CVD法沈積W,埋入接觸孔1 3後通過回飿 刻使其平坦化。隨後,全面沈積鋁,繪製圖案,形成w和 A 1構成的配線1 〇及丨丨〇,形成圖1所示的半導體裝置。 然後,以形成層間絕緣膜111及配線1 0和11 0同樣的步 驟’沈積層間絕緣膜和配線(圖中未示出)。 作為配線10及110的沈積w的方法,選擇CVD法也可以, 代替W,採用高溫濺鍍法和回流濺鍍法(Refl〇w sputter )沈積鋁也可以,用LPCVD法沈積T i和摻雜多晶石夕也可以. Its-person, on the surface of the interlayer insulating film 丨 丨 丨, forms a contact hole 13 connected to the source / drain region \, 8 and the p-type impurity region 12, and an area with an opening is formed in the area where the contact hole 13 is formed. Photoresist mask 302, and then on an RIE, magnetron RIE, or ECR device, an etching gas such as X = 4 ″ y = 5 with a high selection ratio to the silicon nitride film is used to interlayer The insulating film 1 1 1 is etched. In this case, H2 and CO may be used as the additive gas. FIG. 13 is a cross-sectional view of the semiconductor device showing the end of the step. Secondly, under the condition that the silicon nitride film and the silicon oxide film have a low selection ratio, the remaining silicon nitride film 14 and the interlayer insulating film 11 are etched to form a contact hole 13. Then, W is deposited by a cover CVD method. After the contact holes 13 are buried, they are flattened by engraving. Subsequently, aluminum is fully deposited, a pattern is drawn, and wirings 10 and 丨 formed by w and A 1 are formed to form a semiconductor device as shown in FIG. 1. Then, the interlayer insulating film and wiring (not shown in the figure) are deposited in the same steps as the steps of forming the interlayer insulating film 111 and the wirings 10 and 110. As a method for depositing the wires 10 and 110, a CVD method may be selected. Instead of W, aluminum may be deposited by a high-temperature sputtering method and a reflow sputtering method (ReflOw sputter), and T i and doping may be deposited by a LPCVD method. Polycrystalline eve

90102669.ptd90102669.ptd

五、發明說明(21) __ 代替鋁,沈積A〗cusi y作為配線材料,當使用人二 > 雜多晶矽也可以。 形成T i N等阻播層金屬,孟八化’在各個接觸孔的内壁 於該實施形態,以间彡/方止孟屬向半導體層3内的擴散( p型雜質區的接觸孔和配、,驟形成連接於源極汲極區石 根據電路配置採用別的步驟個接觸孔和配線的形成, 要可以改變。 .也可以,其形成順序,根據需 在源極.汲極區7和s 時’該金屬梦化物層可的作表為 止骐’增大蝕刻範圍。為蝕刻層間絕緣膜時的蝕刻終 根據本實施形態1所示 導體基板、半導體λ招66 //體波置的製造方法’在半 以及在1 #而μ a 土 〇表面上全面配設的埋入絕緣膜、 上形Μ:=半導體層構成的S〇1基板的主表面 上,件的半導體裝置上,由於在元件的表面 的鹿六1 <緣膜形成氮化矽膜,因此借助於氮化矽膜 陷了 ?離1巴緣膜下的半導體層發生壽命控制體的缺、 :以縮短載子(nMOS為電洞,pM0S為電子)的壽命。 =離絕緣膜下的半導體層即使很薄,纟可以穩定地固 關二辇:Γ通道形成區的電⑯,抑制延遲時間與頻率的相 置專土板的浮動效應,可以製造可靠性高的半導體裝 眾所周知’如果在閘極絕緣膜中侵入氫氣,於半導體; 和閘極絕緣膜的介面出現氫的終端,則抗熱載子的能力降 低。但是,由於形成了氮化矽膜,因此可以避免氫侵入閑 90102669.ptd 第24頁V. Description of the invention (21) __ Instead of aluminum, deposit A〗 Cusi y as the wiring material, when using the second person > heteropolycrystalline silicon can also be used. A barrier layer metal such as TiN is formed, and Meng Bahua's inner wall of each contact hole is formed in this embodiment, and the diffusion into the semiconductor layer 3 is performed by the intermetallic / square method (the contact hole and the distribution of the p-type impurity region). Steps to form the contact with the source and drain regions are based on the circuit configuration. The formation of the contact holes and wirings can be changed if necessary. Alternatively, the order of formation can be changed at the source and drain regions as required. At s, 'the metal dream material layer can be used as a table' to increase the etching range. For the etching when the interlayer insulating film is etched, the conductor substrate and the semiconductor λ stroke 66 shown in this embodiment 1 are used. Method 'On the main surface of the semi-substrate and the semiconductor substrate, the buried insulating film, which is fully arranged on the surface of the # 1 and μa soil surface, is formed on the main surface of the S0 substrate consisting of a semiconductor layer. The lukoku 1 on the surface of the device forms a silicon nitride film, so the silicon nitride film is trapped by the silicon nitride film. The semiconductor layer under the edge film has a life-span defect. Is the hole, pM0S is the life of the electron). = Semiconductor under the insulating film Even if the layer is very thin, 纟 can stably close the second 辇: the electric ⑯ of the Γ channel formation area, suppress the floating effect of the phase soil plate with the delay time and frequency, and can manufacture highly reliable semiconductor devices. Hydrogen is intruded into the electrode insulating film, and the semiconductor; and the termination of hydrogen appears on the interface of the gate insulating film, the ability of resisting hot carriers is reduced. However, since the silicon nitride film is formed, hydrogen can be prevented from invading. 90102669.ptd Page 24

510055 五、發明說明(22) 極絕緣膜和埋入氧化膜中, 的半導體裝置的製造方法\ ^回抗熱載子的能力 成於sen基板上的ί置ΐ = = 埋入氧化膜)形 ra ^ τ 上述效果更大一此。 用氮化矽膜,對氮化矽膜上 一510055 V. Description of the invention (22) Manufacturing method of semiconductor device with electrode insulation film and buried oxide film ^ The ability to withstand hot carriers is formed on the sen substrate. = = Buried oxide film) The effect of ra ^ τ is even greater. Using a silicon nitride film,

氮化矽膜下的膜厚_ 1 ^庶 ' 、子車乂厗的層間絕緣膜、 :2 薄的層間絕緣膜分別進行蝕刻H 接觸孔,因此通過控制半導體層的過餘 : 避免發生接面洩漏電流的半導體裝置。”牛了以付到 實施形熊2 、 圖1 4和圖1 5係顯示本發明會姑游# 0 而。y m 1 士 Dn不毛月貝轭形怨2的半導體裝置的剖 是η:诉才/ '及朽「疋P井、34是n井、73和74以及83和84 和77以及86和87疋P型源極.沒極區、78和88心型 離子植入區、121是p型雜質區、122是n型雜質區。 开m;:/本實施形態中’於半導體層内植入離子所 ϊ 成nM0S電晶體,在n井34内形成PM0S電晶 ΡΤΤ,ΛΡ f構,在·電晶體和_電晶體之間由 PTI隔離開來,在各自的電晶體通道形成區通過ρτι下的半 導體層連接p型雜質區121或n型雜質區122 ’固定電位。P 井33含有濃度為lx 1〇15〜1〇19/cm3的b、叩2、In等雜質,p 井34含有濃度為! x 1〇15〜1〇19/cm3的P、As、讥等雜質。n 型Μ 0 S電晶體的閘極6具有多晶石夕層時,杏 ^含有濃度為2〜15“〇2。/相等心二 體的閘極6的多晶矽中所含有的雜質,有時是硼等p型雜質Film thickness under the silicon nitride film _ 1 ^ 庶 ′, interlayer insulating film of the sub-car, 、 2 thin interlayer insulating films are etched separately for H contact holes, so by controlling the excess of the semiconductor layer: avoiding junctions Semiconductor device with leakage current. "Bad to pay for the implementation of Xingxiong 2, Fig. 14 and Fig. 15 show that the present invention will be able to swim # 0 and. Ym 1 The semiconductor device of Dn is not hairy moon shell yoke 2 The section of the semiconductor device is η: / '' And decay, 井 P well, 34 is n-well, 73 and 74 and 83 and 84 and 77 and 86 and 87 疋 P-type source. Infinity region, 78 and 88 heart-type ion implantation region, 121 is p The n-type impurity region 122 is an n-type impurity region. In this embodiment, an nM0S transistor formed by implanting ions in the semiconductor layer is formed, and a PM0S transistor PTT, ΛPF structure is formed in the n-well 34. The transistor and the transistor are separated by PTI, and the p-type impurity region 121 or the n-type impurity region 122 'is fixed to each other through a semiconductor layer under ρτ in the transistor channel formation region. The P-well 33 contains Impurities such as b, osmium 2, and In at a concentration of lx 1015 to 1019 / cm3, and p well 34 contains impurities such as P, As, and osmium at a concentration of x1015 to 1019 / cm3. N-type When the gate 6 of the M 0s transistor has a polycrystalline silicon layer, the concentration of apricots is 2 to 15 ″. Impurities contained in the polycrystalline silicon of the gate 6 of the bipolar body, sometimes p-type impurities such as boron

90102669.ptd 第25頁 五、發明說明(23) (雙閘極結構)、 除此以外的各 半導體裝置相同 根據本實施形 半導體基板的表 面上配設的半導 體元件的半導體 絕緣膜形成氮化 絕緣膜下的半導 子(nMOS為電洞 膜下的半導體層 道形成區的電位 浮動效應,可以 當採用CMOS結 ’借助於隔離絕 的P井33和η井34 靠性的效果。 眾所周知,如 和閘極絕緣膜的 低。但是,由於 極絕緣膜和埋入 的效果。 如圖1 5所示, ,則製造步驟變 有時是含有η型雜質(單閘極結構)。 自膜厚和雜質濃度,與實施形態1所示的 〇 態2所示的半導體裝置,在半導體基板、 面上全面配設的埋入絕緣膜、以及在其表 體層構成的SOI基板的主表面上形成半導 裝置上,由於在元件的表面上,介以層間 石夕膜’因此借助於氮化矽膜的應力,隔離 體層發生壽命控制體的缺陷,可以縮短載 ’ PM0S為電子)的壽命。所以,隔離絕緣 即使很薄,也可以穩定地固定閘極下的通 ’抑制延遲時間與頻率的相關性等基板的 製造可靠性高的半導體裝置。 構介以PTI鄰近逆導電型的電晶體的情況 緣膜下的半導體層發生的缺陷,提高相鄰 之間的耐壓性,具有提高半導體裝置的可 果在閘極絕緣膜中侵入氫氣,於半導體層 介面出現氫的終端,則抗熱載子的能力4 形成了氮化石夕膜,因此能夠避免氫侵入閘 氧化膜中,可以獲得提高抗熱載子的能力 t果將FTI放在η型M0S區和p型肋8區之間 得複雜,但是能提高耐閉鎖性。90102669.ptd Page 25 V. Description of the Invention (23) (Double-gate structure), other semiconductor devices are the same. According to this embodiment, the semiconductor insulating film of the semiconductor element provided on the surface of the semiconductor substrate forms nitrided insulation. The semiconductors under the film (nMOS is the potential floating effect of the semiconductor layer path formation area under the hole film. When using a CMOS junction, the effect of the reliability of the isolated P-well 33 and η-well 34 can be used. It is well known that, And gate insulation film is low. However, due to the effect of the electrode insulation film and the embedding. As shown in Figure 15, the manufacturing steps sometimes contain η-type impurities (single gate structure). Since the film thickness and The impurity concentration is the same as that of the semiconductor device shown in 0-state 2 shown in Embodiment 1. A semiconductor substrate, a buried insulating film disposed on the surface, and a semiconducting semiconductor are formed on the main surface of the SOI substrate. On the device, since the interlayer stone film is interposed on the surface of the device, the stress of the silicon nitride film causes the defect of the life control body to occur in the spacer layer, and the load can be shortened (PM0S is an electron) Life. Therefore, even if the insulation is thin, it is possible to stably fix the on-gate under gate and suppress the dependence of the delay time and frequency on the manufacture of a semiconductor device with high reliability. In the case where PTI is adjacent to the reverse conductivity type transistor, defects occurring in the semiconductor layer under the edge film increase the voltage resistance between the adjacent layers, which can improve the possibility of semiconductor devices invading hydrogen in the gate insulating film, The termination of hydrogen on the interface of the semiconductor layer results in the ability to resist hot carriers. 4 A nitride nitride film is formed. Therefore, hydrogen can be prevented from entering the gate oxide film. The ability to improve the resistance to hot carriers can be obtained. The M0S region and the p-rib region 8 are complicated, but can improve the blocking resistance.

510055 五、發明說明(24) 其次,說明本發明實施形態2的半導體裝置的製造方 法。 圖1 6係顯示實施形態2所示的半導體裝置的製造方法的 一道步驟的剖面圖,在圖16中,303是光阻罩幕。 首先,與實施形態1 一樣,介以埋入絕緣膜在半導體基 板1的表面上配設半導體層3的SO I基板的表面形成隔離絕 緣膜4。 然後,形成nMOS區開口的光阻罩幕3 03,在其整個面上 進行B、BF2、In等雜質離子植入,形成濃度為1 X ι〇15〜 1019/cm3的p井33。圖16係顯示該步驟終了步驟的半導體裝 置的元件的剖面圖。然後,去除光阻罩幕3〇3。 與形成P井33 —樣,形成pMOS區開口的光阻罩幕,在其 整個面上進行P、As、Sb等η型雜質的離子植入,形成雜質 濃度為1 X 1 〇15〜1 〇19/cm3的η井34(圖中未示出)。然德,丄 除光阻罩幕。 採用與實施形態1所示的相同的方法,形成Ρ型雜皙 121及1!型雜質區122。 ’貝£ 以除此以外的雜質植入,對與nMOS區和pMOS區不同的導 ,型的部位,使用各個區開口的光阻罩幕隔開,以實施形 態1同樣的方法導入雜質。 y 根據本實施形態2所示的半導體裝置的製造方法,在半 導體基板、半導體基板的表面上全面配設的埋入絕緣膜、 以及在其表面上配設的半導體層構成的s〇I基板的主表面 上形成半導體元件的半導體裝置上,由於在元件的表面510055 V. Description of Invention (24) Next, a method for manufacturing a semiconductor device according to a second embodiment of the present invention will be described. FIG. 16 is a cross-sectional view showing one step of the method of manufacturing a semiconductor device shown in Embodiment 2. In FIG. 16, 303 is a photoresist mask. First, as in the first embodiment, an isolation insulating film 4 is formed on the surface of the SO I substrate on which the semiconductor layer 3 is arranged on the surface of the semiconductor substrate 1 via a buried insulating film. Then, a photoresist mask 303 with an opening in the nMOS region is formed, and impurity ions such as B, BF2, and In are implanted on the entire surface to form a p-well 33 having a concentration of 1 × 15-151019 / cm3. Fig. 16 is a cross-sectional view showing the components of the semiconductor device at the end of this step. Then, the photoresist mask 30 is removed. As with the formation of the P well 33, a photoresist mask with an opening in the pMOS region is formed, and ion implantation of n-type impurities such as P, As, and Sb is performed on the entire surface thereof to form an impurity concentration of 1 X 1 〇15 ~ 1 〇 19 / cm3 n-well 34 (not shown in the figure). Ran, 丄 Remove the photoresist mask. By the same method as that described in the first embodiment, P-type impurity 121 and 1! -Type impurity region 122 are formed. In addition, the impurity is implanted, and the conductive portions different from the nMOS region and the pMOS region are separated by a photoresist mask opened in each region. Impurities are introduced in the same manner as in the first embodiment. y According to the method for manufacturing a semiconductor device according to the second embodiment, a semiconductor substrate, a buried insulating film provided on the entire surface of the semiconductor substrate, and a SiO substrate formed of a semiconductor layer provided on the surface are provided. On a semiconductor device in which a semiconductor element is formed on the main surface,

510055510055

上’介以層間絕緣膜形成氮 的應力,於隔離絕緣膜下的 陷’可以縮短載子(nMOS為 所以,隔離絕緣膜下的半導 固定閘極下的通道形成區的 相關性等基板的浮動效應, 置。 化石夕膜’因此借助於氮化矽膜 +導體層發生壽命控制體的缺 骑同為電子)的壽命。 電:即使报薄,也可以穩定地 ’抑制延遲時間與頻率的 口以製造可靠性高的半導體裝 當採用CMOS結構介以PTI鄰近遜道+The formation of nitrogen stress through the interlayer insulating film and the depression under the insulating insulating film can shorten the carrier (nMOS is the reason, the correlation of the channel formation region under the semiconductive fixed gate under the insulating insulating film, etc. The floating effect, the fossil evening film 'therefore uses the silicon nitride film + conductor layer to generate a life-span failure of the lifetime control body. Electricity: Even if it is thin, it can stably suppress the delay time and frequency to make highly reliable semiconductor devices. When the CMOS structure is adopted and the PTI is adjacent to the inferior channel +

陷,提高相鄰的p井3 3和η井3 4之Η 的半導體層發生缺 導體裝置的可靠性的效果。^耐壓性’纟有提高半 眾所周知,如果在閘極絕緣膜中 ^ 和閘極絕緣膜的介面出現氫的終端文^氯氣、於半導體層 低。但是,由於形成了氮化矽膜,因則,熱載子的能力降 極絕緣膜和埋入氧化膜中,可以釋〜=I以避免氫侵入閘 的半導體裝置的製造方法。 X传提网抗熱载子的能力The effect of improving the reliability of the semiconductor device in the semiconductor layer between adjacent p-wells 3 3 and n-wells 3 4 is improved. ^ Pressure resistance 纟 has been improved a little. It is well known that if a terminal terminal of hydrogen appears in the gate insulating film ^ and the gate insulating film, chlorine gas is low in the semiconductor layer. However, since a silicon nitride film is formed, the capability of the hot carrier is reduced, and the insulating film and the buried oxide film can be discharged to a manufacturing method of a semiconductor device to prevent hydrogen from entering the gate. X-transfer network resistance to hot carriers

用氛化石夕膜,對氮化矽膜上的骐芦 氮化矽膜下的膜厚較薄的層間絕緣二二=的層間絕緣膜、 接觸孔,S此通過控制半導體層的過:則2蝕刻,形成 避免發生接面洩漏電流的半導體裝置。保件,可以得到 圖17係顯示本發明實施形態3的半導體 在圖中,132是接觸孔,31〇是配線。 衣置的剖面圖, 在本實施形態中,層間絕緣膜η、η 化矽膜1 4所Using an atmosphere fossil film, the interlayer insulation film and contact hole of the thinner film thickness of the silicon nitride film on the silicon nitride film is lower than the interlayer insulation film. The control of the semiconductor layer is controlled by: 2 Etching forms a semiconductor device that prevents junction leakage current. Figure 17 shows a semiconductor according to a third embodiment of the present invention. In the figure, 132 is a contact hole, and 31 is a wiring. A cross-sectional view of the clothing. In this embodiment, the interlayer insulating film η, η silicon film 14

510055 五、發明說明(26) 形成的接觸孔132,跨越源極汲極區7和8以及隔 鲈 的表面而形成,通過該接觸孔132連接於源極· 8的配線310形成於隔離絕緣膜4的表面上。除此以:二士和 構,均與實施形態1的結構相同。 卜的… 圖18係顯示本發明實施形態3的半導 圖π是四所示的卜F剖面的剖面圖。在該圖 化起見’痛略了層間絕緣膜以⑴、氮 為二 ⑴及川、侧壁絕緣膜9、源極.汲極 ' 4 ^ 植入區72及82。 次,《、口袋式 根據本實施形態3所示的半導體裝置,在跨 離絕緣膜於源極•汲極R报忐、查拉# & A 之相W的 的半導μ Γ呈ΐ 接線的無邊界接觸結構 Β: 石夕膜’因此在形成到達源極.没 極£的接觸孔4可以抑制隔離絕緣膜的 保持半導體層和源極•汲炼γ媸士认 ^ '並此夠充刀 齙,y拇古-从a ,及極區構成的Pn結與配線之間的距 裝置 μ件选度的同時,可以獲得可靠性高的半導體 产ί半、半導體基板的表面上全面配設的埋入絕 二> S7 1* # β Ϊ表面上配設的半導體層構成的S0 1基板的 =導體元件的半導體裝置上,由於在元件的 ΐϊΐ雍二以層間絕緣膜形成氮化矽膜,因此借助於氮化 ΐ l隔離絕緣膜下的半導體層發生壽命控制體的 乂曰,°、以鈿紐载子(nM0S為電洞,pM0S為電子)的壽 :二隔離絕緣膜下的半導體層即使很薄,也可以穩 疋固疋閘極下的通道形成區的電位,抑制延遲時間與頻510055 5. Description of the invention (26) The contact hole 132 formed is formed across the surfaces of the source drain regions 7 and 8 and the sea bass. The wiring 310 connected to the source electrode 8 through the contact hole 132 is formed on the isolation insulating film. 4 on the surface. Except for this, the two structures are the same as those of the first embodiment. Fig. 18 is a cross-sectional view showing a semi-guide π of the third embodiment of the present invention as shown in Fig. 4F. For the sake of illustration, the interlayer insulating film is made of tritium and nitrogen, and the side wall insulating film 9 and the source and drain electrodes 4 and 4 are implanted regions 72 and 82. Next, the semiconductor device shown in the pocket type according to the third embodiment has a semiconducting μ Γ across the insulating film at the source / drain R phase and the Chara phase. Boundaryless contact structure B: Shi Xi film 'so it reaches the source. The contact hole 4 without the electrode can inhibit the insulation layer from holding the semiconductor layer and the source. Knife, y, thumb,-from a, and the Pn junction formed by the polar region and the distance between the device μ selection, at the same time can obtain highly reliable semiconductor production, semi-conductor substrate surface Embedded S > S7 1 * # β Ϊ On the semiconductor device of S0 1 substrate = conductor element composed of a semiconductor layer arranged on the surface, a silicon nitride film is formed by an interlayer insulating film Therefore, the semiconductor layer under the insulating film with the aid of yttrium nitride, the lifetime of the control body, °, the life of the 钿 button carrier (nM0S is a hole, pM0S is an electron): the semiconductor under the two insulating film Even if the layer is very thin, it can stabilize the electricity in the channel forming area under the gate. Bit, suppress delay time and frequency

510055 五、發明說明(27) =的相關性等基板的浮動效應,可以提高半導體裝置的可 靠性高。. 眾所周知,如果在閘極絕緣膜中侵入氫氣,於半導體層 和閘極絕緣膜的介面出現氫的終端,則抗熱載子的能力降 m ’由於形成了氮化石夕膜’因此可以避免氫侵入閘 果邑冰膜和埋入氧化膜中,具有提高抗熱載子的能力的效 圖1 9係顯示本實施形態3的半導體裝置的剖面圖, 23疋η型雜質區。參考該圖,n型雜 123 的P、As、Sb等雜f,連接於 區123從隔離絕緣膜4上形成的接觸孔132 &域向隔離絕緣膜4中心區擴展而形成。該η型雜 於形成接觸孔132後,通過從斜上方離、= 結構相同。 胃m所不的+導體裝置的 於5邊界接觸的結構的半導體裴置, =成時曝露出的隔離絕緣膜 的離’能夠消除該部分的接面茂漏電流 通=面形成氮化矽膜“ ’對本實施形 ,但疋對於並用PTI和FTI的半 仃了成明 ^^ .PTI ^ 4 ,^ 性提高。 1 了使隔離特510055 V. Description of the invention (27) = Correlation of substrates and other floating effects can improve the reliability of semiconductor devices. It is well known that if hydrogen is intruded into the gate insulating film, and hydrogen termination occurs at the interface between the semiconductor layer and the gate insulating film, the ability to resist hot carriers is reduced m 'because of the formation of a nitride nitride film', hydrogen can be avoided The effect of invading the ice film and burying the oxide film in the sapphire island has the effect of improving the resistance to heat carriers. FIG. 19 is a cross-sectional view showing a semiconductor device according to the third embodiment, and a 23 疋 η-type impurity region. Referring to the figure, impurities such as P, As, and Sb of the n-type impurity 123 are connected to the region 123 and are formed by expanding the contact hole 132 & domain formed in the insulating insulating film 4 toward the center region of the insulating insulating film 4. After the n-type impurity is formed in the contact hole 132, the structure is the same as it is separated from the obliquely upward direction. The semiconductor structure of the + conductor device that is in contact with the 5 boundary of the stomach m is not separated from the insulation film exposed at the time of formation, which can eliminate the leakage current at the junction of the part, and a silicon nitride film is formed on the surface. 'For this embodiment, but for the half use of PTI and FTI combined Chengming ^^. PTI ^ 4, ^ improved. 1 to make the isolation feature

90102669.ptd 第30頁 五、發明說明(28) 丄匕跨越源# .汲極區7和8以及隔離絕緣膜4表面形 成配線310部分的表面上形成氮化石夕㈣ 離絕緣膜4的形狀。 冊1m 路並^無邊界接觸的結構,也同樣適合於實施形態1及2 所不的半導體裝置,且具有同樣的效果。 f ^ ,對本發明實施形態3的半導體裝置的製造方法進 灯說明。 圖21係顯示本實施形態3的半導體裝置的製造方法的一 道步驟的剖面圖,在圖21中,3〇4是光阻罩幕。 f先,與實施形態1 一樣,介以埋入絕緣膜,在半導體 =板1的表Φ上形成隔離絕緣膜4、p型雜f區(為刪$的情 ,,形成η型雜質區)、閘極絕緣膜5、閘極6、口袋 品和82、源極·汲極區71和81、侧壁絕緣膜9、 極區7和8。 *肤y 原極及 與實施形態卜樣’形成層間絕緣mi 1 、 d間絕緣膜111以後,用CMp處理使苴 、、 除CMP處理時引起的矣而相扯 八一 ’然後為了去 才W I的表面粗糙,與層間絕緣 -次沈積5〇〜20_厚的氧化石夕膜(圖中未示W樣’再 然後,在層間絕緣膜丨丨i的表面 和8以及p型雜質菡〗9 #拉认旧n 你”碌極·汲極區7 A pi u μ = = 0 連接的結13及132形成的區域,P &、 由開口的先阻罩幕3〇4以後 ^,形成 絕緣膜⑴。此時,接觸孔132,不只7在』,敍刻層間 和δ形成,在隔離絶+疋在源極·汲極區7 形成錢觸孔。圖21係顯示該步驟終了步驟:、曰衣圖案也 510055 五、發明說明(29) 的元件的剖面圖。 其-人’在氮化;5夕膜與氧化石夕膜的選比 殘化鶴層間絕緣膜η,形成接觸刻 半與導貫體施裝形置態Η 然後,與實施形離】_掸 出)。m'1 # ’形成多層配線結構(圖中未示 根據本實施形態3所示的半導體裝置的造 越相鄰的隔離絕緣膜於源極.汲極 方法在跨 界接觸結構的半導體裝置,分 接配線的無邊 m JM BB ^ 刀另】對風化石夕膜上的膜屢齡 厚的層間、、邑緣膜"以及’’氮化石夕臈下、 膜"控制蚀刻條# ,仿[·(· "T r 、旱較薄的層間絕緣 腰“fj触箱件,依此可以抑制半導體 可以獲得不致產生接面浅漏電流的半導 在 ㈣,能夠充分保持半以抑制隔離絕緣膜的 靠=線之間的距離,可以提高半導體裝置的元件密度和可 4半=ίί矣半導體基板的表面上全面配設的埋入絕 ,、冰膜 在其表面上配設的半導體層構成的SOI美极的 主表面上形成半導體元件的半導體裝 在"、 表面上介以層間絕緣膜形成氮化石夕膜,因此 膜的應力,隔離絕緣膜下的半導體層制體 陷,可以縮短載子(nM0S為電洞,pM :二u:缺 所以,隔離絕緣膜下的半導體層 5 ” :叩。 w 1便很溥,也可以穩定地 90102669.ptd 第32頁 510055 五、發明說明(30) 固定閘極下的通道形成區的 相關性等基板的浮動效應, 置。 電值,抑制延遲時間與頻率的 可以製造可靠性高的半導體裝 眾所周知,如果在閘極絕緣膜中侵入虱 ,閘極絕緣膜的介面出現氫的終_,則抗熱載子的能力二 ,。但是,由於形成了氮化石夕膜,因此可牛 極絕緣膜和埋入氧化膜巾,獲得 導體裝置的製造方法。 口 $ "、、m子此力的半 【本發明的效果】 果由於本發明具有上述說明的結構,因此具有以下的效 根據本發明,在半導體基板、半導體基板的表面上 配設的埋人絕緣膜、以B产甘主 面 %膜以及在其表面上配設的半導體層構虚 % 土板的主表面上形成半導體元件的半導體裝置上, =於在元件的表面上介以層間絕緣膜形成氮化矽膜,因 $助於氮化矽膜的應力,在隔離絕緣膜下的半導體層發 壽叩控制體的缺陷,可以縮短載子(為電洞,⑽⑽為 ,子)的壽命。所以,隔離絕緣膜下的半導體層即使復、 薄’也可以穩定地固定閘極下的通道形成區的電位,抑 延遲時間與頻率的相關性等基板的浮動效應,可以提高本 導體裝置的可靠性高。 當採用CMOS結構介以PTI而鄰近逆導電型的電晶體時, 借助於隔離絕緣膜下的半導體層内發生的缺陷,提高相鄰 的PM0S電晶體*nM0S電晶體之間的耐壓特性,具有提高半90102669.ptd Page 30 V. Description of the invention (28) The dagger spans the source #. The drain regions 7 and 8 and the surface of the isolation insulating film 4 form a nitride 310 on the surface of the wiring 310 portion to form a shape of the insulating film 4. The structure with no boundary contact in the 1m path is also suitable for semiconductor devices other than those in Embodiments 1 and 2, and has the same effect. f ^, a description will be given of a method for manufacturing a semiconductor device according to a third embodiment of the present invention. Fig. 21 is a cross-sectional view showing one step of the method of manufacturing a semiconductor device according to the third embodiment. In Fig. 21, 304 is a photoresist mask. f First, as in the first embodiment, an insulating insulating film 4 and a p-type impurity f region are formed on the surface Φ of the semiconductor = board 1 through a buried insulating film (in the case of deleting $, an n-type impurity region is formed) , Gate insulating film 5, gate 6, pockets and 82, source and drain regions 71 and 81, side wall insulating film 9, and pole regions 7 and 8. * The skin electrode and the embodiment are similar to the following example: after the interlayer insulation mi 1 and the inter-layer insulation film 111 are formed, the CMP treatment is used to eliminate the maggots caused by the CMP treatment, and then the Bayi are used to remove WI. The surface is rough, and it is insulated with interlayers-sub-deposited 50 ~ 20_thick oxide stone film (not shown in the figure), and then, on the surface of interlayer insulating film, the surface of i and 8 and p-type impurities 菡 9 # 拉 识 旧 n 你 ”Lu pole · drain region 7 A pi u μ = = 0 The area formed by the junctions 13 and 132, P &, the first blocking mask 304 after opening ^, forming an insulation At this time, the contact hole 132 is not only 7 in ”, the interlayer and δ are formed, and the money contact hole is formed in the isolation + 疋 in the source · drain region 7. Figure 21 shows the end of this step :, The clothing pattern is also 510055. V. The cross-sectional view of the element of the invention description (29). Its-human 'is nitriding; the selection ratio between the 5th film and the oxide stone film residuals the interlayer insulating film η to form a contact engraved half and The guide body is placed in the installation state. Then, it is separated from the implementation] _ 掸 出). M'1 # 'to form a multilayer wiring structure (not shown in the figure according to this implementation In the semiconductor device shown in state 3, the adjacent isolation insulating film is made to the source. The drain method is used in a semiconductor device with a cross-border contact structure. The edge of the tap wiring is m JM BB ^ knife.] On the weathered stone film The thick and thin layers of the film, the edge film, and the "nitride stone Xixia, the film" control etching strip #, imitating [· (· "T r, the thinner interlayer insulation waist" fj The contact box component can thereby suppress the semiconductor to obtain a semiconducting semiconductor which does not cause a shallow leakage current at the interface. It can be fully maintained to suppress the distance between the insulating film and the line, which can improve the device density of the semiconductor device and May 4 halves = 矣 全面 embedded semiconductors that are fully arranged on the surface of the semiconductor substrate, semiconductor devices with semiconductor elements formed on the main surface of the SOI beauty pole composed of a semiconductor layer with an ice film on its surface, ", An interlayer insulating film is formed on the surface to form a nitride nitride film. Therefore, the stress of the film can isolate the semiconductor layer under the insulating film, which can shorten the carrier (nM0S is a hole, pM: two u: missing, so the insulating film is isolated). Under semiconductor layer 5 ":叩. W 1 is very stingy, and can also be stable 90102669.ptd page 32 510055 V. Description of the invention (30) Correlation between the channel formation area under the fixed gate and other substrate floating effects, such as electrical value, suppression of delay It is well known that high-reliability semiconductor devices can be manufactured at time and frequency. If lice are invaded in the gate insulating film and the hydrogen terminal appears on the interface of the gate insulating film, the ability to resist hot carriers is two. However, due to the formation of Since the nitride stone film is used, a method for manufacturing a conductor device can be obtained by using a cowl insulating film and an embedded oxide film towel. [Effects of the present invention] Since the present invention has the structure described above, it has the following effects. According to the present invention, a semiconductor substrate or a semiconductor substrate is disposed on the surface of the semiconductor substrate. Insulation film, main film made of B, and semi-conductor layer on the surface of the semiconductor layer. The semiconductor device that forms a semiconductor element on the main surface of the soil plate is used to interlayer insulation on the surface of the element. The film forms a silicon nitride film, which contributes to the stress of the silicon nitride film and causes defects in the semiconductor layer under the isolation insulating film to shorten the life of the carrier (for holes, ⑽⑽, and ions). . Therefore, even if the semiconductor layer under the insulation film is complex or thin, the potential of the channel formation region under the gate can be stably fixed, and the floating effect of the substrate such as the dependence of the delay time and frequency can be improved, which can improve the reliability of the conductor device. High sex. When a CMOS structure is used to adjoin the reverse-conduction transistor through PTI, the defects occurring in the semiconductor layer under the insulating film are used to improve the withstand voltage characteristics between adjacent PM0S transistors * nM0S transistors. Raise half

五、發明說明(31) 導體裝置的可靠性的效果 因此美兩相鄰的減卯電晶體和nM 成 屋,性1有提高半導體裝置的可靠性/效日日果體之間的耐 f f越相鄰的隔離絕緣膜在源極 :無邊界接觸結構的半導體裝置中 線 ==膜的㈣,能夠充分保持半導以;: = = 與的… 還可以獲得提高可靠性的半導體裝置。 ,有可能被㈣2 : ΐ 時曝露出的隔離絕緣膜 =有與㈣.汲極區同樣導電型的雜質區, 以防止該部分發生接面茂漏電流t導體層之間的距離,可 :甲::斤肿,如果在閘極絕緣膜中侵入氫氣,於半導體声 :閘緣::介:出:氫的終端,則抗熱載子的能力‘ =絕緣膜和埋入氧化膜巾,能獲得提高抗熱載子能力的效 如果在源極區及汲極區表面形成金屬矽化物丹,哼金 石夕化物層在餘刻第1層間絕緣膜時起著阻擋層的0作用,因 力二ί:良好的控制性形成配線,因此可以 獲得咼可靠性的半導體裝置。 510055 五、發明說明(32) 在半導體基板、半導體基板的表面上全面配設的埋入絕 緣膜、以及在其表面上配設的半導體層構成的s〇i基板的 主表面上形成半導體元件的半導體裝置上,由於在元件的 表面上介以層間絕緣膜形成氮化矽膜,因此借助於氮化石夕 膜的應力,隔離絕緣膜下的半導體層發生壽命控制體的缺 陷’可以縮短載子(n^〇S為電洞,pMOS為電子)的壽命。所 以,隔離絕緣膜下的半導體層即使很薄,也可以穩定地固 定閘極下的通道形成區的電位,抑制延遲時間與頻率的相 關性等基板的浮動效應,可以製造可靠性高的半導體裝 置。 * 當採用CMOS結構介以PTI而鄰近逆導電型的電晶體時, 在層間絕緣膜之間形成氮化矽膜,因此在半導體層内發生 =陷,提高相鄰的PM0S電晶體和nM0S電晶體之間二耐^ J,並提高抗閉鎖性’具有提高半導體裝置的可靠性的效 接ί ί外2 S Ϊ1 上間絕緣膜和第2層間絕緣膜,形成 匕目此通過控制们層間絕緣膜的蝕刻條件 控制半導體層的過蝕刻,獲得 ’、 體裝置。 又1Τ个心生接面洩漏電流的半導 越相鄰的隔離絕緣膜於源極.汲極 的無邊界接觸結構的半導體裝置 /成連接配線 第1層間絕緣膜和第2層間絕緣膜的蚀刻:2分別進行 王按甶a漏電流的半導體裝置V. Explanation of the invention (31) The effect of the reliability of the conductor device. Therefore, the two adjacent mitochondriving transistors and nM are made into a house. The property 1 improves the reliability of the semiconductor device / effect resistance Adjacent isolation and insulation films in the source: the centerline of a semiconductor device with a borderless contact structure == the ㈣ of the film, which can fully maintain the semiconductivity;: = = and ... can also obtain a semiconductor device with improved reliability. It is possible to be exposed when ㈣2: ΐ. The insulating insulation film = there is an impurity region of the same conductivity type as the ㈣.drain region to prevent the junction between the junction and the leakage current t conductor layer, can be: A :: Swollen, if invading hydrogen in the gate insulation film, the semiconductor sound: Gate edge :: Medium: Out: Terminal of hydrogen, the ability to resist thermal carriers' = insulation film and buried oxide film towel, can Obtain the effect of improving the anti-hot carrier ability. If a metal silicide is formed on the surface of the source region and the drain region, the huminite layer will act as a barrier layer when the first interlayer insulating film is left. ί: The wiring is formed with good controllability, so a highly reliable semiconductor device can be obtained. 510055 V. Description of the Invention (32) A semiconductor element is formed on the main surface of a semiconductor substrate, a buried insulating film disposed on the surface of the semiconductor substrate, and a main surface of a soi substrate composed of a semiconductor layer disposed on the surface. In a semiconductor device, a silicon nitride film is formed on the surface of an element through an interlayer insulating film. Therefore, by using the stress of a nitride nitride film, the semiconductor layer under the insulating film has a defect of a life control body, which can shorten the carrier ( n ^ 〇S is a hole, pMOS is an electron). Therefore, even if the semiconductor layer under the insulation film is very thin, the potential of the channel formation region under the gate can be stably fixed, and the floating effect of the substrate such as the correlation between the delay time and frequency can be suppressed, and a highly reliable semiconductor device can be manufactured. . * When a CMOS structure is used to adjacent a reverse-conduction transistor through a PTI, a silicon nitride film is formed between the interlayer insulating films, so a depression occurs in the semiconductor layer, and the adjacent PM0S transistor and nM0S transistor are improved. The second resistance is ^ J, and the latch-up resistance is improved. It has the effect of improving the reliability of the semiconductor device. The outer 2 S Ϊ1 upper interlayer insulating film and the second interlayer insulating film form a dagger. This controls the interlayer insulating film. The etching conditions under control the over-etching of the semiconductor layer to obtain a bulk device. There are 1T semiconducting interface leakage currents that are semiconducting across adjacent isolation insulation films at the source. Semiconductor devices with no-border contact structure for the drain / etching of the first interlayer insulation film and the second interlayer insulation film : 2 Semiconductor devices that perform leakage current according to Wang Y

510055 五、發明說明(33) 的同時,在形成到達源極·汲極區的接觸孔時可以抑制隔 離絕緣膜的蝕刻,能夠充分保持半導體層和源極·汲極區 構成的pn結與配線之間的距離,可以提高半導體裝置的元 件密度和可靠性。 利用與’’第1層間絕緣膜和第2層間絕緣膜之間形成的氮 化矽膜π的選擇比,進行第1層間絕緣膜和第2層間絕緣膜 的蝕刻,因此可以形成控制性良好的接觸孔,能夠製造可 靠性高的半導體裝置。 【元件編號之說明】 1 半導體基板 2 埋入氧化膜 3 半導體層 4 隔離絕緣膜 5 閘極絕緣膜 6 閘極 7 〜71 、 8 、 81 源極·汲極區 72 〜82 口袋式植入區 9 側壁絕緣膜 10 、 110 酉己線 11 、 111 層間絕緣膜 12 Ρ型雜質區 13 接觸孔 14 氮化矽膜 31 氧化矽膜510055 5. Description of the invention (33) At the same time, the formation of contact holes reaching the source and drain regions can suppress the etching of the isolation insulating film, and can sufficiently maintain the pn junction and wiring formed by the semiconductor layer and the source and drain regions. The distance between them can improve the element density and reliability of the semiconductor device. The first interlayer insulating film and the second interlayer insulating film are etched by using a selection ratio with the silicon nitride film π formed between the first interlayer insulating film and the second interlayer insulating film, so that a highly controllable film can be formed. The contact hole can manufacture a highly reliable semiconductor device. [Explanation of component number] 1 semiconductor substrate 2 buried oxide film 3 semiconductor layer 4 isolation insulating film 5 gate insulating film 6 gate 7 ~ 71, 8, 81 source / drain region 72 ~ 82 pocket implantation region 9 sidewall insulation film 10, 110 wires 11, 111 interlayer insulation film 12 P-type impurity region 13 contact hole 14 silicon nitride film 31 silicon oxide film

\\312\2d-code\90-05\90102669.ptd 第36頁 510055 五、發明說明(34) 32 氮化矽膜 33 P井 34 η井 41、 42 溝渠 113 層間絕緣膜 131 接觸孔 210 配線 301 光阻罩幕 303、 、304 光阻罩幕 310 配線 121 ρ型雜質區 122 η型雜質區 101 半導體基板 102 埋入氧化膜 103 Ρ型半導體層 104 隔離氧化膜 105 閘極絕緣膜 106 閘極 107 、108 η型源極·沒極區 109 側壁絕緣膜 1010 配線 1011 層間絕緣膜 1012 ρ型雜質區 1013 接觸孔\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 36 510055 V. Description of the invention (34) 32 Silicon nitride film 33 P well 34 η well 41, 42 Ditch 113 Interlayer insulation film 131 Contact hole 210 Wiring 301 Photoresist curtain 303, 304 Photoresist curtain 310 Wiring 121 ρ-type impurity region 122 η-type impurity region 101 Semiconductor substrate 102 Buried oxide film 103 P-type semiconductor layer 104 Isolation oxide film 105 Gate insulating film 106 Gate 107, 108 η-type source and electrodeless region 109 sidewall insulating film 1010 wiring 1011 interlayer insulating film 1012 ρ-type impurity region 1013 contact hole

90102669.ptd 第37頁 510055 圖式簡單說明 圖1係顯示本發明實施形態1的半導體裝置的剖面圖。 圖2係顯示本發明實施形態1的半導體裝置的俯視圖。 圖3係顯示本發明實施形態1的半導體裝置的剖面圖。 圖4係顯示本發明實施形態1的半導體裝置的剖面圖。 圖5係顯示本發明實施形態1的半導體裝置的剖面圖。 圖6係顯示本發明實施形態1的半導體裝置的俯視圖。 圖7係顯示本發明實施形態1的半導體裝置的剖面國。 圖8係顯示本發明實施形態1的半導體裝置的俯視圖。 圖9係顯示本發明實施形態1的半導體裝置的製造方法的 一道步雜的剖面圖。 圖1 0係顯示本發明實施形態1的半導體裝置的製造方法 的一道步驟的剖面圖。 圖11係顯示本發明實施形態1的半導體裝置的製造方法 的一道步驟的剖面圖。 圖1 2係顯示本發明實施形態1的半導體裝置的製造方法 的一道步驟的剖面圖。 圖1 3係顯示本發明實施形態1的半導體裝置的製造方法 的一道步驛的剖面圖。 圖1 4係顯示本發明實施形態2的半導體裝置的剖面圖。 圖1 5係顯示本發明實施形態2的半導體裝置的剖面圖。 圖1 6係顯示本發明實施形態2的半導體裝置的製造方法 的一道步驟的剖面圖。 圖1 7係顯示本發明實施形態3的半導體裝置的剖面圖。 圖1 8係顯示本發明實施形態3的半導體裝置的俯視圖。90102669.ptd Page 37 510055 Brief Description of Drawings Fig. 1 is a sectional view showing a semiconductor device according to a first embodiment of the present invention. FIG. 2 is a plan view showing a semiconductor device according to the first embodiment of the present invention. 3 is a cross-sectional view showing a semiconductor device according to the first embodiment of the present invention. 4 is a cross-sectional view showing a semiconductor device according to the first embodiment of the present invention. 5 is a cross-sectional view showing a semiconductor device according to the first embodiment of the present invention. FIG. 6 is a plan view showing a semiconductor device according to the first embodiment of the present invention. FIG. 7 is a cross-sectional view showing a semiconductor device according to the first embodiment of the present invention. 8 is a plan view showing a semiconductor device according to the first embodiment of the present invention. Fig. 9 is a sectional view showing a step of a method for manufacturing a semiconductor device according to the first embodiment of the present invention. Fig. 10 is a sectional view showing one step of the method of manufacturing a semiconductor device according to the first embodiment of the present invention. Fig. 11 is a sectional view showing one step of the method of manufacturing a semiconductor device according to the first embodiment of the present invention. Fig. 12 is a sectional view showing one step of the method of manufacturing a semiconductor device according to the first embodiment of the present invention. Fig. 13 is a sectional view showing a step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention. 14 are cross-sectional views showing a semiconductor device according to a second embodiment of the present invention. 15 is a cross-sectional view showing a semiconductor device according to a second embodiment of the present invention. Fig. 16 is a sectional view showing one step of the method of manufacturing a semiconductor device according to the second embodiment of the present invention. 17 is a cross-sectional view showing a semiconductor device according to a third embodiment of the present invention. 18 is a plan view showing a semiconductor device according to a third embodiment of the present invention.

\\312\2d-code\90-05\90102669.ptd 第38頁 510055 圖式簡單說明 圖1 9係顯示本發明實施形態3的半導體裝置的剖面圖。 圖2 0係顯示本發明實施形態3的半導體裝置的俯視圖。 圖2 1係顯示本發明實施形態3的半導體裝置的製造方法 的一道步驟的剖面圖。 圖2 2係顯示傳統半導體裝置的剖面圖。 圖2 3係顯示傳統半導體裝置的剖面圖。 圖2 4係顯示傳統半導體裝置的剖面圖。 〇\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 38 510055 Brief Description of Drawings Fig. 19 is a sectional view showing a semiconductor device according to a third embodiment of the present invention. FIG. 20 is a plan view showing a semiconductor device according to a third embodiment of the present invention. Fig. 21 is a sectional view showing one step of a method of manufacturing a semiconductor device according to a third embodiment of the present invention. FIG. 22 is a cross-sectional view showing a conventional semiconductor device. FIG. 23 is a cross-sectional view showing a conventional semiconductor device. 24 are cross-sectional views showing a conventional semiconductor device. 〇

\\312\2d-code\90-05\90102669.ptd 第39頁\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 39

Claims (1)

510055 六、申請專利範圍 1 · 一種半導體裝置,其係具備由至少表 板、及配設於上述基板表面上之半導體芦槿,緣性的基 柘,卜、f主蔞Μ厗呈古廿 卞守版增所構成的S0 I基 板,上迷丰¥脰層具有其主表面上所配設 活化區及第1導電型第2活化區,且包含有. V電孓弟1 隔離絕緣膜,配設於上述第丨、第2的活化 與上述基板的上述表面之間殘留一 场士, i半導體區並於上述半導體層主表面形成;^導體層的弟 第1層間絶緣膜,形成於上述第1 離絕緣膜上; 化區與上述隔 <1 在上述第1層>間絕緣膜上形成的氮化矽膜; 以及在上述氮化石夕暖本 2·如申請專利範圍:广:/二成的第2層間絕緣膜。 板,包括半導體=1以項 △半t導體, 全面配設的埋入絕緣膜, V 土板的主表面上 上述半導體裝置更|有. 在上述第1活化區的Φ矣 第2導電型的第1源極區及;極區厂定的間隔距離形成的 第1閘極介以第1閘極絕緣膜在上 形成與上述第1源極區月#托f ^ t千&胆展的主表面上 第1導電型的第1雜質區,開的區域相對置; 第1半導體區形成於上“述隔離f緣膜下的上述 1源極區和汲極區隔開的區i或;:及的以電孔連接於上述第 第1、第2及第3配線,通過貫穿上 膜以及上述氮化矽膜@ 6 处弟_弟2層間絕緣 臈而形成的接觸孔分別與上述第1源極510055 6. Scope of patent application1. A semiconductor device comprising at least a watch plate and a semiconductor hibiscus arranged on the surface of the substrate, and a marginal base. S0 I substrate composed of Shouban Zeng, the upper layer has an active area and a first conductive type second active area configured on the main surface, and includes. V 电 孓 弟 1 isolation insulation film, with A semiconductor layer is formed between the first and second activations and the surface of the substrate, and a semiconductor region is formed on the main surface of the semiconductor layer. The first interlayer insulating film of the conductor layer is formed on the first 1 away from the insulating film; the silicon nitride film formed on the above-mentioned first layer > insulating film between the chemical region and the above-mentioned spacer <1; and the above-mentioned nitride nitride film 2. If the scope of patent application: wide: / 20% of the second interlayer insulating film. Board, including semiconductor = 1 to △ semi-t conductors, a fully equipped buried insulating film, the above-mentioned semiconductor device on the main surface of the V soil plate | Yes. In the above-mentioned first activation region of Φ 矣 the second conductive type The first source region and the first gate electrode formed at a predetermined interval of the polar region are formed on the first source electrode region with the first gate insulating film on top of the first source region. The first impurity region of the first conductivity type on the main surface is opposed to each other; the first semiconductor region is formed in the region i or the region i separated by the above-mentioned one source region and the drain region under the isolation f edge film; : The contact holes formed by connecting the first, second, and third wirings with electrical holes through the upper film and the silicon nitride film @ 6 处 弟 _ 弟 2 interlayer insulation 臈 are respectively connected with the first Source \\312\2d-code\90-05\90102669.ptd 第40頁 510055 六、申請專利範圍 區、汲極區及第1雜質區連接。 3 ·如申請專利範圍第2項之半導體裝置,其中上述半導 體層,具有在其主面上配設的第2導電型的第3活化區及第 2導電型的第4活化區, 上述隔離絕緣膜,更配設於上述第3活化區及上述第1活 化區和上述第4活化區之間, 上述第3和第4活化區之間配設的上述隔離絕緣膜,係在 與上述埋入絕緣膜之間殘留有部分上述半導體層的第2半 導體區,並形成於上述半導體層主表面, 配設於上述第1、第4活化區間的上述隔離絕緣膜,係在 .與上述埋入絕緣膜之間殘留有部分上述半導體層的第3半 導體區,並形成於上述半導體層主表面, 上述半導體裝置更具有:在上述第4活化區的上述半導 體層的主面上以一定的間隔距離形成的第1導電型的第2源 極區及 >及極區, 第2閘極,介以上述第2閘極絕緣膜在上述半導體層的主 表面上形成與上述第2源極區及汲極區隔開的區域相對 置;以及 第2導電型之第2雜質區,介以上述隔離絕緣膜下的上述 第2半導體區而形成於上述第3活化區的半導體層主表面的 並與上述第2源極區及汲極區隔開的區域進行電氣連接, 上述第1層間絕緣膜、上述氮化矽膜及上述第2層間絕緣 膜,係分別延伸形成於上述第3及第4活化區的半導體層表 面上,\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 40 510055 VI. Patent application area, drain region and the first impurity region are connected. 3. The semiconductor device according to item 2 of the scope of patent application, wherein the semiconductor layer has a third activation region of the second conductivity type and a fourth activation region of the second conductivity type disposed on the main surface thereof, and the above-mentioned isolation insulation The film is further disposed between the third activation region, the first activation region and the fourth activation region, and the isolation insulating film disposed between the third and fourth activation regions is embedded with the above. A part of the second semiconductor region of the semiconductor layer remains between the insulating films, is formed on the main surface of the semiconductor layer, and the isolation insulating film arranged in the first and fourth activation sections is connected with the buried insulation. A portion of the third semiconductor region of the semiconductor layer remains between the films, and is formed on the main surface of the semiconductor layer. The semiconductor device further includes: formed on the main surface of the semiconductor layer in the fourth activation region at a certain interval. And a second gate region of the first conductivity type and a gate region and a second gate are formed on the main surface of the semiconductor layer through the second gate insulating film to form the second source region and the second gate region. Polarized The second impurity region of the second conductivity type is formed on the main surface of the semiconductor layer of the third active region through the second semiconductor region under the isolation insulating film and is opposite to the second source region It is electrically connected to the area separated from the drain region. The first interlayer insulating film, the silicon nitride film, and the second interlayer insulating film are respectively formed on the surfaces of the semiconductor layers in the third and fourth active regions. , \\312\2d-code\90-05\90102669.ptd 第41頁 510055 ~、申請專利範圍 更具備有第4、第5及第6配線,係通過上述第1和第2層 間絕緣膜以及上述氮化矽膜形成的接觸孔分別連接於上述 第2源極區和汲極區以及上述第2雜質區。 4 ·如申請專利範圍第2項之半導體裝置,其中上述半導 體層,還具有其主表面上配設的第2導電型的第3活化區及 第2導電型的第4活化區, 上述隔離絕緣膜係更配設於上述第3活化區及上述第1活 化區和上述第4活化區之間,配設於上述第3和第4活化區 之間的上述隔離絕緣膜係在與上述埋入絕緣膜之間殘留部 分上述半導體層的第2半導體區而形成於上述半導體層主 表面,配設於上述第1活化區和第4活化區之間的上述隔離 絕緣膜係形成到達於上述埋入絕緣膜, 上述半導體裝置更具有: 在上述第4活化區的上述半導體層的主面上以一定的間 隔距離形成的第1導電型的第2源極區及汲極區; 第2控制極電極介以上述第2閘極絕緣膜在上述半導體層 的主表面上形成與上述第2源極區及汲極區隔開的區域相 對置;以及 第2導電型的第2雜質區,介以上述隔離絕緣膜下的上述 第2半導體區而形成於上述第3活化區的半導體層主表面的 並與上述第2源極區及汲極區隔開的區域進行電氣連接, 上述第1層間絕緣膜和上述氮化矽膜以及上述第2層間絕 緣膜分別延伸形成於上述第3及第4活化區的半導體層表面\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd page 41 510055 ~, patent application scope is also equipped with 4th, 5th and 6th wiring, through the first and second interlayer insulation film and the above The contact holes formed by the silicon nitride film are respectively connected to the second source region and the drain region and the second impurity region. 4. The semiconductor device according to item 2 of the patent application range, wherein the semiconductor layer further has a third activation region of the second conductivity type and a fourth activation region of the second conductivity type disposed on the main surface thereof, and the above-mentioned isolation and insulation The film system is further disposed between the third activation region and the first activation region and the fourth activation region, and the isolation insulating film system disposed between the third and fourth activation regions is embedded with the above. A part of the second semiconductor region of the semiconductor layer is left between the insulating films and is formed on the main surface of the semiconductor layer. The isolation insulating film system disposed between the first activation region and the fourth activation region is formed to reach the embedment. The insulating film further includes: the second source region and the drain region of the first conductivity type, which are formed on the main surface of the semiconductor layer of the fourth active region at a constant distance; and a second gate electrode. A region separated from the second source region and the drain region is formed on the main surface of the semiconductor layer via the second gate insulating film, and a second impurity region of a second conductivity type is interposed between the second gate insulating film and the second impurity region. Under the insulation film The second semiconductor region is electrically connected to a region formed on the main surface of the semiconductor layer of the third active region and separated from the second source region and the drain region; the first interlayer insulating film and the silicon nitride And the second interlayer insulating film are formed on the surfaces of the semiconductor layers of the third and fourth active regions, respectively. \\312\2d-code\90-05\90102669.ptd 第42頁 六、申請專利範圍 =化㈣形:的接:第】:二緣膜以及上 極區以及上述第2雜質區。 、述弟2源極區和汲 5二如申睛專利範圍第2項之半導 ,弟1源極區及沒極區的上述幻及工置^、中連接於上 相鄰於上述源極區及沒極區的n,=包括分別 的配線。 甲j上述隔離系巴緣膜表面 絕6缘半別=述隔離 源Γ::=的區域相同導電型的局:雜^ 7:,月專利範圍第i至6項中任一項之半導 中上述氮化矽膜包括全面形成的氮化矽膜。、,其 中8,_ΪΠ2範圍第2至6項中任一項之半導體裝置,1 中更/、備有在上述第1源極區及汲極區的表 /、 金屬矽化物層。 上形成的 9 · 一種半導體裝置之製造方法,具備有· Τ用以獲得介以至少表面為絕緣性之基板 有半導體層之SOI基板的步驟,而上述半導體層 2之具 面上具有第1導電型的第1及第2活化區,該:ζ 其主表 含有: μ衣w方法更包 (b)包圍上述第1及第2活化區,在下層區殘留 半導體層的第1半導體區而形成隔離絕緣膜的步’·〔部分 (f)在上述第1及第2活化區的半導體層及上/述<\ 膜表面上形成第1層間絕緣膜的步驟; L网離絕緣\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd page 42 6. Scope of patent application = connection: connection: page]: two edge film and upper electrode region and the above-mentioned second impurity region. 2. The source of the second source area and the second half of the second range of the patent scope of the second patent, the above-mentioned magic and installation of the first source area and the non-polar area ^, the middle connection is adjacent to the above source N and = of the region and the non-polar region include respective wirings. A. The above-mentioned isolation system is a 6-sided semi-conductor on the surface of the lamina membrane. The region of the isolation source Γ :: = has the same conductivity type as the local conductive layer: miscellaneous ^ 7 :, a semiconductor of any one of the items i to 6 of the monthly patent range. The above-mentioned silicon nitride film includes a silicon nitride film that is fully formed. The semiconductor device according to any one of items 2 to 6 in the 8, _ΪΠ2 range, 1 / is further provided with a surface /, a metal silicide layer in the first source region and the drain region described above. 9. A method for manufacturing a semiconductor device, comprising: a step of obtaining a SOI substrate having a semiconductor layer through a substrate having at least a surface as an insulation, and the first surface of the semiconductor layer 2 having first conductivity The first and second active regions of the type are: ζ The main table contains: The μ method further includes (b) the first and second active regions surrounding the first and second active regions, and the first semiconductor region of the semiconductor layer remaining in the lower region is formed. Step of isolating an insulating film '. [Part (f) Steps of forming a first interlayer insulating film on the semiconductor layer of the first and second active regions and above / mentioned < \ film surface; L mesh insulation \\312\2d-code\90-05\90102669.ptd 第43頁 510055 六、申請專利範圍 (g) 在上述第1層間絕緣膜上形成氮化石夕膜的步驟;以 及 (h ) 在上述氮化矽膜的表面上形成第2層間絕緣膜的步 驟。 1 〇.如申請專利範圍第9項之半導體裝置之製造方法,其 中上述基板包括半導體基板及上述半導體基板上形成的埋 入氧化膜, 上述半導體裝置之製造方法,更包含有: (c)在上述第2活化區的上述半導體層主表面上形成第1 導電型的第1雜質區的步驟; (d )在上述第1活化區的半導體層主表面上介以第1閘極 絕緣膜形成第1閘極的步驟; (e)在隔著與上述第1活化區之半導體層之上述第1閘極 相對的區域主表面上每隔一定距離形成第2導電型的第1源 極區及 >及極區的步驟, (i) 在上述第1和第2層間絕緣膜以及上述氮化矽膜上, 形成分別到達上述第1源極區和汲極區以及第1雜質區的接 觸孔的步驟;以及 (j) 通過上述接觸孔形成分別與上述第1源極區和汲極區 以及第1雜質區連接之第1、第2及第3配線的步驟。 11.如申請專利範圍第1 0項之半導體裝置之製造方法, 其中上述半導體層在其主表面上還具有第2導電型的第3活 化區及第2導電型的第4活化區,上述第4活化區相鄰於上 述第1活化區而配設,上述第3活化區相鄰於上述第4活化\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 43 510055 6. Application scope (g) The step of forming a nitrided nitride film on the first interlayer insulating film; and (h) the nitrogen And a step of forming a second interlayer insulating film on the surface of the silicon film. 10. The method for manufacturing a semiconductor device according to item 9 of the scope of patent application, wherein the substrate includes a semiconductor substrate and a buried oxide film formed on the semiconductor substrate, and the method for manufacturing the semiconductor device further includes: (c) a A step of forming a first impurity region of a first conductivity type on the main surface of the semiconductor layer of the second activation region; (d) forming a first gate insulating film on the main surface of the semiconductor layer of the first activation region via a first gate insulating film; 1 gate step; (e) forming the first source region of the second conductivity type at regular intervals on the main surface of the region facing the first gate across the semiconductor layer of the first activation region; and And the step of the electrode region, (i) forming contact holes that reach the first source region and the drain region and the first impurity region on the first and second interlayer insulating films and the silicon nitride film, respectively; Step; and (j) a step of forming first, second, and third wirings connected to the first source region and the drain region and the first impurity region through the contact holes, respectively. 11. The method for manufacturing a semiconductor device according to claim 10, wherein the semiconductor layer further includes a third activation region of the second conductivity type and a fourth activation region of the second conductivity type on the main surface thereof. The 4 activation area is disposed adjacent to the first activation area, and the third activation area is adjacent to the fourth activation area. \\312\2d-code\90-05\90102669.ptd 第44頁 510055 六、申請專利範圍 區而配設, :體 括導 包半 }遮 1 i 第 述 上 得 丨 獲驟;以 半乂 >質 述7雜 La勺 J:c 白 型 表ί 主第 的及 層 上 面 區 匕 /i 活 在 體 導 半 述 第 述 上 得 獲 以 質 雜 的 型 表 主第 的及 層 上 面 化 活 第 述 上 圍 包 括 包 擇 €€€ 步 的 性ΓΙ性 電 導 I.............·*- 第 入 導 地 及 電 導 2 第 入 導 地 及_ 第 之 層 體 導 半 述 上 (b分 驟部 步留 述殘 上區 層 區第 步 的 半 下成 在形 以而 並式 ,方 區的 化區 活體 導 膜: 緣(C驟 絕驟步 離步的 隔述區 述上質 上 雜 驟 步 的 第 的 型 電 導 2 第 成 形 區 化 活 3 第 述 上 在 括 包 /的述 第膜上 述緣著 上絕隔 在極在 括閘括 包2包 >第> (d成(e 驟形驟 步膜步 述緣述 上絕上 極 活 ^ 層 P體 第 導 以 半 介,述 上驟上 面步之 表的區 主極化 區閘活 化 第 成 形 距 間 的 定 一 以, 上驛 面步 表的 主區 域極 區汲 的及 對區 相極 極源 閘2 2第 第的 述型 上電 之導 Y i弟 A述 (f上 驟及 步以 述膜 上矽 化 第 間表含 層層包 體係 導, 半0 的 區 化 活 上 r膜 (i矽 驟化 δ' 步氮 述述 上上 及 1及 氮3 述第 上述 和上 膜於 緣成 絕形 間伸 層延 1 系 第4 述, 上 的 成 形 膜 緣 絕 成 形 第 述 ,上 i分 面有、< 以極 膜汲 緣和 絕區 間極 源 2 2 和述 上 達 別 的 ΓΧΙ 第接 、rLJ } 上其 在與 ; 孔別 驟觸分 步接成 的述形 孔上内 觸過區 接通質 之括雜 區包2 第 質係 k 、w雜,上 2 ) * 第cj及 述驟以 上步區 及述極 以上汲 區 和 源 第 區和 極\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 44 510055 6. It is set up in the scope of patent application, including: guide package half} cover 1 i The above description is obtained; > Description 7 Miscellaneous La spoon J: c White type table ί The upper part of the main layer and the upper layer / i Living in the body guide semi-narrative section, you can get the heterogeneous type table and the upper layer to be activated The upper part of the description includes the step-by-step conductance Γ1 conductance I ............. **-the first inductive ground and conductance 2 the first inductive ground and _ the first layer Introducing the upper part (part b describes the steps in the upper part of the remaining part of the upper part of the lower part of the formation and union type, the square area of the living area of the guide membrane: margin (C abrupt step step separation step The first type conductance is described in the above step, the second type is conductance, the second is the forming area, and the third is the cover. The first edge of the film is separated from the top edge by the barrier. The second cover is> (d 成 (e Step-shaped step-by-step step-by-step step-by-step step-by-step description of the upper layer of the P body is described by the semi-introduction. The main polarization zone of the zone is activated to determine the interval between the first forming distance, the main zone of the step meter of the upper station surface, and the second phase of the phase pole source gate. (The above steps and steps are based on the silicidation of the film and the interlayer surface coating system, and the semi-zero zone is activated on the r film (i. The first and the upper membranes form an absolute interstitial extension layer 1 in the fourth series, and the upper shaped membranes are formed as described above. The upper i-plane has, < the polar membrane draws the margins and the absolute source 2 2 The above mentioned ΓχΙ #, rLJ} on the above-mentioned hole formed by stepwise contact with the hole; the contact region of the contact region is connected to the plasmic region of the prime, k, w, Above 2) * Step cj and step above and step above and above and above the source and source and area II \\312\2d-code\90-05\90102669.ptd 第45頁 510055 六、申請專利範圍 第5以及第6配線的步驟。 1 2·如申請專利範圍第1 0或1 1項之半導體裝置之製造方 法,其中上述步驟(i )包括: (i -1 )蝕刻上述第2層間絕緣膜的步驟; (i - 2 )與上述步驟(i - 1 )獨立蝕刻第1層間絕緣膜的步 驟。 <1 1 3 ·如申請專利範圍第1 2項之半導體裝置之製造方法, 其中在上述步驟(j)獲得的接觸孔,包括分別相鄰於上述 源極區和汲極區的在上述隔離絕緣膜上延伸形成的接觸 孔。 1 4.如申請專利範圍第1 2項之半導體裝置之製造方法, 其中上述步驟(i - 1 ),包括根據與上述氮化矽膜的選擇比 為一定比例的第1物質進行#刻上述層間絕緣膜的步驟; 上述步驟(i - 2)包括根據與上述氮化矽膜的選擇比低於 上述第1物質的第2物質進行蝕刻上述層間絕緣膜的步驟。 Φ\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 45 510055 Sixth, the scope of patent application Steps 5 and 6 wiring. 1 2. The method for manufacturing a semiconductor device according to item 10 or 11 of the scope of patent application, wherein the step (i) includes: (i -1) a step of etching the second interlayer insulating film; (i-2) and The above step (i-1) is a step of independently etching the first interlayer insulating film. < 1 1 3 · The method for manufacturing a semiconductor device according to item 12 of the patent application range, wherein the contact hole obtained in the above step (j) includes the above-mentioned isolation adjacent to the source region and the drain region, respectively. A contact hole formed on the insulating film. 14. The method for manufacturing a semiconductor device according to item 12 of the scope of patent application, wherein the above step (i-1) includes #etching the above-mentioned interlayers according to the first substance having a certain ratio with the selection ratio of the silicon nitride film. Step of insulating film; The step (i-2) includes a step of etching the interlayer insulating film in accordance with a second substance having a selectivity ratio lower than the first substance to the silicon nitride film. Φ \\312\2d-code\90-05\90102669.ptd 第46頁\\ 312 \ 2d-code \ 90-05 \ 90102669.ptd Page 46
TW090102669A 2000-06-08 2001-02-07 Semiconductor device and method of manufacturing the same TW510055B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000171818A JP4776755B2 (en) 2000-06-08 2000-06-08 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
TW510055B true TW510055B (en) 2002-11-11

Family

ID=18674322

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090102669A TW510055B (en) 2000-06-08 2001-02-07 Semiconductor device and method of manufacturing the same

Country Status (7)

Country Link
US (3) US6933565B2 (en)
EP (1) EP1168430B1 (en)
JP (1) JP4776755B2 (en)
KR (1) KR100385666B1 (en)
CN (2) CN1832178A (en)
DE (1) DE60019913T2 (en)
TW (1) TW510055B (en)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3575408B2 (en) 2000-08-15 2004-10-13 セイコーエプソン株式会社 Method of manufacturing semiconductor device having trench element isolation region
US6787422B2 (en) * 2001-01-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Method of body contact for SOI mosfet
JP2003045874A (en) * 2001-07-27 2003-02-14 Semiconductor Energy Lab Co Ltd Metallized wiring and its forming method, metallized wiring board and its producing method
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
JP2004260073A (en) * 2003-02-27 2004-09-16 Seiko Epson Corp Semiconductor device and its manufacturing method
JP2004281631A (en) * 2003-03-14 2004-10-07 Renesas Technology Corp Design method of semiconductor device
JP2004348044A (en) * 2003-05-26 2004-12-09 Seiko Epson Corp Display device, display method, and method for manufacturing display device
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
KR100706737B1 (en) * 2003-08-28 2007-04-12 가부시끼가이샤 르네사스 테크놀로지 Semiconductor memory device and method of manufacturing the same
US7135373B2 (en) * 2003-09-23 2006-11-14 Texas Instruments Incorporated Reduction of channel hot carrier effects in transistor devices
JP4610982B2 (en) * 2003-11-11 2011-01-12 シャープ株式会社 Manufacturing method of semiconductor device
JP2005183686A (en) 2003-12-19 2005-07-07 Renesas Technology Corp Semiconductor device and its manufacturing method
JP4065855B2 (en) 2004-01-21 2008-03-26 株式会社日立製作所 Biological and chemical sample inspection equipment
US7442598B2 (en) * 2005-06-09 2008-10-28 Freescale Semiconductor, Inc. Method of forming an interlayer dielectric
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
US7504289B2 (en) * 2005-10-26 2009-03-17 Freescale Semiconductor, Inc. Process for forming an electronic device including transistor structures with sidewall spacers
US7420202B2 (en) * 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
US7884030B1 (en) 2006-04-21 2011-02-08 Advanced Micro Devices, Inc. and Spansion LLC Gap-filling with uniform properties
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
DE102006040762B4 (en) * 2006-08-31 2009-05-07 Advanced Micro Devices, Inc., Sunnyvale N-channel field effect transistor with a contact etch stop layer in conjunction with an interlayer dielectric sublayer having the same type of internal stress
US8569858B2 (en) * 2006-12-20 2013-10-29 Freescale Semiconductor, Inc. Semiconductor device including an active region and two layers having different stress characteristics
US7843011B2 (en) * 2007-01-31 2010-11-30 Freescale Semiconductor, Inc. Electronic device including insulating layers having different strains
JP5117740B2 (en) * 2007-03-01 2013-01-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
DE102007057682A1 (en) * 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale A hybrid contact structure with a small aspect ratio contact in a semiconductor device
US7932152B2 (en) * 2008-02-05 2011-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of forming a gate stack structure
US7668010B2 (en) * 2008-02-27 2010-02-23 Macronix International Co., Ltd. Flash memory having insulating liners between source/drain lines and channels
JP5465907B2 (en) * 2009-03-27 2014-04-09 ラピスセミコンダクタ株式会社 Semiconductor device
US8680617B2 (en) * 2009-10-06 2014-03-25 International Business Machines Corporation Split level shallow trench isolation for area efficient body contacts in SOI MOSFETS
CN102110644A (en) * 2009-12-23 2011-06-29 中芯国际集成电路制造(上海)有限公司 Method for manufacturing silicide alignment block (SAB) film of p-type metal-oxide semiconductor (PMOS) device
KR101734936B1 (en) * 2010-08-27 2017-05-15 삼성전자주식회사 Semiconductor device having low resistivity region under isolation layer
US8652929B2 (en) * 2011-12-23 2014-02-18 Peking University CMOS device for reducing charge sharing effect and fabrication method thereof
DE112013002518B4 (en) * 2012-05-15 2018-01-11 Mitsubishi Electric Corporation Semiconductor component and method for its production
EP2743965B1 (en) * 2012-12-13 2015-07-08 Imec Method for manufacturing semiconductor devices
US9472507B2 (en) 2013-06-17 2016-10-18 Samsung Display Co., Ltd. Array substrate and organic light-emitting display including the same
KR20160034492A (en) * 2014-09-19 2016-03-30 삼성전자주식회사 Method for forming patterns of semiconductor devices and semiconductor devices using the same
FR3048126B1 (en) * 2016-02-18 2018-03-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives PHOTODIODE TYPE STRUCTURE, COMPONENT AND METHOD FOR MANUFACTURING STRUCTURE
US10707330B2 (en) * 2018-02-15 2020-07-07 Globalfoundries Inc. Semiconductor device with interconnect to source/drain
CN109545802B (en) * 2018-12-14 2021-01-12 上海微阱电子科技有限公司 Semiconductor-on-insulator device structure and forming method

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56150853A (en) 1980-04-25 1981-11-21 Hitachi Ltd Manufacture of semiconductor device
JPS5856450A (en) 1981-09-30 1983-04-04 Nec Corp Complementary mos semiconductor device
JPS58124243A (en) 1982-01-21 1983-07-23 Toshiba Corp Manufacture of semiconductor device
JP3061907B2 (en) 1991-10-01 2000-07-10 日本電気株式会社 Semiconductor device and manufacturing method thereof
JPH0621373A (en) 1992-07-03 1994-01-28 Nec Corp Manufacture of semiconductor device
JPH06347830A (en) * 1993-06-07 1994-12-22 Canon Inc Light transmission type semiconductor device and its production
JPH06244180A (en) 1993-02-19 1994-09-02 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacture
JPH0997833A (en) * 1995-07-22 1997-04-08 Ricoh Co Ltd Semiconductor device and fabrication thereof
US5899712A (en) 1995-08-21 1999-05-04 Hyundai Electronics Industries Co., Ltd. Method for fabricating silicon-on-insulator device
JPH0969610A (en) 1995-08-31 1997-03-11 Hitachi Ltd Integrated semiconductor device and its manufacturing method
US6127261A (en) 1995-11-16 2000-10-03 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit including a tri-layer pre-metal interlayer dielectric compatible with advanced CMOS technologies
JPH09172072A (en) * 1995-12-18 1997-06-30 Nec Corp Semiconductor device and its manufacture
US5767549A (en) 1996-07-03 1998-06-16 International Business Machines Corporation SOI CMOS structure
JPH1032266A (en) 1996-07-17 1998-02-03 Sony Corp Manufacture of semiconductor device
JP3445495B2 (en) * 1997-07-23 2003-09-08 株式会社東芝 Semiconductor device
JPH1154758A (en) * 1997-08-01 1999-02-26 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
US6080655A (en) * 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
JPH1187723A (en) 1997-09-10 1999-03-30 Hitachi Ltd Fabrication of semiconductor integrated circuit device
JPH11109406A (en) * 1997-09-30 1999-04-23 Sanyo Electric Co Ltd Display device and its production
JP4810712B2 (en) * 1997-11-05 2011-11-09 ソニー株式会社 Nonvolatile semiconductor memory device and reading method thereof
WO1999033115A1 (en) 1997-12-19 1999-07-01 Advanced Micro Devices, Inc. Silicon-on-insulator configuration which is compatible with bulk cmos architecture
US6153043A (en) * 1998-02-06 2000-11-28 International Business Machines Corporation Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing
JP3382844B2 (en) * 1998-04-07 2003-03-04 日本電気株式会社 Method for manufacturing semiconductor device
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
JP2000133811A (en) * 1998-10-28 2000-05-12 Sony Corp Manufacture of thin-film transistor
JP3506025B2 (en) * 1998-11-30 2004-03-15 セイコーエプソン株式会社 Semiconductor storage device and method of manufacturing the same
TW424314B (en) * 1999-07-09 2001-03-01 Taiwan Semiconductor Mfg Interconnection lines for improving thermal conductivity in integrated circuits and method for fabricating the same
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6127228A (en) * 1999-11-06 2000-10-03 United Silicon Incorporated Method of forming buried bit line
US6372569B1 (en) * 2000-01-18 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Selective formation of hydrogen rich PECVD silicon nitride for improved NMOS transistor performance
US6362508B1 (en) * 2000-04-03 2002-03-26 Tower Semiconductor Ltd. Triple layer pre-metal dielectric structure for CMOS memory devices

Also Published As

Publication number Publication date
JP4776755B2 (en) 2011-09-21
US20010050397A1 (en) 2001-12-13
US7838349B2 (en) 2010-11-23
US20080274596A1 (en) 2008-11-06
KR20010111449A (en) 2001-12-19
US20050253219A1 (en) 2005-11-17
DE60019913T2 (en) 2005-09-29
EP1168430A1 (en) 2002-01-02
CN1329367A (en) 2002-01-02
US7393731B2 (en) 2008-07-01
EP1168430B1 (en) 2005-05-04
KR100385666B1 (en) 2003-05-27
JP2001352042A (en) 2001-12-21
CN1252830C (en) 2006-04-19
CN1832178A (en) 2006-09-13
DE60019913D1 (en) 2005-06-09
US6933565B2 (en) 2005-08-23

Similar Documents

Publication Publication Date Title
TW510055B (en) Semiconductor device and method of manufacturing the same
US4554572A (en) Self-aligned stacked CMOS
JP3557334B2 (en) MOSFET device and manufacturing method thereof
JP2000243967A (en) Manufacture of semiconductor device
TW200419633A (en) Manufacturing method of semiconductor device
US6908820B2 (en) Method of manufacturing semiconductor device
JP4093855B2 (en) Manufacturing method of semiconductor device
TWI283456B (en) Method of manufacturing semiconductor device
JP2001156290A (en) Semiconductor device
JPH01155653A (en) High voltage incorporating bipolar/cmos integrated circuit
TW546836B (en) Semiconductor device and a method of manufacturing the same
KR100699607B1 (en) A method of producing a schottky varicap
TW200305277A (en) Semiconductor device and its manufacturing method
JP2000208762A (en) Insulation gate field effect transistor and its manufacture
TW200535930A (en) Bipolar transistor, semiconductor device comprising the bipolar transistor and process for fabricating them
US6316319B1 (en) Method of manufacturing a semiconductor device having shallow junctions
JPH11121757A (en) Semiconductor device and manufacture thereof
JP4956853B2 (en) Semiconductor device and manufacturing method thereof
JP2845186B2 (en) Semiconductor device and manufacturing method thereof
TW501280B (en) Semiconductor device, and manufacture thereof
US11637173B2 (en) Structure including polycrystalline resistor with dopant-including polycrystalline region thereunder
US20240290791A1 (en) Semiconductor device and method of manufacturing the same
TWI253099B (en) Semiconductor device and manufacturing method thereof
JP2000340677A (en) Semiconductor device and fabrication thereof
JP2001135821A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees