TW495918B - Manufacturing method of copper dual damascene with selectively deposited barrier layer - Google Patents

Manufacturing method of copper dual damascene with selectively deposited barrier layer Download PDF

Info

Publication number
TW495918B
TW495918B TW90117609A TW90117609A TW495918B TW 495918 B TW495918 B TW 495918B TW 90117609 A TW90117609 A TW 90117609A TW 90117609 A TW90117609 A TW 90117609A TW 495918 B TW495918 B TW 495918B
Authority
TW
Taiwan
Prior art keywords
layer
copper
scope
barrier layer
patent application
Prior art date
Application number
TW90117609A
Other languages
Chinese (zh)
Inventor
Jiun-Cheng Lin
Shau-Lin Shue
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW90117609A priority Critical patent/TW495918B/en
Application granted granted Critical
Publication of TW495918B publication Critical patent/TW495918B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention relates to a method for manufacturing trench barrier layer of copper dual damascene, in which titanium nitride containing silicon [TiN(Si)] formed by adding silicon dopant into titanium nitride (TiN) is used as the barrier layer material of dual-damascene trench. Titanium nitride containing silicon [TiN(Si)] has the characteristic of selective deposition rate for different materials such that the chemical vapor phase deposition rate on the copper is lower than that on the other inter-metal dielectric layer. By appropriately controlling the deposition time, a barrier thin film of titanium nitride containing silicon with a certain thickness is formed on the dielectric layer of the trench sidewall in order to prevent the electric migration between the conducting copper wire and the dielectric layer. On the other hand, noticeable deposition of barrier layer is not formed on the copper during the same time. Therefore, the resistivity between the upper and the lower conducting wires is decreased such that the effect of too high RC time delay can be avoided and the speed performance of device can be improved.

Description

495918 五、發明說明(1) 發明領域: 本發明是關於一種半導體的銅雙鑲嵌製程方法,尤指 雙鑲嵌阻障層材料含矽氮化鈦[T 1 N ( S i )]對不同材質之選 擇性化學氣相沉積速度的製程方法。 發明背景: 按,為達成元件體積縮小和降低成本的目的,半導體 界朝向將元件及元件的連接金屬導線以高密度排列於晶片 上的潮流發展,因此金屬導線相對變細,而提高導線阻 值。因導線之阻值R,與上下層導線及同層相鄰導線間會 有電容C存在,而此RC值愈低則代表較低的時間延遲,因 此開發適當的製程技術以減低導線的RC時間延遲,已成為 半導體界的努力目標。同時,隨著元件之金屬導線變細 及密集化的趨勢,伴隨的阻障層在不影響其阻障性質的前 提下,也應相對變薄。 雙鑲嵌製程方法為一項可同時形成垂直和水平兩種連 線的多重導體内連線(Multilevel Interconnects)製程技 術。傳統的銅雙鑲嵌製程先以傳統的乾蝕刻技術,完成 導線溝渠圖案及介層洞結構的雙鑲嵌溝槽圖案。接著, 其中一種技術以物理氣相沉積(P h y s i c a 1 V a p〇r D e p o s i t i ο n )法或化學氣相沉積法在雙鑲嵌溝槽表面沉積495918 V. Description of the invention (1) Field of the invention: The present invention relates to a copper dual damascene process method for semiconductors, especially a dual damascene barrier material containing titanium silicon nitride [T 1 N (S i)] for different materials. Process method for selective chemical vapor deposition rate. Background of the invention: In order to achieve the purpose of reducing the size of components and reducing costs, the semiconductor industry is moving towards the trend of arranging components and their connecting metal wires on a wafer at a high density. Therefore, the metal wires are relatively thinner, which increases the resistance of the wires. . Due to the resistance value R of the wire, there will be a capacitance C between the upper and lower wires and the adjacent wire of the same layer. The lower the RC value, the lower the time delay. Therefore, the appropriate process technology is developed to reduce the RC time of the wire. Delay has become the goal of the semiconductor industry. At the same time, as the metal wires of components become thinner and denser, the accompanying barrier layer should be relatively thin without affecting its barrier properties. The dual damascene process method is a multilevel interconnect technology that can form both vertical and horizontal connections. The traditional copper dual damascene process first uses a traditional dry etching technique to complete the dual damascene trench pattern of the wire trench pattern and the via hole structure. Next, one of the techniques is to deposit the surface of the dual damascene trench by a physical vapor deposition method (Ph y s i c a 1 V a p0r De e p o s i t i ο n) method or a chemical vapor deposition method.

495918 五、發明說明(2) 形成一層厚度均勻的阻障層,再利用無電鍍銅法、物理氣 相沉積法、或化學氣相沉積法形成銅晶種層於導線溝渠及 介層洞側壁與底部上。另一項較新的技術則在形成雙鑲 嵌圖案後,應用物理氣相沉積法形成溝槽阻障層,再浸入 活化溶液以使阻障層上形成銅晶種層。接下來,應用電 鍍銅法填滿導線溝渠及介層洞,最後則以化學機械研磨方 法得到平坦化的晶圓表面,同時完成垂直栓塞和水平連 線0 阻 之 積 沉 Π 所ο • 1 間 t a 層 Γ g 電 i m 介- 〇 的 r t 圍 c θ 周 '_ Ε 與C 線移 導遷 銅性 的電 内銅 渠止 溝防 嵌為 鑲用 雙作 層 障 介 入 進 質 .1 度 t 惨 ,C 濃η 層U 雜 J 罙 、C 掺 為£處 響 中成連 ^造 W 入砠增 進1並散0' 擴η命 a 子 P壽 〇 原D的 銅1子 6 因 V載 6 ,L數 - 中 P 少 6 層 CD 中 D 電C體 導 半 低 減 流 電 的 製 嵌 鑲 雙 銅 在 此 因 電 此 止 防 以 層 障 阻 /的 〇 度 現厚 表當 能相 功積 之沉 件需 元間 響層 影電 而介。 ,與應 應銅效 效,移 等中遷 漏程性 請參考圖一所示,此為一傳統的銅雙鑲嵌溝槽之晶圓 截面。雙鑲喪溝槽圖案形成於一多層物中,此多層物形 成於一已包含介電層2和金屬導線8於其中的基板上,並包 括兩層由碳化石夕或氮化碎組成的#刻終止層1 8及2 0、一層 由氮氧化石夕組成的罩幕層22、以及兩層夾於其中之介電層 4及6。 接著,阻障層1 1形成於雙鑲嵌溝槽圖案中,再以495918 V. Description of the invention (2) Form a barrier layer with uniform thickness, and then use the electroless copper method, physical vapor deposition method, or chemical vapor deposition method to form a copper seed layer on the side wall of the wire trench and the via hole and On the bottom. Another newer technology uses a physical vapor deposition method to form a trench barrier layer after forming a dual mosaic pattern, and then immerses it in an activating solution to form a copper seed layer on the barrier layer. Next, electroplated copper is used to fill the trenches and vias. Finally, the wafer surface is flattened by chemical mechanical polishing. At the same time, the vertical plug and the horizontal connection have been deposited. Π • 1 The ta layer Γ g the dielectric im-rt perimeter c θ Zhou'_ Ε and C line migration and copper migration of the copper internal electrical channel canal anti-ditch anti-embedding is intercalated with a double-layer barrier. 1 degree t miserable , C, thick η layer, U, J, and C are mixed at a low level to make W to increase W and increase 1 and disperse 0 'to extend the life of a child P life 0 copper of the original D 6 due to V load 6, Number of L-medium P less 6 layers CD medium D electric C body conduction semi-low current reduction inlaying double copper The pieces need to be mediated by the sound layer. , Corresponding to copper effect, migration, etc. Please refer to Figure 1. This is the cross section of a conventional copper dual damascene trench. The double damascene trench pattern is formed in a multilayer formed on a substrate that already includes a dielectric layer 2 and a metal wire 8 therein, and includes two layers composed of carbonized carbide or nitride. # 刻 止 层 1 8 and 20, a masking layer 22 composed of oxynitride, and two dielectric layers 4 and 6 sandwiched therebetween. Next, a barrier layer 11 is formed in the dual damascene trench pattern, and then

第5頁 495918 五、發明說明(3) 銅1 6填滿雙鑲嵌溝槽圖案,最後應用化學機械研磨製程平 坦化晶圓表面。 應用物理氣相沉積法,傳統的雙鑲嵌阻障層材料在溝 槽側壁之介電層上形成足以防止銅電性遷移的阻障層1 1 時,介層洞下方的銅導線表面上亦同時形成相同厚度的阻 障層。然而如前所述,導體連線間的RC值為元件速度的 重要因素,所以如上下層金屬導線間存在顯著的阻障層, 將提高其阻值,而增加RC時間延遲,影響元件的速度表 現。因此,如何在顧全防止銅電性遷移的考量的同時, 不致犧牲元件的速度表現,成為銅雙鑲嵌製程技術的重要 課題之一。 另外,傳統的雙鑲嵌製程方法一般應用物理氣相沉積 法形成溝槽阻障層,其所得之阻障層較以化學氣相沉積法 所得為厚,而影響元件密集化及縮小化的發展。 發明概述: 本發明之主要目的係提供一種關於銅雙鑲嵌製程中的 導線溝渠及介層洞側璧表面上形成阻障層之製程方法,使 能有效地隔離介電層及銅導線,以防止銅的電性遷移效 應。本發明之另一目的係應用同一製程方法,消弭阻障 材料於介層洞底部的銅導線表面上形成顯著的阻障層,即Page 5 495918 V. Description of the invention (3) The copper 16 fills the double damascene trench pattern, and finally the chemical mechanical polishing process is used to flatten the wafer surface. When physical vapor deposition is used, when a conventional dual damascene barrier material is used to form a barrier layer 1 1 on the dielectric layer of the trench sidewall, which is sufficient to prevent the electrical migration of copper, the surface of the copper wire under the dielectric hole is simultaneously A barrier layer of the same thickness is formed. However, as mentioned earlier, the RC value between the conductor lines is an important factor for the speed of the device. Therefore, if a significant barrier layer exists between the upper and lower metal wires, the resistance value will be increased, and the RC time delay will be increased, which will affect the speed of the component. which performed. Therefore, how to prevent the electrical migration of copper without sacrificing the speed performance of the components has become one of the important topics of copper dual damascene process technology. In addition, the traditional dual damascene process method generally uses a physical vapor deposition method to form a trench barrier layer, and the resulting barrier layer is thicker than that obtained by a chemical vapor deposition method, which affects the development of denser and smaller components. Summary of the invention: The main object of the present invention is to provide a process method for forming a barrier layer on the surface of a lead trench and a side surface of a dielectric hole in a copper dual damascene process, so as to effectively isolate the dielectric layer and the copper wire to prevent Electrical migration effect of copper. Another object of the present invention is to apply the same process method to eliminate the barrier material to form a significant barrier layer on the surface of the copper wire at the bottom of the via hole, that is,

495918 五、發明說明(4) 減低上下層導線間的電阻值,避免過高的R C時間延遲,以 改善元件的速度表現。 氮化鈦(T i N )因其良好的薄膜特性,常作為提昇金屬 附著能力以及阻止金屬與介電層或矽間相互擴散的阻障層 (Barrier Layer)材料。應用氮化鈦作為銅雙鑲欲製程之 導線銅與介電層間的阻障層材料,因為氮化鈦對銅與介電 層兩種材質具有相等的沉積速率,其在導線銅與介電層上 形成相同厚度的阻障層,請參考圖一所示之銅雙鑲嵌溝槽 結構截面的阻障層1 1。 然而當氮化鈦(T i t a n i u m N i t r i d e,T i N )加入摻質石夕 (S i )而形成含矽氮化鈦[T i N ( S i )],此一材質對銅及介電 層兩種不同材質具有不相等的沉積速率,即對銅及介電層 的選擇性沉積速率之特性。應用含矽氮化鈦[T i N ( S i )]在 銅上的沉積速率較其在介電層上緩慢的特質,本發明以含 矽氮化鈦作為銅雙鑲嵌製程之導線銅與介電層間的阻障層 材料。 適當地控制阻障層之沉積時間,含矽氮化鈦在雙鑲嵌 溝槽的導線溝渠及介層洞側璧之介電層上形成一定的沉積 厚度,使能有效地隔離銅導線和其周圍的介電層,以防止 銅的電性遷移。但在同樣時間内,含矽氮化鈦尚未在介 層洞底部的銅導線上形成顯著的沉積,因此降低了銅雙鑲495918 V. Description of the invention (4) Reduce the resistance value between the upper and lower wires to avoid excessively high R C time delay to improve the speed performance of the component. Because of its good thin film characteristics, titanium nitride (TiN) is often used as a barrier layer material to improve the metal adhesion ability and prevent the metal and the dielectric layer or silicon from diffusing with each other. Titanium nitride is used as the material of the barrier layer between the copper and the dielectric layer of the copper double-mounting process, because titanium nitride has the same deposition rate for the two materials of copper and the dielectric layer. A barrier layer of the same thickness is formed thereon, please refer to the barrier layer 11 of the cross-section of the copper dual damascene trench structure shown in FIG. However, when Titanium Nitride (T i N) is added to the doped stone (S i) to form silicon-containing titanium nitride [T i N (S i)], this material is suitable for copper and dielectric layers. The two different materials have unequal deposition rates, that is, selective deposition rates for copper and dielectric layers. Applying the characteristic that the deposition rate of silicon-containing titanium nitride [T i N (S i)] on copper is slower than that on the dielectric layer, the present invention uses silicon-containing titanium nitride as the copper and dielectric of the copper dual damascene process. Barrier material between electrical layers. The deposition time of the barrier layer is appropriately controlled, and the titanium-containing silicon nitride forms a certain deposition thickness on the conductive trenches of the double damascene trench and the dielectric layer on the side of the dielectric hole, which can effectively isolate the copper conductor and its surroundings. A dielectric layer to prevent the electrical migration of copper. However, at the same time, silicon-containing titanium nitride has not yet formed a significant deposit on the copper wires at the bottom of the via, thereby reducing the copper double-mounting.

495918 五、發明說明(5) 喪之上下層導線間的電阻率,而改善了元件的速度。 的線— 所製 化導"效程之 細屬b障製層 纖金Μ阻嵌障 的到〃纟的鑲阻 線達^夠雙槽 導以JS足統溝 的 畠, 具傳嵌 曰寸 金薄Η仍代鑲 合變^但取雙 配對|>、,法成 為相 薄積形 是應、、/為沉為 即亦α得相成 氣 ,層 "所氣, ia子 的障t法學法 目阻彳積化積 一之沉以沉 又隨。相明相 之伴的氣發氣 明所目理本理 發其的物此物。 本,化較因的法 勢集層,用方 趨密障用利程 相較於傳統的銅雙鑲嵌阻障層,應用選擇性成長之含 矽氮化鈦阻障層之銅雙鑲嵌上下層導線間的電阻值比一般 非選擇性成長之氮化鈦阻障層及傳統物理氣相沉積法在介 層(v i a )的阻值低。另一方面,使用傳統物理氣相沉積法 所得的含矽氮化鈦阻障層厚度約為5 0埃至1 5 0埃,而化學 氣相沉積法所得的含矽氮化鈦阻障層厚度減低為約5埃至 2 5埃。因此應用化學氣相沉積法形成含矽氮化鈦作為銅 雙鑲嵌之阻障層,可同時達到了防止銅電性遷移,增進元 件速度表現,以及金屬連線縮小化和密集化的三重目標。 發明之詳細說明: 本發明之具選擇性沉積阻障層的銅雙鑲嵌製程方法, 將佐以圖示詳細說明。495918 V. Description of the invention (5) The resistivity between the upper and lower wires is improved, and the speed of the component is improved. Line — the detailed guideline of the process is “b barrier layer fiber gold M resistance barrier to the inlay resistance line up to ^ enough double-slot guide to the JS foot system of the ridge, with the embedding said Inch gold thin Η is still inlaying and changing ^ but take the double pairing, >, the method is to be a thin product, should be, / / is Shen Wei, that is, α is a perfect match, the layer "quote, ia, Obstacles to the law of law hinder the accumulation of the accumulation of the accumulation of Shen Yi Shen and follow. The qi of the companion of the phase of the phase of the phase of the consciousness of this matter. The cost-effective concentration layer is used to reduce the barrier cost. Compared with the traditional copper dual-mosaic barrier layer, the copper dual-mosaic layer with selective growth of silicon nitride nitride barrier layer is applied. The resistance value between the wires is lower than that of the conventional non-selectively grown titanium nitride barrier layer and the traditional physical vapor deposition method in the via. On the other hand, the thickness of the silicon-containing titanium nitride barrier layer obtained by using the conventional physical vapor deposition method is about 50 to 150 angstroms, and the thickness of the silicon nitride-containing titanium nitride barrier layer obtained by the chemical vapor deposition method is The reduction is about 5 to 25 Angstroms. Therefore, the application of chemical vapor deposition to form silicon-containing titanium nitride as a copper dual damascene barrier layer can simultaneously achieve the three goals of preventing the electrical migration of copper, improving the speed performance of components, and reducing and denser metal connections. Detailed description of the invention: The copper dual damascene process method for selectively depositing a barrier layer according to the present invention will be described in detail with reference to the drawings.

495918 五、發明說明(6) 請參考圖二所示,在銅雙鑲嵌蝕刻程序前,晶圓需已 完成雙鑲嵌多層物結構的沉積製程,包括的步驟如下: 首先提供一已形成介電層2和至少一金屬導線8於其中的基 板,於基板上形成一層碳化$夕或氮化石夕組成的14刻終止層 1 8,再於此蝕刻終止層1 8上形成一層介電層4,接著第二 層由碳化矽或氮化矽組成的蝕刻終止層2 0於介電層4上形 成後,第二層的介電層6於第二層蝕刻終止層2 0上形成, 最後一層由氮氧化矽組成的罩幕層2 2於第二層介電層2 0上 形成。 接著實施銅雙鑲嵌製程程序,請參考圖二所示,應用 乾#刻技術,先於具兩層介電層4和6、兩層#刻終止層1 8 和2 0、以及罩幕層2 2之多層物中形成包含導線溝渠圖案9 及介層洞結構1 0之雙鑲嵌圖案。再以2 5 (TC至4 0 0°C之清 潔反應氫氣或氫氣與氬離子混合物對導線溝渠圖案9及介 層洞結構1 0之雙鑲欲圖案進行粒子轟擊(Bombardment), 以將圖案側壁及金屬表面之雜質清除乾淨,此程序如圖三 所示。 然後參考圖四所示,應用化學氣相沉積法,在雙鑲嵌 圖案之導線溝渠9和介層洞結構1 0以及罩幕層2 2的表面 上,形成一層由氮化鈦(T 1 N )加入摻質矽(S 1 )後形成的含 矽氮化鈦組成之阻障層1 2。 因為含矽氮化鈦[T i N ( S i )]對495918 V. Description of the invention (6) Please refer to Figure 2. Before the copper dual damascene etching process, the wafer must have completed the dual damascene multilayer structure deposition process, including the following steps: First, a dielectric layer has been formed. 2 and the substrate with at least one metal wire 8 formed on the substrate to form a 14-point stop layer 18 composed of carbide or nitride, and then a dielectric layer 4 is formed on the etch stop layer 18, and then After the second etch stop layer 20 composed of silicon carbide or silicon nitride is formed on the dielectric layer 4, the second dielectric layer 6 is formed on the second etch stop layer 20, and the last layer is made of nitrogen. A mask layer 22 composed of silicon oxide is formed on the second dielectric layer 20. Next, the copper dual damascene process procedure is implemented. Please refer to FIG. 2 to apply the dry-etching technology, which has two dielectric layers 4 and 6, two # -etch stop layers 1 8 and 20, and a mask layer 2 A double mosaic pattern including a wire trench pattern 9 and a via structure 10 is formed in the multilayer of 2. Then, use 2 5 (TC to 400 ° C clean reaction hydrogen or hydrogen and argon ion mixture to bombardment the wire trench pattern 9 and the interlayer hole structure 10 double mosaic pattern to bombard the sidewall of the pattern And the impurities on the metal surface are cleaned up, this procedure is shown in Figure 3. Then referring to Figure 4, the chemical vapor deposition method is applied to the double-damascene pattern of the wire trench 9 and the interlayer hole structure 10 and the mask layer 2 On the surface of 2, a barrier layer 1 composed of silicon-containing titanium nitride formed by adding titanium nitride (T 1 N) to doped silicon (S 1) is formed. Because silicon-containing titanium nitride [T i N (S i)] Yes

495918 五、發明說明(7) 金屬銅8及介電層6和4質材具有選擇性沉積速率的特質, 其在介層洞底部之銅8表面上的沉積較其在介電層6和4的 侧壁上的沉積緩慢。所以適當地控制沉積時間,在雙鑲 嵌溝槽的導線溝渠9及介層洞1 0之介電層侧壁表面上形成 充分的含矽氮化鈦(沉積度約為1 0埃至8 0埃),能有效地隔 離電鍍銅8、 1 6和其周圍的介電層2、4、6,以防止銅的電 性遷移,此應用化學氣相沉積法所得的含矽氮化鈦阻障層 厚度約為5埃至2 5埃。但在同樣時間内,含矽氮化鈦尚未 在介層洞1 0底部的銅導線8表面上形成顯著的沉積,因此 較傳統銅雙鑲嵌製程有效降低了上下層導線間的電阻率, 進而改善元件速度表現。 然後,如圖五所示,利用無電鍍銅法、物理氣相沉積 法、化學氣相沉積法、或浸入活化溶液法中之一製程方 法,在前述的含矽氮化鈦阻障層1 2表面上,包含介層洞結 構1 0底部之銅導線8的表面上,形成一層銅晶種層1 4。 再 如圖六所示,利用電鍍銅法在前述的銅晶種層1 4表面上形 成雙錶嵌電鑛銅導線1 6 ’並回填滿導線溝渠9及介層洞 10。 最後實施化學機械研磨製程,以前述的氮氧化矽罩 幕層2 2為研磨終止層,將前述的銅鑲嵌1 6表面磨平以得到 平坦化晶圓表面,而同時完成垂直和水平的導體連線後, 請參看圖七所不。 以上所述係利用一較佳實施例詳細說明本發明,而非495918 V. Description of the invention (7) The metallic copper 8 and the dielectric layers 6 and 4 have the characteristics of selective deposition rate. The deposition on the surface of the copper 8 at the bottom of the dielectric hole is higher than that on the dielectric layers 6 and 4. The deposition on the sidewalls is slow. Therefore, the deposition time is properly controlled, and a sufficient silicon-containing titanium nitride is formed on the surface of the side wall of the dielectric trench of the dual damascene trench 9 and the dielectric hole 10 (the deposition degree is about 10 angstroms to 80 angstroms). ), Can effectively isolate copper electroplating 8, 16 and the surrounding dielectric layers 2, 4, 6 to prevent the electrical migration of copper. This is a silicon-containing titanium nitride barrier layer obtained by chemical vapor deposition. The thickness is about 5 Angstroms to 25 Angstroms. However, at the same time, the silicon-containing titanium nitride has not yet formed a significant deposit on the surface of the copper wire 8 at the bottom of the via 10, so the resistivity between the upper and lower wires is effectively reduced compared with the traditional copper dual damascene process, thereby improving Component speed performance. Then, as shown in FIG. 5, using one of the process methods of electroless copper plating, physical vapor deposition, chemical vapor deposition, or immersion in the activation solution method, the aforementioned silicon-silicon-titanium-containing barrier layer 1 2 On the surface, a copper seed layer 14 is formed on the surface of the copper wire 8 including the bottom of the via hole structure 10. As shown in FIG. 6, a double-surface-embedded electric ore copper wire 16 is formed on the surface of the aforementioned copper seed layer 14 by electroplating copper method, and the wire trench 9 and the interlayer hole 10 are filled back. Finally, a chemical mechanical polishing process is performed, using the aforementioned silicon oxynitride mask layer 22 as a polishing termination layer, and polishing the aforementioned copper inlay 16 to flatten the surface of the wafer to complete the vertical and horizontal conductor connection at the same time. After the line, please refer to Figure 7. The foregoing is a detailed description of the present invention using a preferred embodiment, rather than

第10頁 495918Page 10 495918

495918 圖 式簡單說明 圖 一 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 具 傳 統 式 阻障 層 的 銅 雙 鑲 嵌 結 構 〇 圖 二 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 雙 鑲 導 線溝 渠 及 介 層 洞 結 構 0 圖 二 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 對 雙 鑲 散 導線 溝 渠 及 介 層 洞 結 構 的 轟 擊 程序 〇 圖 四 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 含 矽 氮 化 鈦阻 障 層 沉 積 後 的 雙 鑲 嵌 導 線 溝渠 及 介 層 洞 結 構 0 圖 五 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 銅 晶 種 層 沉積 後 的 雙 鑲 嵌 導 線 溝 渠 及 介 層洞 結 構 〇 圖 六 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 電 鍍 銅 回 填後 的 雙 鑲 嵌 導 線 溝 渠 及 介 層 洞結 構 〇 圖 七 係 為一 半 導 體 晶 圓 的 橫 斷 面 以 圖 示本 發 明 所 依 據 之 化 學 機 械 研磨 後 的 銅 雙 鑲 嵌 結 構 0 圖 號 說 明: 2 基 板 4 第- -介電層 6 第 二 介電 層 8 金屬導線銅 9導線溝渠圖案 1丨 0介層洞 1 1 奪槽阻障層 1: 2含矽氮化鈦阻障層 14銅』 種層 1 6電鍍銅 1 8第 一 1虫刻 終 止 層 20第j 二蝕刻終止層 2 2罩 幕 層495918 Brief description of the drawings Figure 1 is a cross section of a semiconductor wafer to illustrate the copper dual damascene structure with a traditional barrier layer on which the present invention is based. Figure 2 is a cross section of a semiconductor wafer to Illustrates the double-inserted wire trench and via structure according to the present invention. FIG. 2 is a cross-section of a semiconductor wafer to illustrate the bombardment of the double-inserted wire trench and via structure based on the present invention. Procedure 0. Figure 4 is a cross-section of a semiconductor wafer to illustrate the structure of the dual damascene conductor trenches and vias after the deposition of a silicon-containing titanium nitride barrier layer according to the present invention. 0 Figure 5 is a semiconductor crystal. The circular cross-section shows the double-damascene wire trench and via hole structure after the copper seed layer is deposited according to the present invention. Figure 6 is a cross-section of a semiconductor wafer to illustrate this. Double damascene wire trench and via structure after backfilling electroplated copper based on the invention. Figure 7 is a cross-section of a semiconductor wafer to illustrate the copper double damascene structure after chemical mechanical polishing according to the present invention. Description of number: 2 substrate 4 first-dielectric layer 6 second dielectric layer 8 metal wire copper 9 wire trench pattern 1 丨 0 via hole 1 1 trench-receiving barrier layer 1: 2 silicon nitride barrier layer 14 copper ”seed layer 1 6 electroplated copper 1 8 first 1 etch stop layer 20 j second etch stop layer 2 2 mask layer

第12頁Page 12

Claims (1)

495918 六、申請專利範圍 括 包 乃 法 方 程 製 之 層 障 阻 積 沉 性 擇 選 的 嵌 鑲 雙: 銅驟 種步 一列 1下 已 板 基 亥 =口 構 結 物; 層中 多其 之於 成線 形導 上銅 其屬 於金 位一 與少 板至 基和 一 層 供電 提介 成 形 罩介 層層 一兩 、的 層間 止層 終幕 刻罩 #及 層層 兩止 括終 包刻 一蝕 成層 形三 上此 板於 基夾 的別 述分 前和 在、 層 幕 案 圖 嵌 鑲 雙 之 構 結 同 層 介 及 案 圖 槽 溝 •,線 構導 結含 物包 層一 多成 之形 層 ^a 面 表 壁 側 層 電 介 之 案 圖 嵌 鑲 雙 的 •,述 中前 構於 結層 物障 層阻 多 一 的成 述形 前 於 ;洞 上層 面介 表括 銅包 線, 導上 之面 部表 底層 洞障 層阻 介的 於述 障於 阻層 著種 顯晶 成銅 形一 未成 但形 上 述 前 滿 填 並 上 面 表 層 c-nul 種 晶 銅 的 述 前 ; 於·’ 面質構 表材結 銅銅槽 線一溝 導成礙 之形鑲 部 雙 底 的 及 面 表 質 材 銅 的 述 前 將 以 用 程 製 的 磨 研 械 機 學 化 以。 施化 坦 平 法 方 之 述 所 項 之 板 基 的 述 前 於 : 層 括止 第包終 圍 ,刻 範驟蝕 利步層 專程一 請製成 申的形 如構 2結 物 層 多 的 述 所 中 其 成 形 第第 成成 形形 上 之 ; 上止 上之終 之層刻 層電14 止介層 終的二 刻述第 #"一別的 的於 述層 前止 於終 層刻 電li 介層 層二 述 前 於 層 電 介 層495918 VI. The scope of application for patents includes the mosaic of double-layered barriers that are based on the method of French equations: copper seeding steps in a row and 1 bottom board foundation = mouth structure; more in the layer The linear guide copper belongs to the gold level one, the few plates to the base, and a layer of power supply. The forming interlayer layer one or two, the interlayer stop layer final engraved mask # and the two layers, including the final package engraved one etched into three layers This board is separated from the base clip, and the layered plan is embedded with the structure of the double layer and the plan slot. The line guide structure contains a multi-layered layer ^ a surface. The case diagram of the dielectric layer on the side of the surface wall is embedded with a double •. The anterior structure is described by the formation of the barrier layer. The upper layer of the hole includes the copper wire, and the face surface on the surface. The bottom hole barrier layer resists the barrier layer. The barrier layer is seeded into a copper shape, but it is not formed. The front surface is fully filled and the top surface c-nul seed crystal copper is described above. A copper trench line leads The shape of the insert portion of the double bottom and with the drive system to the mechanical rubbing of the learning machine to said front surface of the copper sheet quality materials. The description of Shihua Tanping Fafang's description of the base of the board is preceded by: the layer encloses the end of the package, and the scale abruptly etches the step of the step. Please make a statement of the structure of the structure. The formation of the first formation of the above; the last layer of the last layer of the engraving layer 14 to stop the end of the second layer of the dielectric layer # " a different before the layer is terminated at the final layer of electrical Dielectric layer 第13頁 495918 六、申請專利範圍 形成一層罩幕層於前述的第二層介電層之上。 3 .如申請專利範圍第2項所述之方法,其中所述的第一層 #刻終止層,由碳化$夕或氮化碎組成。 4.如申請專利範圍第2項所述之方法,其中所述的第二層 姓刻終止層,由碳化^夕或氮化ί夕組成。 5 .如申請專利範圍第2項所述之方法,其中所述的罩幕 層,由氮氧化石夕組成。 6 .如申請專利範圍第1項所述之方法,其中在形成阻障層 之前,更包含在前述的雙鑲嵌溝槽圖案上施以一離子轟擊 程序。 7.如申請專利範圍第6項所述之方法,其中所述的轟擊程 序,所用的轟擊粒子為2 5 0°C至4 0 0°C之清潔反應氫氣或氫 氣與氬離子混合物。 8 .如申請專利範圍第1項所述之方法,其中所述的阻障層 由含矽氮化鈦組成。 9 .如申請專利範圍第7項所述之方法,其中所述的含矽氮 化鈦由加入摻質矽的氮化鈦形成。Page 13 495918 6. Scope of patent application Form a mask layer on top of the aforementioned second dielectric layer. 3. The method according to item 2 of the scope of the patent application, wherein the first #etch stop layer is composed of carbonized or nitrided. 4. The method according to item 2 of the scope of patent application, wherein the second layer is a termination layer consisting of carbonized or nitrided. 5. The method according to item 2 of the scope of patent application, wherein the mask layer is composed of oxynitride. 6. The method according to item 1 of the scope of patent application, wherein before forming the barrier layer, further comprising applying an ion bombardment process on the aforementioned dual damascene trench pattern. 7. The method according to item 6 of the scope of patent application, wherein in the bombardment procedure, the bombardment particles used are clean reaction hydrogen or a mixture of hydrogen and argon ions at 250 ° C to 400 ° C. 8. The method according to item 1 of the patent application scope, wherein said barrier layer is composed of silicon-containing titanium nitride. 9. The method according to item 7 of the scope of patent application, wherein said silicon-containing titanium nitride is formed by adding titanium nitride doped with silicon. 第14頁 495918 六、申請專利範圍 1 0 .如申請專利範圍第1項所述之方法,其中所述的在雙鑲 嵌圖案之介電層側壁上形成的阻障層厚度約為1 0埃至8 0 埃。 1 1 .如申請專利範圍第1項所述之方法,其中所述的介層洞 内之金屬導線銅上的阻障層厚度約為5埃至2 5埃。 1 2 .如申請專利範圍第1項所述之方法,其中所述的化學機 械研磨的製程,係以前述的罩幕層為研磨終止層。 1 3 .如申請專利範圍第1項所述之方法,其中所述的銅質材 包含電鍍銅。Page 14 495918 6. Application scope of patent 10. The method according to item 1 of the scope of patent application, wherein the thickness of the barrier layer formed on the side wall of the dielectric layer of the dual damascene pattern is about 10 Angstroms to 8 0 Angstroms. 1 1. The method according to item 1 of the scope of patent application, wherein the thickness of the barrier layer on the metal wire copper in the via of the interlayer is about 5 to 25 angstroms. 12. The method according to item 1 of the scope of patent application, wherein the chemical mechanical polishing process uses the aforementioned mask layer as a polishing stop layer. 1 3. The method according to item 1 of the scope of patent application, wherein said copper material comprises electroplated copper. 第15頁Page 15
TW90117609A 2001-07-18 2001-07-18 Manufacturing method of copper dual damascene with selectively deposited barrier layer TW495918B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW90117609A TW495918B (en) 2001-07-18 2001-07-18 Manufacturing method of copper dual damascene with selectively deposited barrier layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW90117609A TW495918B (en) 2001-07-18 2001-07-18 Manufacturing method of copper dual damascene with selectively deposited barrier layer

Publications (1)

Publication Number Publication Date
TW495918B true TW495918B (en) 2002-07-21

Family

ID=21678801

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90117609A TW495918B (en) 2001-07-18 2001-07-18 Manufacturing method of copper dual damascene with selectively deposited barrier layer

Country Status (1)

Country Link
TW (1) TW495918B (en)

Similar Documents

Publication Publication Date Title
TWI269404B (en) Interconnect structure for semiconductor devices
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
JP3955386B2 (en) Semiconductor device and manufacturing method thereof
KR100498454B1 (en) Method for creating a damascene interconnect using a two-step plating process
TW200939394A (en) Method for forming an air gap in multilevel interconnect structure
CN1790663A (en) Semiconductor component and method for manufacture copper lead
TWI238459B (en) Copper alloy interconnections for integrated circuits and methods of making same
TW578195B (en) A single step electroplating process for interconnect via fill and metal line patterning
TW200303081A (en) Enhancement of an interconnect
CN1434509A (en) Double embedded metal inner connection wire structure and making method thereof
CN101188210A (en) Method for forming semiconductor structure
JPH11274157A (en) Minute wiring forming method
TW523868B (en) Semiconductor device and method for fabricating the same
CN1667811A (en) Method of forming wiring structure and semiconductor device
TWI251898B (en) Damascene process for fabricating interconnect layers in an integrated circuit
KR20000012027A (en) Method for manufacturing a semiconductor device
KR100538633B1 (en) Method of forming a metal wiring in a semiconductor device
TWI253143B (en) Method for forming metal wiring in semiconductor device
TW495918B (en) Manufacturing method of copper dual damascene with selectively deposited barrier layer
KR20060102712A (en) Method for fabricating metal plug of semiconductor device
TW200405440A (en) Dopant interface formation
TW201017821A (en) Structure to facilitate plating into high aspect ratio vias
US20040038526A1 (en) Thermal process for reducing copper via distortion and crack
CN208706642U (en) Semiconductor interconnection structure
TW455954B (en) Manufacturing process using thermal annealing process to reduce the generation of hillock on the surface of Cu damascene structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent